WorldWideScience

Sample records for enhanced deposition rate

  1. The effects of H sub 2 addition on the enhanced deposition rate and high quality Cu films by MOCVD

    CERN Document Server

    Lee, J H; Park, S J; Choi, S Y

    1998-01-01

    High-quality Cu thin films were deposited on the TiN/Si substrate from the hexafluoroacetylacetonate Copper thrmethylvinylsilane [Cu (hfac) (tmvs)] source using a metal organic chemical vapor deposition (MOCVD) technique. The optimum deposition condition is with a substrate temperature of 200 .deg. C and the hydrogen flow rate of 80 sccm. The deposition rate, electrical resistivity, surface morphology, grain size, and optical properties of the deposited Cu films were investigated by the AES, four-point probe, SEM, XRD, and the visible spectrophotometer as a function of hydrogen gas flow rate, The results indicated that additional hydrogen gas affects the CVD hydrogen reduction reaction improving the purity, deposition rate, and electrical resistivity of Cu thin films. A prospective idea will be discussed for the preparation of Cu thin films showing a more enhanced electromigration resistance applicable to the next-generation interconnection.

  2. Deposition Rate and Energy Enhancements of TiN Thin-Film in a Magnetized Sheet Plasma Source

    OpenAIRE

    Hamdi Muhyuddin D. Barra; Henry J. Ramos

    2011-01-01

    Titanium nitride (TiN) has been synthesized using the sheet plasma negative ion source (SPNIS). The parameters used for its effective synthesis has been determined from previous experiments and studies. In this study, further enhancement of the deposition rate of TiN synthesis and advancement of the SPNIS operation is presented. This is primarily achieved by the addition of Sm-Co permanent magnets and a modification of the configuration in the TiN deposition process. The ...

  3. Enhancement of deposition rate at cryogenic temperature in synchrotron radiation excited deposition of silicon film

    International Nuclear Information System (INIS)

    Nara, Yasuo; Sugita, Yoshihiro; Ito, Takashi; Kato, Hiroo; Tanaka, Ken-ichiro

    1989-01-01

    The authors have investigated the synchrotron radiation excited deposition of silicon films on the SiO 2 substrate by using SiH 4 /He mixture gas at BL-12C at Photon Factory. They used VUV light from the multilayer mirror with the center photon energy from 97 to 123eV, which effectively excites L-core electrons of silicon. Substrate temperature was widely varied from -178 degree C to 500 degree C. At -178 degree C, the deposition rate was as high as 400nm/200mAHr (normalized at the storage ring current at 200mA). As increasing the substrate temperature, the deposition rate was drastically decreased. The number of deposited silicon atoms is estimated to be 4 to 50% of incident photons, while the number of photo generated species in the gas phase within the mean free path from the surface is calculated as few as about 10 -3 of incident photons. These experimental results show that the deposition reaction is governed by the dissociation of surface adsorbates by the synchrotron radiation

  4. Enhancement of isotope exchange reactions over ceramic breeder material by deposition of catalyst metal

    International Nuclear Information System (INIS)

    Narisato, Y.; Munakata, K.; Koga, A.; Yokoyama, Y.; Takata, T.; Okabe, H.

    2004-01-01

    The deposition of catalyst metals in ceramic breeders could enhance the release rate of tritium due to the promotion of isotope exchange reactions taking place at the interface of the breeder surface and the sweep gas. In this work, the authors examined the effects of catalytic active metal deposited on lithium titanate on the isotope exchange reactions. With respect to the virgin lithium titanate, it was found that the rate of the isotope exchange reactions taking place on the surface is quite low. However, the deposition of palladium greatly increased the exchange reaction rate. The effect of the amounts of deposited palladium on the isotope exchange reaction rate was also investigated. The results indicate that the exchange reactions are still enhanced even if the amounts of deposited palladium are as low as 0.04%

  5. Electrophoretic Deposition of Gallium with High Deposition Rate

    Directory of Open Access Journals (Sweden)

    Hanfei Zhang

    2014-12-01

    Full Text Available In this work, electrophoretic deposition (EPD is reported to form gallium thin film with high deposition rate and low cost while avoiding the highly toxic chemicals typically used in electroplating. A maximum deposition rate of ~0.6 μm/min, almost one order of magnitude higher than the typical value reported for electroplating, is obtained when employing a set of proper deposition parameters. The thickness of the film is shown to increase with deposition time when sequential deposition is employed. The concentration of Mg(NO32, the charging salt, is also found to be a critical factor to control the deposition rate. Various gallium micropatterns are obtained by masking the substrate during the process, demonstrating process compatibility with microfabrication. The reported novel approach can potentially be employed in a broad range of applications with Ga as a raw material, including microelectronics, photovoltaic cells, and flexible liquid metal microelectrodes.

  6. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  7. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  8. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  9. PECVD deposition of device-quality intrinsic amorphous silicon at high growth rate

    Energy Technology Data Exchange (ETDEWEB)

    Carabe, J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gandia, J J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gutierrez, M T [Inst. de Energias Renovables, CIEMAT, Madrid (Spain)

    1993-11-01

    The combined influence of RF-power density (RFP) and silane flow-rate ([Phi]) on the deposition rate of plasma-enhanced chemical vapour deposition (PECVD) intrinsic amorphous silicon has been investigated. The correlation of the results obtained from the characterisation of the material with the silane deposition efficiency, as deduced from mass spectrometry, has led to an interpretation allowing to deposit intrinsic amorphous-silicon films having an optical gap of 1.87 eV and a photoconductive ratio (ratio of ambient-temperature conductivities under 1 sun AM1 and in dark) of 6 orders of magnitude at growth rates up to 10 A/s, without any structural modification of the PECVD system used. Such results are considered of high relevance regarding industrial competitiveness. (orig.)

  10. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    Science.gov (United States)

    Dimitrakellis, P.; Kalampounias, A. G.; Spiliopoulos, N.; Amanatides, E.; Mataras, D.; Lahootun, V.; Coeuret, F.; Madec, A.

    2016-07-01

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and the drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the restricted

  11. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    International Nuclear Information System (INIS)

    Dimitrakellis, P.; Amanatides, E.; Mataras, D.; Kalampounias, A. G.; Spiliopoulos, N.; Lahootun, V.; Coeuret, F.; Madec, A.

    2016-01-01

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and the drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the restricted

  12. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  13. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  14. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  15. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  16. Influence of Gas Flow Rate on the Deposition Rate on Stainless Steel 202 Substrates

    Directory of Open Access Journals (Sweden)

    M.A. Chowdhury

    2012-12-01

    Full Text Available Solid thin films have been deposited on stainless steel 202 (SS 202 substrates at different flow rates of natural gas using a hot filament thermal chemical vapor deposition (CVD reactor. In the experiments, the variations of thin film deposition rate with the variation of gas flow rate have been investigated. The effects of gap between activation heater and substrate on the deposition rate have also been observed. Results show that deposition rate on SS 202 increases with the increase in gas flow rate within the observed range. It is also found that deposition rate increases with the decrease in gap between activation heater and substrate. In addition, friction coefficient and wear rate of SS 202 sliding against SS 304 under different sliding velocities are also investigated before and after deposition. The experimental results reveal that improved friction coefficient and wear rate is obtained after deposition than that of before deposition.

  17. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  18. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  19. Influence of Gas Flow Rate on the Deposition Rate on Stainless Steel 202 Substrates

    OpenAIRE

    M.A. Chowdhury; D.M. Nuruzzaman

    2012-01-01

    Solid thin films have been deposited on stainless steel 202 (SS 202) substrates at different flow rates of natural gas using a hot filament thermal chemical vapor deposition (CVD) reactor. In the experiments, the variations of thin film deposition rate with the variation of gas flow rate have been investigated. The effects of gap between activation heater and substrate on the deposition rate have also been observed. Results show that deposition rate on SS 202 increases with the increase in g...

  20. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  1. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    Energy Technology Data Exchange (ETDEWEB)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin; Walch, Steve P.; Kim, Hyo Jin [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Kim, Ki-Hyun [Manufacturing Technology Center, Samsung Electronics, Suwon, Gyeonggi-Do (Korea, Republic of); Prinz, Fritz B. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{sub x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.

  2. Enhanced nitrogen deposition over China

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Xuejun; Zhang, Ying; Han, Wenxuan; Tang, Aohan; Shen, Jianlin; Cui, Zhenling; Christie, Peter; Zhang, Fusuo [College of Resources and Environmental Sciences, China Agricultural University, Beijing 100193 (China); Vitousek, Peter [Department of Biology, Stanford University, Stanford, California 94305 (United States); Erisman, Jan Willem [VU University Amsterdam, 1081 HV Amsterdam (Netherlands); Goulding, Keith [The Sustainable Soils and Grassland Systems Department, Rothamsted Research, Harpenden AL5 2JQ (United Kingdom); Fangmeier, Andreas [Institute of Landscape and Plant Ecology, University of Hohenheim, 70593 Stuttgart (Germany)

    2013-02-28

    China is experiencing intense air pollution caused in large part by anthropogenic emissions of reactive nitrogen. These emissions result in the deposition of atmospheric nitrogen (N) in terrestrial and aquatic ecosystems, with implications for human and ecosystem health, greenhouse gas balances and biological diversity. However, information on the magnitude and environmental impact of N deposition in China is limited. Here we use nationwide data sets on bulk N deposition, plant foliar N and crop N uptake (from long-term unfertilized soils) to evaluate N deposition dynamics and their effect on ecosystems across China between 1980 and 2010. We find that the average annual bulk deposition of N increased by approximately 8 kilograms of nitrogen per hectare (P < 0.001) between the 1980s (13.2 kilograms of nitrogen per hectare) and the 2000s (21.1 kilograms of nitrogen per hectare). Nitrogen deposition rates in the industrialized and agriculturally intensified regions of China are as high as the peak levels of deposition in northwestern Europe in the 1980s, before the introduction of mitigation measures. Nitrogen from ammonium (NH4+) is the dominant form of N in bulk deposition, but the rate of increase is largest for deposition of N from nitrate (NO3-), in agreement with decreased ratios of NH3 to NOx emissions since 1980. We also find that the impact of N deposition on Chinese ecosystems includes significantly increased plant foliar N concentrations in natural and semi-natural (that is, non-agricultural) ecosystems and increased crop N uptake from long-term-unfertilized croplands. China and other economies are facing a continuing challenge to reduce emissions of reactive nitrogen, N deposition and their negative effects on human health and the environment.

  3. Self-enhanced plasma discharge effect in the deposition of diamond-like carbon films on the inner surface of slender tube

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yi [Department of 702, Beihang University, Beijing (China); Li, Liuhe, E-mail: liliuhe@buaa.edu.cn [Department of 702, Beihang University, Beijing (China); Luo, Sida [Department of 702, Beihang University, Beijing (China); International Research Institute for Multidisciplinary Science, Beihang University, Beijing (China); Lu, Qiuyuan [Dong Feng Commercial Vehicle Technical Center, Dong Feng Commercial Vehicle Co., LTD, Wuhan (China); Gu, Jiabin; Lei, Ning [Department of 702, Beihang University, Beijing (China); Huo, Chunqin [Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education, Shenzhen University, Guangdong (China)

    2017-01-30

    Highlights: • Effect of inner surface materials of tubes on plasma discharge is examined. • Electron mean free path is used to analyze the films deposition. • Secondary electrons emitted from inner surface of tube enhance plasma discharge. - Abstract: Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PIII&D) have been proved to be highly effective for depositing diamond-like carbon (DLC) films on the inner surface of the slender quartz tube with a deposition rate of 1.3 μm/min. Such a high-efficiency DLC films deposition was explained previously as the short electrons mean free path to cause large collision frequency between electrons and neutral particles. However, in this paper, we found that the inner surface material of the tube itself play a vital role on the films deposition. To disclose the mechanism of this phenomenon, the effect of different inner surface materials on plasma discharge was experimentally and theoretically investigated. Then a self-enhancing plasma discharge is discovered. It is found that secondary electrons emitted from the inner surface material, whatever it is the tube inner surface or deposited DLC films, can dramatically enhance the plasma discharge to improve the DLC films deposition rate.

  4. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  5. Solar cell of 6.3% efficiency employing high deposition rate (8 nm/s) microcrystalline silicon photovoltaic layer

    Energy Technology Data Exchange (ETDEWEB)

    Sobajima, Yasushi; Nishino, Mitsutoshi; Fukumori, Taiga; Kurihara, Masanori; Higuchi, Takuya; Nakano, Shinya; Toyama, Toshihiko; Okamoto, Hiroaki [Department of Systems Innovation, Graduate School of Engineering Science, Osaka University, Toyonaka, Machikaneyama-cho 1-3, Osaka 560-8531 (Japan)

    2009-06-15

    Microcrystalline silicon ({mu}c-Si) films deposited at high growth rates up to 8.1 nm/s prepared by very-high-frequency-plasma-enhanced chemical vapor deposition (VHF-PECVD) at 18-24 Torr have been investigated. The relation between the deposition rates and input power revealed the depletion of silane. Under high-pressure deposition (HPD) conditions, the structural properties were improved. Furthermore, applying {mu}c-Si to n-i-p solar cells, short-circuit current density (J{sub SC}) was increased in accordance with the improvement of microstructure of i-layer. As a result, a conversion efficiency of 6.30% has been achieved employing the i-layer deposited at 8.1 nm/s under the HPD conditions. (author)

  6. Effect of the hydrogen flow rate on the structural and optical properties of hydrogenated amorphous silicon thin films prepared by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ben Amor, Sana; Dimassi, Wissem; Ali Tebai, Mohamed; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    Hydrogenated amorphous silicon (a-Si:H) thin films were deposited from pure silane (SiH{sub 4}) and hydrogen (H{sub 2}) gas mixture by plasma enhanced chemical vapor deposition (PECVD) method at low temperature (400 C) using high rf power (60 W). The structural and optical properties of these films are systematically investigated as a function of the flow rate of hydrogen (F{sub H2}).The surface morphology is analyzed by atomic force microscopy (AFM). The characterization of these films with low angle X-ray diffraction revealed that the crystallite size in the films tends to decrease with increase in (F{sub H2}). The Fourier transform infrared (FTIR) spectroscopic analysis showed that at low values of (F{sub H2}),the hydrogen bonding in Si:H films shifts from di-hydrogen (Si-H{sub 2}) and (Si-H{sub 2})n complexes to the mono-hydrogen (Si-H) bonding configuration. Finally, for these optimized conditions, the deposition rate decreases with increasing (F{sub H2}). (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  8. Process control of high rate microcrystalline silicon based solar cell deposition by optical emission spectroscopy

    International Nuclear Information System (INIS)

    Kilper, T.; Donker, M.N. van den; Carius, R.; Rech, B.; Braeuer, G.; Repmann, T.

    2008-01-01

    Silicon thin-film solar cells based on microcrystalline silicon (μc-Si:H) were prepared in a 30 x 30 cm 2 plasma-enhanced chemical vapor deposition reactor using 13.56 or 40.68 MHz plasma excitation frequency. Plasma emission was recorded by optical emission spectroscopy during μc-Si:H absorber layer deposition at deposition rates between 0.5 and 2.5 nm/s. The time course of SiH * and H β emission indicated strong drifts in the process conditions particularly at low total gas flows. By actively controlling the SiH 4 gas flow, the observed process drifts were successfully suppressed resulting in a more homogeneous i-layer crystallinity along the growth direction. In a deposition regime with efficient usage of the process gas, the μc-Si:H solar cell efficiency was enhanced from 7.9 % up to 8.8 % by applying process control

  9. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  10. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  11. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  12. Effects of argon and oxygen flow rate on water vapor barrier properties of silicon oxide coatings deposited on polyethylene terephthalate by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Sung-Ryong; Choudhury, Moinul Haque; Kim, Won-Ho; Kim, Gon-Ho

    2010-01-01

    Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O 2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m 2 /day and was decreased after depositing the silicon oxide (SiO x ) coatings. The minimum WVTR, 0.47 g/m 2 /day, was observed at Ar and O 2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm -1 and Si-O-Si stretching at 1000-1150 cm -1 varied depending on the Ar and O 2 flow rates. The contact angle of the SiO x coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O 2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiO x morphology and the water vapor barrier performance with the Ar and O 2 flow rates. The roughness of the deposited coatings increased when either the O 2 or Ar flow rate was increased.

  13. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  14. Dependences of deposition rate and OH content on concentration of added trichloroethylene in low-temperature silicon oxide films deposited using silicone oil and ozone gas

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2018-03-01

    We investigated the dependences of the deposition rate and residual OH content of SiO2 films on the concentration of trichloroethylene (TCE), which was added during deposition at low temperatures of 160-260 °C with the reactant gases of silicone oil (SO) and O3. The deposition rate depends on the TCE concentration and is minimum at a concentration of ˜0.4 mol/m3 at 200 °C. The result can be explained by surface and gas-phase reactions. Experimentally, we also revealed that the thickness profile is strongly affected by gas-phase reaction, in which the TCE vapor was blown directly onto the substrate surface, where it mixed with SO and O3. Furthermore, it was found that adding TCE vapor reduces residual OH content in the SiO2 film deposited at 200 °C because TCE enhances the dehydration reaction.

  15. Nitrogen deposition does not enhance Sphagnum decomposition.

    Science.gov (United States)

    Manninen, S; Kivimäki, S; Leith, I D; Leeson, S R; Sheppard, L J

    2016-11-15

    Long-term additions of nitrogen (N) to peatlands have altered bryophyte growth, species dominance, N content in peat and peat water, and often resulted in enhanced Sphagnum decomposition rate. However, these results have mainly been derived from experiments in which N was applied as ammonium nitrate (NH4NO3), neglecting the fact that in polluted areas, wet deposition may be dominated either by NO3(-) or NH4(+). We studied effects of elevated wet deposition of NO3(-) vs. NH4(+) alone (8 or 56kgNha(-1)yr(-1) over and above the background of 8kgNha(-1)yr(-1) for 5 to 11years) or combined with phosphorus (P) and potassium (K) on Sphagnum quality for decomposers, mass loss, and associated changes in hummock pore water in an ombrotrophic bog (Whim). Adding N, especially as NH4(+), increased N concentration in Sphagnum, but did not enhance mass loss from Sphagnum. Mass loss seemed to depend mainly on moss species and climatic factors. Only high applications of N affected hummock pore water chemistry, which varied considerably over time. Overall, C and N cycling in this N treated bog appeared to be decoupled. We conclude that moss species, seasonal and annual variation in climatic factors, direct negative effects of N (NH4(+) toxicity) on Sphagnum production, and indirect effects (increase in pH and changes in plant species dominance under elevated NO3(-) alone and with PK) drive Sphagnum decomposition and hummock C and N dynamics at Whim. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. Atmospheric Deposition of Phosphorus to the Everglades: Concepts, Constraints, and Published Deposition Rates for Ecosystem Management

    Directory of Open Access Journals (Sweden)

    Garth W. Redfield

    2002-01-01

    Full Text Available This paper summarizes concepts underlying the atmospheric input of phosphorus (P to ecosystems, published rates of P deposition, measurement methods, and approaches to future monitoring and research. P conveyed through the atmosphere can be a significant nutrient source for some freshwater and marine ecosystems. Particle sources and sinks at the land-air interface produce variation in P deposition from the atmosphere across temporal and spatial scales. Natural plant canopies can affect deposition rates by changing the physical environment and surface area for particle deposition. Land-use patterns can alter P deposition rates by changing particle concentrations in the atmosphere. The vast majority of P in dry atmospheric deposition is conveyed by coarse (2.5 to 10 μm and giant (10 to 100 μm particles, and yet these size fractions represent a challenge for long-term atmospheric monitoring in the absence of accepted methods for routine sampling. Most information on P deposition is from bulk precipitation collectors and wet/dry bucket sampling, both with questionable precision and accuracy. Most published annual rates of P deposition are gross estimates derived from bulk precipitation sampling in locations around the globe and range from about 5 to well over 100 mg P m–2 year–1, although most inland ecosystems receive between 20 and 80 mg P m–2 year–1. Rates below 30 mg P m–2 year–1 are found in remote areas and near coastlines. Intermediate rates of 30 to 50 mg P m–2 year–1 are associated with forests or mixed land use, and rates of 50 to 100 mg P m–2 year–1 or more are often recorded from urban or agricultural settings. Comparison with other methods suggests that these bulk precipitation estimates provide crude boundaries around actual P deposition rates for various land uses. However, data screening cannot remove all positive bias caused by contamination of bucket or bulk collectors. As a consequence, continued sampling

  17. Heart rate effects of intraosseous injections using slow and fast rates of anesthetic solution deposition.

    Science.gov (United States)

    Susi, Louis; Reader, Al; Nusstein, John; Beck, Mike; Weaver, Joel; Drum, Melissa

    2008-01-01

    The authors, using a crossover design, randomly administered, in a single-blind manner, 3 primary intraosseous injections to 61 subjects using: the Wand local anesthetic system at a deposition rate of 45 seconds (fast injection); the Wand local anesthetic system at a deposition rate of 4 minutes and 45 seconds (slow injection); a conventional syringe injection at a deposition rate of 4 minutes and 45 seconds (slow injection), in 3 separate appointments spaced at least 3 weeks apart. A pulse oximeter measured heart rate (pulse). The results demonstrated the mean maximum heart rate was statistically higher with the fast intraosseous injection (average 21 to 28 beats/min increase) than either of the 2 slow intraosseous injections (average 10 to 12 beats/min increase). There was no statistically significant difference between the 2 slow injections. We concluded that an intraosseous injection of 1.4 mL of 2% lidocaine with 1 : 100,000 epinephrine with the Wand at a 45-second rate of anesthetic deposition resulted in a significantly higher heart rate when compared with a 4-minute and 45-second anesthetic solution deposition using either the Wand or traditional syringe.

  18. Protease inhibitors enhance extracellular collagen fibril deposition in human mesenchymal stem cells.

    Science.gov (United States)

    Han, Sejin; Li, Yuk Yin; Chan, Barbara Pui

    2015-10-15

    Collagen is a widely used naturally occurring biomaterial for scaffolding, whereas mesenchymal stem cells (MSCs) represent a promising cell source in tissue engineering and regenerative medicine. It is generally known that cells are able to remodel their environment by simultaneous degradation of the scaffolds and deposition of newly synthesized extracellular matrix. Nevertheless, the interactions between MSCs and collagen biomaterials are poorly known, and the strategies enhancing the extracellular matrix deposition are yet to be defined. In this study, we aim to investigate the fate of collagen when it is in contact with MSCs and hypothesize that protease inhibition will enhance their extracellular deposition of collagen fibrils. Specifically, human MSCs (hMSCs) were exposed to fluorescence-labeled collagen with and without intracellular or extracellular protease inhibitors (or both) before tracing the collagen at both intracellular and extracellular spaces. Collagen were internalized by hMSCs and degraded intracellularly in lysosomes. In the presence of protease inhibitors, both intracellular collagen fibril growth and extracellular deposition of collagen fibrils were enhanced. Moreover, protease inhibitors work synergistically with ascorbic acid, a well-known matrix deposition-enhancing reagent, in further enhancing collagen fibril deposition at the extracellular space. These findings provide a better understanding of the interactions between hMSCs and collagen biomaterials and suggest a method to manipulate matrix remodeling and deposition of hMSCs, contributing to better scaffolding for tissue engineering and regenerative medicine.

  19. Solar Energy Deposition Rates in the Mesosphere Derived from Airglow Measurements: Implications for the Ozone Model Deficit Problem

    Science.gov (United States)

    Mlynczak, Martin G.; Garcia, Rolando R.; Roble, Raymond G.; Hagan, Maura

    2000-01-01

    We derive rates of energy deposition in the mesosphere due to the absorption of solar ultraviolet radiation by ozone. The rates are derived directly from measurements of the 1.27-microns oxygen dayglow emission, independent of knowledge of the ozone abundance, the ozone absorption cross sections, and the ultraviolet solar irradiance in the ozone Hartley band. Fifty-six months of airglow data taken between 1982 and 1986 by the near-infrared spectrometer on the Solar-Mesosphere Explorer satellite are analyzed. The energy deposition rates exhibit altitude-dependent annual and semi-annual variations. We also find a positive correlation between temperatures and energy deposition rates near 90 km at low latitudes. This correlation is largely due to the semiannual oscillation in temperature and ozone and is consistent with model calculations. There is also a suggestion of possible tidal enhancement of this correlation based on recent theoretical and observational analyses. The airglow-derived rates of energy deposition are then compared with those computed by multidimensional numerical models. The observed and modeled deposition rates typically agree to within 20%. This agreement in energy deposition rates implies the same agreement exists between measured and modeled ozone volume mixing ratios in the mesosphere. Only in the upper mesosphere at midlatitudes during winter do we derive energy deposition rates (and hence ozone mixing ratios) consistently and significantly larger than the model calculations. This result is contrary to previous studies that have shown a large model deficit in the ozone abundance throughout the mesosphere. The climatology of solar energy deposition and heating presented in this paper is available to the community at the Middle Atmosphere Energy Budget Project web site at http://heat-budget.gats-inc.com.

  20. Measurements of dry-deposition rates on various earth surfaces by 212Pb

    International Nuclear Information System (INIS)

    Osaki, S.; Sugihara, S.; Maeda, Y.

    2004-01-01

    Dry deposition rates of 212 Pb on a coniferous forest (Japanese cedar) and a broad-leaf forest (Pasania edulis) have been measured. Those on various kinds of grass fields, various states on artificial surface such as water, paper, and standing paper have been also measured. The dry deposition rates depend on the characteristics of depositing particles and the conditions of deposited surfaces. Dry deposition rates on the forest of Japanese cedar are highest because of the complex and adhesive surface of the leaves. Those on various grass fields are roughly depend on the logarithm of the height of their grasses. The total deposition rates of 7 Be do not depend on the densities or heights of the grasses. 7 Be may be not kept on their leaves or surface soil for a long time. The dry deposition rates of on artificial surface, e.g. paper and water surfaces make clear the mechanism on dry deposition, and suggest that more chances of collision and more adhesive of the surface are important for the dry deposition. About 90% of all deposition on the artificial paper grass was attached on the standing paper. On water surface, 60% of the rate of paper grass was attached, but only about 20% were attached on a dry paper plate. The aerosol particles are deposited by collision with the surface, therefore the deposition velocity depends on the chance of collision and the characteristics of the surface. Therefore the dry deposition rates on forests are larger and those of coniferous forest are largest. (author)

  1. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  2. Effect of substrate temperature and deposition rate on the morphology and optical properties of Ti films

    Energy Technology Data Exchange (ETDEWEB)

    Einollahzadeh-Samadi, M.; Dariani, R.S., E-mail: dariani@alzahra.ac.ir

    2013-09-01

    Titanium films are deposited on transparent fluorine-doped tin oxide (FTO) glass substrates by DC magnetron sputtering process. Influences imposed by sputtering rate and substrate temperature on surface morphology and optical properties of the deposited Ti films are investigated. We observed that all the sputtered films exhibit uniform and compact surface morphology without peeling and cracking. Morphology of the films is studied using atomic force microscopy (AFM) and X-ray diffraction (XRD). The optical properties of the films are investigated using UV–vis spectroscopy. The morphological studies indicate that by increasing the substrate temperature from room temperature to 250 °C and/or decreasing sputtering rate from 660 Å/min to 540 Å/min the surface roughness decreased from 73.4 to 31.0 nm and the grain size increases from 50.76 nm to 163.93 nm. An important effect of the root mean square (RMS) surface roughness and grain size is modification of the films optical properties. In fact, an enhancement of refractive index n for the Ti films deposited at high substrate temperature and/or high deposition rate is observed, that is attributed to reduction of RMS roughness. This effect is attributed to increment of fractional volume which leads to an increase in density of deposited film. Thus, by controlling the sputtering conditions one can reach to the desired morphological and optical properties.

  3. Particle deposition from aqueous suspensions in turbulent pipe flow - a comparison of observed deposition rates and predicted arrival rates

    International Nuclear Information System (INIS)

    Rodliffe, R.S.

    1979-11-01

    At the present time, there appear to be only four adequately controlled and characterised experimental studies of particle deposition from single phase water in turbulent pipe flow. These are used to illustrate the ranges of applicability of methods for predicting particle arrival rates at tube walls. Arrival rates are predicted from mass transfer correlations and the theory of Reeks and Skyrme (1976) when transport is limited by Brownian diffusion and inertial behaviour, respectively. The regimes in which finite particle size limits the application of these methods are defined and preliminary consideration is given to the conditions under which gravitational settling may make a contribution to deposition in vertically mounted tubes. (author)

  4. High power pulsed magnetron sputtering: A method to increase deposition rate

    International Nuclear Information System (INIS)

    Raman, Priya; McLain, Jake; Ruzic, David N; Shchelkanov, Ivan A.

    2015-01-01

    High power pulsed magnetron sputtering (HPPMS) is a state-of-the-art physical vapor deposition technique with several industrial applications. One of the main disadvantages of this process is its low deposition rate. In this work, the authors report a new magnetic field configuration, which produces deposition rates twice that of conventional magnetron's dipole magnetic field configuration. Three different magnet pack configurations are discussed in this paper, and an optimized magnet pack configuration for HPPMS that leads to a higher deposition rate and nearly full-face target erosion is presented. The discussed magnetic field produced by a specially designed magnet assembly is of the same size as the conventional magnet assembly and requires no external fields. Comparison of deposition rates with different power supplies and the electron trapping efficiency in complex magnetic field arrangements are discussed

  5. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  6. Deposition rates of viruses and bacteria above the atmospheric boundary layer.

    Science.gov (United States)

    Reche, Isabel; D'Orta, Gaetano; Mladenov, Natalie; Winget, Danielle M; Suttle, Curtis A

    2018-04-01

    Aerosolization of soil-dust and organic aggregates in sea spray facilitates the long-range transport of bacteria, and likely viruses across the free atmosphere. Although long-distance transport occurs, there are many uncertainties associated with their deposition rates. Here, we demonstrate that even in pristine environments, above the atmospheric boundary layer, the downward flux of viruses ranged from 0.26 × 10 9 to >7 × 10 9  m -2 per day. These deposition rates were 9-461 times greater than the rates for bacteria, which ranged from 0.3 × 10 7 to >8 × 10 7  m -2 per day. The highest relative deposition rates for viruses were associated with atmospheric transport from marine rather than terrestrial sources. Deposition rates of bacteria were significantly higher during rain events and Saharan dust intrusions, whereas, rainfall did not significantly influence virus deposition. Virus deposition rates were positively correlated with organic aerosols 0.7 μm, implying that viruses could have longer residence times in the atmosphere and, consequently, will be dispersed further. These results provide an explanation for enigmatic observations that viruses with very high genetic identity can be found in very distant and different environments.

  7. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    quality, etch rate. The response of these parameters to high temperature anneals were correlated with structural changes in the silicon nitride films as measured by using the hydrogen bond concentration. Plasma enhanced chemical vapour deposition allows continuous variation in nearly all deposition parameters. The parameters studied in this work are the gas flow ratios and excitation power. In both direct and remote deposition systems, the increase in deposition power density lead to higher activation of ammonia which in turn lead to augmented incorporation of nitrogen into the films and thus lower refractive index. For a direct system, the same parameter change lead to a drastic fall in passivation quality of Czochralski silicon attributed to an increase in ion bombardment as well as the general observation that as deposited passivation tends to increase with refractive index. Silicon nitride films with variations in refractive index were also made by varying the silane-to-ammonia gas flow ratio. This simple parameter adjustment makes plasma enhanced chemical vapour deposited silicon nitride applicable to double layer anti-reflective coatings simulated in this work. The films were found to have an etch rate in 5% hydrofluoric acid that decreased with increasing refractive index. This behaviour is attributed to the decreasing concentration of nitrogen-to-hydrogen bonds in the films. Such bonds at the surface of silicon nitride have been suggested to be involved in the main reaction mechanism when etching silicon nitride in hydrofluoric acid. Annealing the films lead to a drastic fall in etch rates and was linked to the release of hydrogen from the nitrogen-hydrogen bonds. (author). 115 refs., 35 figs., 6 tabs

  8. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  9. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  10. FURTHER STUDIES ON THE VARIATION OF SPRAY DEPOSITS IN VINEYARDS WITH AIRFLOW RATE AND VOLUME RATE

    Directory of Open Access Journals (Sweden)

    Emanuele Cerruto

    2007-03-01

    Full Text Available The present research, continuing that reported in [2], deals with the spray application subject, so to investigate as volume rate and airflow rate, forward speed being equal, affect the foliar deposition in an espalier vineyard. Experimental trials were carried out by means of an air assisted towed sprayer, equipped with “Albuz ATR” nozzles. To take into account the influence of the development of the trees, the field trials were replicated in two phenological stages with an interval of about one month: “Inflorescences fully developed” (stage 1 and “Beginning of berry touch” (stage 2. A full factorial experiment was carried out for each growth stage, with two airflow rates (3.9 and 7.5 m3/s, three volume rates (103, 216, and 276 L/ha in the first growth stage and 154, 330 and 432 L/ha in the second growth stage, and four replicates, arranged according to a randomised complete block design. Working pressure (1.2 MPa and forward speed (1.4 m/s were kept unchanged for all the trials. The foliar deposition was measured by means of a spectrophotometric technique. The leaves were sampled on two depth layers and two or three heights, according to the trees’ development. The results showed that volume rate did not significantly influence the mean foliar deposition in both the two growth stages, while the highest deposits were obtained with the lowest airflow rate. The airflow rate × volume rate interaction, though not statistically significant, showed that low volume rates together with high airflow rates, result in a noticeable reduction in foliar deposition (29% with respect the grand mean, due to an increase of the spry drift, especially at the first growth stage, when the foliar development is little. These second tests, unlike those described in [2], did not show any positive influence of the airflow rate on the foliar deposition in the inner part of the canopy, so further investigations could be necessary to better understand the

  11. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  12. Aluminum oxide barrier coating on polyethersulfone substrate by atomic layer deposition for barrier property enhancement

    International Nuclear Information System (INIS)

    Kim, Hyun Gi; Kim, Sung Soo

    2011-01-01

    Aluminum oxide layers were deposited on flexible polyethersulfone (PES) substrates via plasma enhanced atomic layer deposition (PEALD) process using trimethylaluminum (TMA) and oxygen as precursor and reactant materials. Several process parameters in PEALD process were investigated in terms of refractive index and layer thickness. Number of process cycle increased the thickness and refractive index of the layer to enhance the barrier properties. Non-physisorbed TMA and unreacted oxygen were purged before and after the plasma reaction, respectively. Identical purge time was applied to TMA and oxygen and it was optimized for 10 s. Thinner and denser layer was formed as substrate temperature increased. However, the PES substrate could be deformed above 120 o C. Aluminum oxide layer formed on PES at optimized conditions have 11.8 nm of thickness and reduced water vapor transmission rate and oxygen transmission rate to below 4 x 10 -3 g/m 2 day and 4 x 10 -3 cm 3 /m 2 day, respectively. Polycarbonate and polyethylene naphthalate films were also tested at optimized conditions, and they also showed quite appreciable barrier properties to be used as plastic substrates.

  13. Optimal bank portfolio choice under fixed-rate deposit insurance

    OpenAIRE

    Anlong Li

    1991-01-01

    An analysis of the investment decisions of a bank whose deposits are fully insured under fixed-rate insurance, showing how banks dynamically adjust their investment portfolios in response to market information and how this flexibility affects both investment decisions and the fair cost of deposit insurance.

  14. Control of ordered mesoporous titanium dioxide nanostructures formed using plasma enhanced glancing angle deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gibson, Des [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Child, David, E-mail: david.child@uws.ac.uk [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Song, Shigeng; Zhao, Chao [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Alajiani, Yahya [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Department of Physics, Faculty of Science, Jazan University, Jazan (Saudi Arabia); Waddell, Ewan [Thin Film Solutions Ltd, West of Scotland Science Park, Glasgow, G20 0TH (United Kingdom)

    2015-10-01

    Three dimensional nanostructures of mesoporous (pore diameter between 2-50 nm) nanocrystalline titania (TiO{sub 2}) were produced using glancing angle deposition combined with plasma ion assisted deposition, providing plasma enhanced glancing angle deposition eliminating the need for post-annealing to achieve film crystallinity. Electron beam evaporation was chosen to deposit nanostructures at various azimuthal angles, achieving designed variation in three dimensional nanostructure. A thermionic broad beam hollow cathode plasma source was used to enhance electron beam deposition, with ability to vary in real time ion fluxes and energies providing a means to modify and control TiO{sub 2} nanostructure real time with controlled density and porosity along and lateral to film growth direction. Plasma ion assisted deposition was carried out at room temperature using a hollow cathode plasma source, ensuring low heat loading to the substrate during deposition. Plasma enhanced glancing angle TiO{sub 2} structures were deposited onto borosilicate microscope slides and used to characterise the effects of glancing angle and plasma ion energy distribution function on the optical and nanostructural properties. Variation in TiO{sub 2} refractive index from 1.40 to 2.45 (@ 550 nm) using PEGLAD is demonstrated. Results and analysis of the influence of plasma enhanced glancing angle deposition on evaporant path and resultant glancing angle deviation from standard GLAD are described. Control of mesoporous morphology is described, providing a means of optimising light trapping features and film porosity, relevant to applications such as fabrication of dye sensitised solar cells. - Highlights: • Plasma assistance during glancing angle deposition enables control of morphology. • Ion energy variation during glancing angle deposition varies columnar angle • Column thickness of glancing angle deposition dependant on ion current density • Ion current density variation during

  15. Interest Rate Fluctuation Effect on Commercial Bank's Fixed Fund Deposit in Nigeria

    OpenAIRE

    Okolo Chimaobi Valentine

    2015-01-01

    Commercial banks in Nigeria adopted many strategies to attract fresh deposits including the use of high deposit rate. However, pricing of banking services moved in favor of the banks at the expense of customers, resulting in their seeking other investment alternatives rather than saving their money in the bank. Both deposit and lending rates were greatly influenced by the Central Bank of Nigeria (CBN) decision on interest rate. Therefore, commercial bank effort to attract...

  16. Field-enhanced REB deposition and Bremsstrahlung production

    International Nuclear Information System (INIS)

    Halbleib, J.A. Sr.; Widner, M.M.

    1977-07-01

    Recently developed models are employed to describe the interaction of a high-current REB (relativistic electron beam) with planar gold foils in the presence of macroscopic electromagnetic fields. It is shown that, under certain conditions, azimuthal magnetic fields which either penetrate into the foil and/or exist on the transmission side of the foil can significantly enhance the specific power deposited in the foil over that which would be deposited for diode fields alone. Similar field effects suggest methods for improving the external conversion efficiencies, softening the spectra and focussing the source intensities of flash x-ray facilities. Finally, preliminary results are shown from a new trajectory-field model for self-consistent REB transport

  17. Atmospheric dry deposition in the vicinity of the Salton Sea, California - II: Measurement and effects of an enhanced evaporation system

    Science.gov (United States)

    Alonso, R.; Bytnerowicz, A.; Yee, J.L.; Boarman, W.I.

    2005-01-01

    A study was conducted to determine the effects of salt spray drift from pilot technologies employed by the US Bureau of Reclamation on deposition rates of various air-born ions. An enhanced evaporation system (EES) was tested in the field at the Salton Sea, California. Dry deposition of NO3-, NH4+, SO42-, Cl-, Ca2+, Na+, K+ and Se was assessed by using nylon filters and branches of natural vegetation exposed for one-week long periods. The simultaneous exposure of both lyophilized branches and branches of live plants offered important information highlighting the dynamics of deposited ions on vegetation. The EES significantly increased the deposition rates of Cl-, SO42- and Na+ in an area of about 639-1062 m surrounding the sprayers. Similarly, higher deposition of Ca 2+ and K+ caused by the EES was detected only when deposition was assessed using nylon filters or lyophilized branches. Deposition fluxes of NO3-, NH4+ and Se were not affected by the spraying system. Techniques for measuring dry deposition and calculating landscape-level depositional loads in non-forested systems need further development. ?? 2005 Elsevier Ltd. All rights reserved.

  18. Effect of deposition rate on the microstructure of electron beam evaporated nanocrystalline palladium thin films

    Energy Technology Data Exchange (ETDEWEB)

    Amin-Ahmadi, B., E-mail: behnam.amin-ahmadi@ua.ac.be [Electron Microscopy for Materials Science (EMAT), Department of Physics, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Idrissi, H. [Electron Microscopy for Materials Science (EMAT), Department of Physics, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Galceran, M. [Université Libre de Bruxelles, Matters and Materials Department, 50 Av. FD Roosevelt CP194/03, 1050 Brussels (Belgium); Colla, M.S. [Institute of Mechanics, Materials and Civil Engineering, Université catholique de Louvain, Place Sainte Barbe 2, B-1348 Louvain-la-Neuve (Belgium); Raskin, J.P. [Information and Communications Technologies, Electronics and Applied Mathematics (ICTEAM), Microwave Laboratory, Université catholique de Louvain, B-1348 Louvain-la-Neuve (Belgium); Pardoen, T. [Institute of Mechanics, Materials and Civil Engineering, Université catholique de Louvain, Place Sainte Barbe 2, B-1348 Louvain-la-Neuve (Belgium); Godet, S. [Université Libre de Bruxelles, Matters and Materials Department, 50 Av. FD Roosevelt CP194/03, 1050 Brussels (Belgium); Schryvers, D. [Electron Microscopy for Materials Science (EMAT), Department of Physics, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium)

    2013-07-31

    The influence of the deposition rate on the formation of growth twins in nanocrystalline Pd films deposited by electron beam evaporation is investigated using transmission electron microscopy. Statistical measurements prove that twin boundary (TB) density and volume fraction of grains containing twins increase with increasing deposition rate. A clear increase of the dislocation density was observed for the highest deposition rate of 5 Å/s, caused by the increase of the internal stress building up during deposition. Based on crystallographic orientation indexation using transmission electron microscopy, it can be concluded that a {111} crystallographic texture increases with increasing deposition rate even though the {101} crystallographic texture remains dominant. Most of the TBs are fully coherent without any residual dislocations. However, for the highest deposition rate (5 Å/s), the coherency of the TBs decreases significantly as a result of the interaction of lattice dislocations emitted during deposition with the growth TBs. The analysis of the grain boundary character of different Pd films shows that an increasing fraction of high angle grain boundaries with misorientation angles around 55–65° leads to a higher potential for twin formation. - Highlights: • Fraction of twinned grains and twin boundary density increase with deposition rate. • Clear increase of dislocation density was observed for the highest deposition rate. • A moderate increase of the mean grain size with increase of deposition rate is found. • For the highest deposition rate, the twin boundaries lose their coherency. • Fraction of high angle grain boundary (55–65) increases with deposition rate.

  19. The allelopathic effects of invasive plant Solidago canadensis on seed germination and growth of Lactuca sativa enhanced by different types of acid deposition.

    Science.gov (United States)

    Wang, Congyan; Xiao, Hongguang; Zhao, Lulu; Liu, Jun; Wang, Lei; Zhang, Fei; Shi, Yanchun; Du, Daolin

    2016-04-01

    Invasive species can exhibit allelopathic effects on native species. Meanwhile, the types of acid deposition are gradually changing. Thus, the allelopathic effects of invasive species on seed germination and growth of native species may be altered or even enhanced under conditions with diversified acid deposition. This study aims to assess the allelopathic effects (using leaves extracts) of invasive plant Solidago canadensis on seed germination and growth of native species Lactuca sativa treated with five types of acid deposition with different SO4(2-) to NO3(-) ratios (1:0, sulfuric acid; 5:1, sulfuric-rich acid; 1:1, mixed acid; 1:5, nitric-rich acid; 0:1, nitric acid). Solidago canadensis leaf extracts exhibited significantly allelopathic effects on germination index, vigor index, and germination rate index of L. sativa. High concentration of S. canadensis leaf extracts also similarly exhibited significantly allelopathic effects on root length of L. sativa. This may be due to that S. canadensis could release allelochemicals and then trigger allelopathic effects on seed germination and growth of L. sativa. Acid deposition exhibited significantly negative effects on seedling biomass, root length, seedling height, germination index, vigor index, and germination rate index of L. sativa. This may be ascribed to the decreased soil pH values mediated by acid deposition which could produce toxic effects on seedling growth. Sulfuric acid deposition triggered more toxic effects on seedling biomass and vigor index of L. sativa than nitric acid deposition. This may be attributing to the difference in exchange capacity with hydroxyl groups (OH(-)) between SO4(2-) and NO3(-) as well as the fertilizing effects mediated by nitric deposition. All types of acid deposition significantly enhanced the allelopathic effects of S. canadensis on root length, germination index, vigor index, and germination rate index of L. sativa. This may be due to the negatively synergistic effects of

  20. Plasmonic resonance-enhanced local photothermal energy deposition by aluminum nanoparticles

    International Nuclear Information System (INIS)

    Chong Xinyuan; Jiang Naibo; Zhang Zhili; Roy, Sukesh; Gord, James R.

    2013-01-01

    Local energy deposition of aluminum nanoparticles (Al NPs) by localized surface plasmon resonance-enhanced photothermal effects is demonstrated. Low-power light stimuli are efficiently and locally concentrated to trigger the oxidation reactions of Al NPs because of the large ohmic absorption and high reactivity of the Al. Numerical simulations show that both ultraviolet and visible light are more efficient than infrared light for photothermal energy coupling. The natural oxidation layer of alumina is found to have minimum impact on the energy deposition because of its negligible dielectric losses. The near-field distributions of the electric field indicate that slight aggregation induces much higher local enhancement, especially at the interface region of multiple contacting nanoparticles.

  1. Reactive magnetron sputtering deposition of bismuth tungstate onto titania nanoparticles for enhancing visible light photocatalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Ratova, Marina, E-mail: marina_ratova@hotmail.com [Surface Engineering Group, School of Engineering, Manchester Metropolitan University, Manchester, M1 5GD (United Kingdom); Kelly, Peter J.; West, Glen T. [Surface Engineering Group, School of Engineering, Manchester Metropolitan University, Manchester, M1 5GD (United Kingdom); Tosheva, Lubomira; Edge, Michele [School of Science and the Environment, Manchester Metropolitan University, Manchester M1 5GD (United Kingdom)

    2017-01-15

    Highlights: • Bismuth tungstate coatings were deposited by reactive magnetron sputtering. • Oscillating bowl was introduced to the system to enable coating of nanopartulates. • Deposition of Bi{sub 2}WO{sub 6} enhanced visible light activity of titania nanoparticles. • The best results were obtained for coating with Bi:W ratio of approximately 2:1. • Deposition of Bi{sub 2}WO{sub 6} onto TiO{sub 2} resulted in more efficient electron-hole separation. - Abstract: Titanium dioxide − bismuth tungstate composite materials were prepared by pulsed DC reactive magnetron sputtering of bismuth and tungsten metallic targets in argon/oxygen atmosphere onto anatase and rutile titania nanoparticles. The use of an oscillating bowl placed beneath the two magnetrons arranged in a co-planar closed field configuration enabled the deposition of bismuth tungstate onto loose powders, rather than a solid substrate. The atomic ratio of the bismuth/tungsten coatings was controlled by varying the power applied to each target. The effect of the bismuth tungstate coatings on the phase, optical and photocatalytic properties of titania was investigated by X-ray diffraction, energy-dispersive X-ray spectroscopy (EDX), Brunauer–Emmett–Teller (BET) surface area measurements, transmission electron microscopy (TEM), UV–vis diffuse reflectance spectroscopy and an acetone degradation test. The latter involved measurements of the rate of CO{sub 2} evolution under visible light irradiation of the photocatalysts, which indicated that the deposition of bismuth tungstate resulted in a significant enhancement of visible light activity, for both anatase and rutile titania particles. The best results were achieved for coatings with a bismuth to tungsten atomic ratio of 2:1. In addition, the mechanism by which the photocatalytic activity of the TiO{sub 2} nanoparticles was enhanced by compounding it with bismuth tungstate was studied by microwave cavity perturbation. The results of these

  2. Broadband infrared absorption enhancement by electroless-deposited silver nanoparticles

    DEFF Research Database (Denmark)

    Gritti, Claudia; Raza, Søren; Kadkhodazadeh, Shima

    2017-01-01

    Decorating semiconductor surfaces with plasmonic nanoparticles (NPs) is considered a viable solution for enhancing the absorptive properties of photovoltaic and photodetecting devices. We propose to deposit silver NPs on top of a semiconductor wafer by a cheap and fast electroless plating technique......, which points to the possible applications of such deposition method for harvesting photons in nanophotonics and photovoltaics. The broadband absorption is a consequence of the resonant behavior of particles with different shapes and sizes, which strongly localize the incident light at the interface...

  3. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  4. Enhancement of porous silicon photoluminescence by electroless deposition of nickel

    Energy Technology Data Exchange (ETDEWEB)

    Amdouni, S. [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Rahmani, M., E-mail: rahmanimehdi79@yahoo.com [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Zaïbi, M.-A [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Ecole Nationale Supérieure des Ingénieurs de Tunis, Université de Tunis, 5 Avenue Taha Hussein, 1008 Tunis (Tunisia); Oueslati, M. [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia)

    2015-01-15

    Nickel-porous silicon nanocomposites (PS/Ni) are elaborated by an electroless deposition method using NiCl{sub 2} aqueous solution. The presence of nickel ions in the porous layer is confirmed by Fourier Transformed InfraRed spectroscopy (FTIR) and Raman spectroscopy. The photoluminescence (PL) spectra of PS/Ni, prepared at different electroless durations (t{sub edp}), are analyzed. A remarkable enhancement in the integrated PL intensity of PS containing nickel was observed. The lower t{sub edp} favor the deposition of nickel in PS, hence the silicon dangling bonds at the porous surface are quenched and this was increased the PL intensity. However, for the longer t{sub edp}, the PL intensity has been considerably decreased due to the destruction of some Si nanocrystallites. The PL spectra of PS/Ni, for t{sub edp} less than 8 min, show a multiband profile indicating the creation of new luminescent centers by Ni elements which induces a strong modification in the emission mechanisms. - Highlights: • Deposition of Ni ions into porous silicon (PS) layer using the electroless method. • Formation of Ni–O bonds on the porous layer. • The photoluminescence (PL) intensity of PS is enhanced after Ni deposition. • The increase of the PL is due to the contribution of radiative centers related to Ni.

  5. Accumulation rates and sediment deposition in the northwestern Mediterranean

    Science.gov (United States)

    Zuo, Z.; Eisma, D.; Gieles, R.; Beks, J.

    As part of the EROS 2000 programme, sediment mixing and accumulation rates in the northwestern Mediterranean Sea were determined, applying the 210Pb dating method to a total of 49 cores, and the results from 29 sediment cores are presented here. On the basis of the results from the 49 sediment cores, an attempt was made to present a general picture of sediment accumulation for the area of the northwestern Mediterranean. The total deposition of sediment in the area is estimated to be of the order of 34±15 × 106 ton year-1, which is half the value reported earlier by Got and Aloisi (1990) (Continental Shelf Research, 10, 841-855) for the same region. The activity-depth profiles of 210Pb show the presence of intensive mixing in the upper layer of near-shore sediments, but little or no mixing is observed in the deep-water sediments. Based on a diffusion model, sediment mixing rates calculated from excess 210Pb gradients vary from 0·002 to 7· cm2 year-1, and the deposition rates from 0·01 to 0·60 cm year-1. A linear dependence of sedimentation rate on water depth derived from the sediment cores indicates an inverse correlation between these two. The relatively high sedimentation rates and mixing rates found near the Rhône River suggest that the contribution from the river dominates the deposition system in the northwestern Mediterranean. In the deep-water basin, however, atmospheric input and biological production are clearly more important.

  6. Measurements of the deposition rates of radon daughters on indoor surfaces

    International Nuclear Information System (INIS)

    Wang, H.; Essling, M.A.; Toohey, R.E.; Rundo, J.

    1982-01-01

    The deposition rates of radon daughters on indoor surfaces have been measured by exposing the window of a proportional counter to the air of a house with high concentrations of radon and its daughters. Deposition velocities for unattached 218 Po (RaA) and 214 Pb (RaB) of approximately 4 mm sec - 1 were obtained by dividing the deposition rates by the concentrations of unattached daughters in the air. These results agree with those obtained by other workers but are dependent on the assumptions made about the fractions of the daughters which are attached to the atmospheric aerosol

  7. Highly effective synthesis of NiO/CNT nanohybrids by atomic layer deposition for high-rate and long-life supercapacitors.

    Science.gov (United States)

    Yu, Lei; Wang, Guilong; Wan, Gengping; Wang, Guizhen; Lin, Shiwei; Li, Xinyue; Wang, Kan; Bai, Zhiming; Xiang, Yang

    2016-09-21

    In this work, we report an atomic layer deposition (ALD) method for the fabrication of NiO/CNT hybrid structures in order to improve electronic conductivity, enhance cycling stability and increase rate capability of NiO used as supercapacitor electrodes. A uniform NiO coating can be well deposited on carbon nanotubes (CNTs) through simultaneously employing O3 and H2O as oxidizing agents in a single ALD cycle of NiO for the first time, with a high growth rate of nearly 0.3 Å per cycle. The electrochemical properties of the as-prepared NiO/CNT were then investigated. The results show that the electrochemical capacitive properties are strongly associated with the thickness of the NiO coating. The NiO/CNT composite materials with 200 cycles of NiO deposition exhibit the best electrochemical properties, involving high specific capacitance (622 F g(-1) at 2 A g(-1), 2013 F g(-1) for NiO), excellent rate capability (74% retained at 50 A g(-1)) and outstanding cycling stability. The impressive results presented here suggest a great potential for the fabrication of composite electrode materials by atomic layer deposition applied in high energy density storage systems.

  8. Broadband infrared absorption enhancement by electroless-deposited silver nanoparticles

    Directory of Open Access Journals (Sweden)

    Gritti Claudia

    2016-07-01

    Full Text Available Decorating semiconductor surfaces with plasmonic nanoparticles (NPs is considered a viable solution for enhancing the absorptive properties of photovoltaic and photodetecting devices. We propose to deposit silver NPs on top of a semiconductor wafer by a cheap and fast electroless plating technique. Optical characterization confirms that the random array of electroless-deposited NPs improves absorption by up to 20% in a broadband of near-infrared frequencies from the bandgap edge to 2000 nm. Due to the small filling fraction of particles, the reflection in the visible range is practically unchanged, which points to the possible applications of such deposition method for harvesting photons in nanophotonics and photovoltaics. The broadband absorption is a consequence of the resonant behavior of particles with different shapes and sizes, which strongly localize the incident light at the interface of a high-index semiconductor substrate. Our hypothesis is substantiated by examining the plasmonic response of the electroless-deposited NPs using both electron energy loss spectroscopy and numerical calculations.

  9. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  10. Plasma enhanced chemical vapor deposition of ZrO2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, Kolandaivelu [Iowa State Univ., Ames, IA (United States)

    1993-12-09

    Amorphous ZrO2 thin films were deposited in an inductively coupled PECVD system using a Zr β-diketonate, Zr(C11H19O2)4, as the precursor. The deposits were air annealed at 900C for 5 min to get pure, single phase, oriented, polycrystalline α-ZrO2. Feasibility of using 2 different types of reactors was investigated. The inductively heated horizontal reactor depositions at 600C had a lower deposition rate and the films were non-uniform in thickness with a columnar structure. The resistively heated vertical reactor depositions at 350C had a higher deposition rate and the films were more uniform in thickness with a fine grained microstructure. The statistical design was demonstrated as an effective technique to analyze the effect of process conditions on the rate of deposition and relative (h00) orientation. The factorial design was used to quantify the two responses in terms of the process variables and their mutual interactions. The statistical design for rate of deposition was found to correlate with the trends observed in classical design.

  11. Formation and characterization of the MgO protecting layer deposited by plasma-enhanced metal-organic chemical-vapor deposition

    CERN Document Server

    Kang, M S; Byun, J C; Kim, D S; Choi, C K; Lee, J Y; Kim, K H

    1999-01-01

    MgO films were prepared on Si(100) and soda-lime glass substrates by using plasma-enhanced metal-organic chemical-vapor deposition. Various ratios of the O sub 2 /CH sub 3 MgO sup t Bu gas mixture and various gas flow rates were tested for the film fabrications. Highly (100)-oriented MgO films with good crystallinity were obtained with a 10 sccm CH sub 3 MgO sup t Bu flow without an O sub 2 gas flow. About 5 % carbon was contained in all the MgO films. The refractive index and the secondary electron emission coefficient for the best quality film were 1.43 and 0.45, respectively. The sputtering rate was about 0.2 nm/min for 10 sup 1 sup 1 cm sup - sup 3 Ar sup + ion density. Annealing at 500 .deg. C in an Ar ambient promoted the grain size without inducing a phase transition.

  12. Characterisation of DLC films deposited using titanium isopropoxide (TIPOT) at different flow rates.

    Science.gov (United States)

    Said, R; Ali, N; Ghumman, C A A; Teodoro, O M N D; Ahmed, W

    2009-07-01

    In recent years, there has been growing interest in the search for advanced biomaterials for biomedical applications, such as human implants and surgical cutting tools. It is known that both carbon and titanium exhibit good biocompatibility and have been used as implants in the human body. It is highly desirable to deposit biocompatible thin films onto a range of components in order to impart biocompatibility and to minimise wear in implants. Diamond like carbon (DLC) is a good candidate material for achieving biocompatibility and low wear rates. In this study, thin films of diamond-like-carbon DLC were deposited onto stainless steel (316) substrates using C2H2, argon and titanium isopropoxide (TIPOT) precursors. Argon was used to generate the plasma in the plasma enhanced vapour deposition (PECVD) system. A critical coating feature governing the performance of the component during service is film thickness. The as-grown films were in the thickness range 90-100 nm and were found to be dependent on TIPOT flow rate. Atomic force microscopy (AFM) was used to characterise the surface roughness of the samples. As the flow rate of TIPOT increased the average roughness was found to increase in conjunction with the film thickness. Raman spectroscopy was used to investigate the chemical structure of amorphous carbon matrix. Surface tension values were calculated using contact angle measurements. In general, the trend of the surface tension results exhibited an opposite trend to that of the contact angle. The elemental composition of the samples was characterised using a VG ToF SIMS (IX23LS) instrument and X-ray photoelectron spectroscopy (XPS). Surprisingly, SIMS and XPS results showed that the DLC samples did not show evidence of titanium since no peaks representing to titanium appeared on the SIMS/XPS spectra.

  13. Gas flow rate and powder flow rate effect on properties of laser metal deposited Ti6Al4V

    CSIR Research Space (South Africa)

    Pityana, S

    2013-03-01

    Full Text Available . The powder flow rate and the gas flow rate were varied to study their effect on the physical, metallurgical and mechanical properties of the deposits. The physical properties studied are: the track width, the track height and the deposit weight...

  14. Improvement in Device Performance and Reliability of Organic Light-Emitting Diodes through Deposition Rate Control

    Directory of Open Access Journals (Sweden)

    Shun-Wei Liu

    2014-01-01

    Full Text Available We demonstrated a fabrication technique to reduce the driving voltage, increase the current efficiency, and extend the operating lifetime of an organic light-emitting diode (OLED by simply controlling the deposition rate of bis(10-hydroxybenzo[h]qinolinato beryllium (Bebq2 used as the emitting layer and the electron-transport layer. In our optimized device, 55 nm of Bebq2 was first deposited at a faster deposition rate of 1.3 nm/s, followed by the deposition of a thin Bebq2 (5 nm layer at a slower rate of 0.03 nm/s. The Bebq2 layer with the faster deposition rate exhibited higher photoluminescence efficiency and was suitable for use in light emission. The thin Bebq2 layer with the slower deposition rate was used to modify the interface between the Bebq2 and cathode and hence improve the injection efficiency and lower the driving voltage. The operating lifetime of such a two-step deposition OLED was 1.92 and 4.6 times longer than that of devices with a single deposition rate, that is, 1.3 and 0.03 nm/s cases, respectively.

  15. Broadband infrared absorption enhancement by electroless-deposited silver nanoparticles

    DEFF Research Database (Denmark)

    Gritti, Claudia; Raza, Søren; Kadkhodazadeh, Shima

    2017-01-01

    Decorating semiconductor surfaces with plasmonic nanoparticles (NPs) is considered a viable solution for enhancing the absorptive properties of photovoltaic and photodetecting devices. We propose to deposit silver NPs on top of a semiconductor wafer by a cheap and fast electroless plating technique....... Optical characterization confirms that the random array of electroless-deposited NPs improves absorption by up to 20% in a broadband of nearinfrared frequencies from the bandgap edge to 2000 nm. Due to the small filling fraction of particles, the reflection in the visible range is practically unchanged......, which points to the possible applications of such deposition method for harvesting photons in nanophotonics and photovoltaics. The broadband absorption is a consequence of the resonant behavior of particles with different shapes and sizes, which strongly localize the incident light at the interface...

  16. CAMELS-based Determinants for the Credit Rating of Turkish Deposit Banks

    Directory of Open Access Journals (Sweden)

    Serhat Yuksel

    2015-10-01

    Full Text Available This paper demonstrates the relationship between CAMELS ratios and credit ratings of deposit banks in Turkey. Annual data was used for the period between 2004 and 2014 in this study. Moreover, 20 deposit banks of Turkey were analyzed and 21 different ratios of CAMELS components were used. In addition to that, credit ratings of these banks were provided from Moody’s corporation or annual activity reports of the banks. After that, we created multi nominal logistic regression analysis in order to illustrate the relationship. The major finding in this study is that three components (Asset Quality, Management Quality, and Sensitivity to Market Risk of CAMELS have effects on credit ratings whereas the ratios related to Capital Adequacy and Earnings are not effective. As a result, it was recommended that Turkish deposit banks should concentrate on the percentage of fixed assets and interest income to have a better rating. Moreover, having high market share with respect to total assets and lower interest expense are also other important points for this purpose. On the other hand, Turkish deposit banks should control the proportion of financial assets and increase the amount of FX liquid assets to prevent credit ratings to decrease. Additionally, market share of banks for loans should not reach at high level for this objective.

  17. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  18. Analysis of heating effect on the process of high deposition rate microcrystalline silicon

    International Nuclear Information System (INIS)

    Xiao-Dan, Zhang; He, Zhang; Chang-Chun, Wei; Jian, Sun; Guo-Fu, Hou; Shao-Zhen, Xiong; Xin-Hua, Geng; Ying, Zhao

    2010-01-01

    A possible heating effect on the process of high deposition rate microcrystalline silicon has been studied. It includes the discharge time-accumulating heating effect, discharge power, inter-electrode distance, and total gas flow rate induced heating effect. It is found that the heating effects mentioned above are in some ways quite similar to and in other ways very different from each other. However, all of them will directly or indirectly cause the increase of the substrate surface temperature during the process of depositing microcrystalline silicon thin films, which will affect the properties of the materials with increasing time. This phenomenon is very serious for the high deposition rate of microcrystalline silicon thin films because of the high input power and the relatively small inter-electrode distance needed. Through analysis of the heating effects occurring in the process of depositing microcrystalline silicon, it is proposed that the discharge power and the heating temperature should be as low as possible, and the total gas flow rate and the inter-electrode distance should be suitable so that device-grade high quality deposition rate microcrystalline silicon thin films can be fabricated

  19. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  20. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  1. Impacts of chemical enhancers on skin permeation and deposition of terbinafine.

    Science.gov (United States)

    Erdal, Meryem Sedef; Peköz, Ayca Yıldız; Aksu, Buket; Araman, Ahmet

    2014-08-01

    The addition of chemical enhancers into formulations is the most commonly employed approach to overcome the skin barrier. The objective of this work was to evaluate the effect of vehicle and chemical enhancers on the skin permeation and accumulation of terbinafine, an allylamine antifungal drug. Terbinafine (1% w/w) was formulated as a Carbopol 934 P gel formulation in presence and absence of three chemical enhancers, nerolidol, dl-limonene and urea. Terbinafine distribution and deposition in stratum corneum (SC) and skin following 8-h ex vivo permeation study was determined using a sequential tape stripping procedure. The conformational order of SC lipids was investigated by ATR-FTIR spectroscopy. Nerolidol containing gel formulation produced significantly higher enhancement in terbinafine permeation through skin and its skin accumulation was increased. ATR-FTIR results showed enhancer induced lipid bilayer disruption in SC. Urea resulted in enhanced permeation of terbinafine across the skin and a balanced distribution to the SC was achieved. But, dl-limonene could not minimize the accumulation of terbinafine in the upper SC. Nerolidol dramatically improved the skin permeation and deposition of terbinafine in the skin that might help to optimize targeting of the drug to the epidermal sites as required for both of superficial and deep cutaneous fungal infections.

  2. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  3. Anthropogenic nitrogen deposition enhances carbon sequestration in boreal soils.

    Science.gov (United States)

    Maaroufi, Nadia I; Nordin, Annika; Hasselquist, Niles J; Bach, Lisbet H; Palmqvist, Kristin; Gundale, Michael J

    2015-08-01

    It is proposed that carbon (C) sequestration in response to reactive nitrogen (Nr ) deposition in boreal forests accounts for a large portion of the terrestrial sink for anthropogenic CO2 emissions. While studies have helped clarify the magnitude by which Nr deposition enhances C sequestration by forest vegetation, there remains a paucity of long-term experimental studies evaluating how soil C pools respond. We conducted a long-term experiment, maintained since 1996, consisting of three N addition levels (0, 12.5, and 50 kg N ha(-1) yr(-1) ) in the boreal zone of northern Sweden to understand how atmospheric Nr deposition affects soil C accumulation, soil microbial communities, and soil respiration. We hypothesized that soil C sequestration will increase, and soil microbial biomass and soil respiration will decrease, with disproportionately large changes expected compared to low levels of N addition. Our data showed that the low N addition treatment caused a non-significant increase in the organic horizon C pool of ~15% and a significant increase of ~30% in response to the high N treatment relative to the control. The relationship between C sequestration and N addition in the organic horizon was linear, with a slope of 10 kg C kg(-1) N. We also found a concomitant decrease in total microbial and fungal biomasses and a ~11% reduction in soil respiration in response to the high N treatment. Our data complement previous data from the same study system describing aboveground C sequestration, indicating a total ecosystem sequestration rate of 26 kg C kg(-1) N. These estimates are far lower than suggested by some previous modeling studies, and thus will help improve and validate current modeling efforts aimed at separating the effect of multiple global change factors on the C balance of the boreal region. © 2015 John Wiley & Sons Ltd.

  4. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  5. The effect of changing the magnetic field strength on HiPIMS deposition rates

    International Nuclear Information System (INIS)

    Bradley, J W; Mishra, A; Kelly, P J

    2015-01-01

    The marked difference in behaviour between HiPIMS and conventional dc or pulsed-dc magnetron sputtering discharges with changing magnetic field strengths is demonstrated through measurements of deposition rate. To provide a comparison between techniques the same circular magnetron was operated in the three excitation modes at a fixed average power of 680 W and a pressure of 0.54 Pa in the non-reactive sputtering of titanium. The total magnetic field strength B at the cathode surface in the middle of the racetrack was varied from 195 to 380 G. DC and pulsed-dc discharges show the expected behaviour that deposition rates fall with decreasing B (here by ∼25–40%), however the opposite trend is observed in HiPIMS with deposition rates rising by a factor of 2 over the same decrease in B.These observations are understood from the stand point of the different composition and transport processes of the depositing metal flux between the techniques. In HiPIMS, this flux is largely ionic and slow post-ionized sputtered particles are subject to strong back attraction to the target by a retarding plasma potential structure ahead of them. The height of this potential barrier is known to increase with increasing B.From a simple phenomenological model of the sputtered particles fluxes, and using the measured deposition rates from the different techniques as inputs, the combined probabilities of ionization, α, and back attraction, β, of the metal species in HiPIMS has been calculated. There is a clear fall in αβ (from ∼0.9 to ∼0.7) with decreasing B-field strengths, we argue primarily due to a weakening of electrostatic ion back attraction, so leading to higher deposition rates. The results indicate that careful design of magnetron field strengths should be considered to optimise HiPIMS deposition rates. (paper)

  6. Chronostratigraphy and deposition rates in the Okinawa Trough region

    Institute of Scientific and Technical Information of China (English)

    李培英; 王永吉; 刘振夏

    1999-01-01

    Six representative cores from Okinawa Trough have been dated using AMS 14C, Standard 14C and ESR methods, and comparatively analysed. Systematic measurements of the oriented samples taken from the cores were conducted for obtaining their remnant magnetic polarity. With the aid of the dates obtained, particularly the AMS 14C ages of planktonic foraminiferal tests, two polarity events and two polar wanderings of the earth magnetic field have been defined. Calculations of the deposition rates for all the six core sites indicate rather high values in the trough plain, at least equivalent to those rates reported for the region of the East China Sea. They commonly range from 10 to 30 cm/ka, and even greater than 40 cm/ka in some localities. The deposition rate varied widely with topography and periods of time. In general, it is greater during the postglacial period than during the last glaciation. The chronostratigraphy in the Okinawa Trough region established through this study argues against the pr

  7. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  8. Inkwells for on-demand deposition rate measurement in aerosol-jet based 3D printing

    International Nuclear Information System (INIS)

    Gu, Yuan; Das, Siddhartha; Gutierrez, David; Hines, D R

    2017-01-01

    Aerosol-jet printing (AJP) is an important direct-write printing technology based on additive manufacturing methods. Numerous research groups have utilized AJP for the fabrication of electronic circuits and devices. However, there has not been any real-time or even any on-demand method for quantitatively measuring and/or setting the deposition rate of an AJ ink stream. In this paper, we present a method for measuring the deposition rate of an AJ ink stream by printing into an array of inkwells that were fabricated using photolithography and were characterized using x-ray tomography and optical profilometry. These inkwell arrays were then used to establish a set of deposition rates namely 0.0011, 0.0024, 0.0035, 0.0046 and 0.0059 mm 3 s −1 that were subsequently compared with independently-measured deposition rates obtained by printing the ink stream into a weighing pan for a specified time and calculating the resulting deposition rate from the weight of the printed sample. From this comparison, it is observed that, for a human operator, the error in setting a specific deposition rate is less for inkwell fill times greater than 3 s and greater for fill times less than 3 s. This observation indicates that the average volume of an inkwell array should be at least three times the desired deposition rate ( V inkwell   >  3 R ). It was also observed that when the diameter of the inkwell was only slightly larger than the ink stream diameter, the ink uniformly wets the sidewall of the inkwell and results in a well filled inkwell for which the point at which it is just fully filled is easily observable. Finally, the interactions of the ink with both ‘philic’ and ‘phobic’ inkwells were studied illustrating the ability to use inkwells of various materials for setting the desired deposition rates for a variety of AJ printable inks. (technical note)

  9. A robust upscaling of the effective particle deposition rate in porous media

    Science.gov (United States)

    Boccardo, Gianluca; Crevacore, Eleonora; Sethi, Rajandrea; Icardi, Matteo

    2018-05-01

    In the upscaling from pore to continuum (Darcy) scale, reaction and deposition phenomena at the solid-liquid interface of a porous medium have to be represented by macroscopic reaction source terms. The effective rates can be computed, in the case of periodic media, from three-dimensional microscopic simulations of the periodic cell. Several computational and semi-analytical models have been studied in the field of colloid filtration to describe this problem. They typically rely on effective deposition rates defined by complex fitting procedures, neglecting the advection-diffusion interplay, the pore-scale flow complexity, and assuming slow reactions (or large Péclet numbers). Therefore, when these rates are inserted into general macroscopic transport equations, they can lead to several conceptual inconsistencies and significant errors. To study more accurately the dependence of deposition on the flow parameters, in this work we advocate a clear distinction between the surface processes (that altogether defines the so-called attachment efficiency), and the pore-scale processes. With this approach, valid when colloidal particles are small enough, we study Brownian and gravity-driven deposition on a face-centred cubic (FCC) arrangement of spherical grains, and define a robust upscaling based on a linear effective reaction rate. The case of partial deposition, defined by an attachment probability, is studied and the limit of perfect sink is retrieved as a particular case. We introduce a novel upscaling approach and a particularly convenient computational setup that allows the direct computation of the asymptotic stationary value of effective rates. This allows to drastically reduce the computational domain down to the scale of the single repeating periodic unit. The savings are ever more noticeable in the case of higher Péclet numbers, when larger physical times are needed to reach the asymptotic regime and thus, equivalently, much larger computational domain and

  10. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  11. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  12. Microdosimetric implications of the nonuniformity of deposition patterns of inhaled radioactive nuclides

    International Nuclear Information System (INIS)

    Balashazy, I.; Palfalvi, J.; Hofmann, W.

    2000-01-01

    Aerosol deposition studies have demonstrated that deposition patterns of inhaled aerosols within airway bifurcations are distinctly inhomogeneous during inhalation as well as exhalation. Current lung deposition models, however, employ analytical equations for the calculation of deposition efficiencies, which, by definition, cannot describe local inhomogeneities of deposition within airway bifurcations. In the present study, local deposition patterns in airway bifurcations were computed by our recently developed numerical particle deposition model. To quantify the inhomogeneities of predicted deposition patterns, the whole surface of the bifurcation was scanned by a pre-specified surface element. Local deposition enhancement factors were then determined as the ratio of local to average deposition densities. In the present study, distributions of enhancement factors and their corresponding maximum values were computed for a physiologically realistic bifurcation geometry in upper human bronchial airways (airway generations 3-4 in Weibel's Model-A) assuming various surface element (patch) sizes (0.1 mm x 0.1 mm-3 mm x 3 mm). Simulations were performed for a wide range of particle sizes (1 mm-10 μm) and flow conditions (flow rates of 10 and 60 l/min, and parabolic and uniform inlet flow profiles). Computed air velocity fields and particle trajectories demonstrated the significant role of secondary flows for particle deposition. In the case of inspiration, areas of enhanced deposition were formed primarily at the carinal ridge or at the inner sides of the daughter branches. In the case of expiration, ''hot spots'' could be observed are at the top and bottom of the parent airway. The sizes of these deposition hot spots depend on particle size, flow rate and bifurcation geometry. For example, enhanced deposition areas for large particles were much more intense than those found for ultrafine particles. The computed local deposition enhancement factors exhibited strong

  13. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  14. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  15. Characterization of new a-Si:H detectors fabricated from amorphous silicon deposited at high rate by helium enhanced PECVD

    International Nuclear Information System (INIS)

    Pochet, T.; Ilie, A.; Foulon, F.

    1993-01-01

    This paper is concerned with the characterization of new detectors fabricated from a-Si:H films deposited at high rates through the dilution of SiH 4 in helium. Rates of up to ten times (5.5 micrometer/h) that of the standard technique are obtained, allowing for the feasible fabrication of detectors having thickness up to 100 micrometers. The electrical characteristics (depletion voltage, residual space charge density) of the helium diluted material, have been investigated and compared to that of the standard material. The response of detectors, made from both materials, to 5.5 MeV alpha particles are compared. 6 figs., 5 tabs., 13 refs

  16. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  17. Deposition Rates of High Power Impulse Magnetron Sputtering: Physics and Economics

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2009-11-22

    Deposition by high power impulse magnetron sputtering (HIPIMS) is considered by some as the new paradigm of advanced sputtering technology, yet this is met with skepticism by others for the reported lower deposition rates, if compared to rates of more conventional sputtering of equal average power. In this contribution, the underlying physical reasons for the rate changes are discussed, including (i) ion return to the target and self-sputtering, (ii) the less-than-linear increase of the sputtering yield with increasing ion energy, (iii) yield changes due to the shift of species responsible for sputtering, (iv) changes to due to greater film density, limited sticking, and self-sputtering on the substrate, (v) noticeable power losses in the switch module, (vi) changes of the magnetic balance and particle confinement of the magnetron due to self-fields at high current, and (vii) superposition of sputtering and sublimation/evaporation for selected materials. The situation is even more complicated for reactive systems where the target surface chemistry is a function of the reactive gas partial pressure and discharge conditions. While most of these factors imply a reduction of the normalized deposition rate, increased rates have been reported for certain conditions using hot targets and less poisoned targets. Finally, some points of economics and HIPIMS benefits considered.

  18. Deposition rates of high power impulse magnetron sputtering: Physics and economics

    International Nuclear Information System (INIS)

    Anders, Andre

    2010-01-01

    Deposition by high power impulse magnetron sputtering (HIPIMS) is considered by some as the new paradigm of advanced sputtering technology, yet this is met with skepticism by others for the reported lower deposition rates, if compared to rates of more conventional sputtering of equal average power. In this contribution, the underlying physical reasons for the rate changes are discussed, including (i) ion return to the target and self-sputtering, (ii) the less-than-linear increase in the sputtering yield with increasing ion energy, (iii) yield changes due to the shift of species responsible for sputtering, (iv) changes due to greater film density, limited sticking, and self-sputtering on the substrate, (v) noticeable power losses in the switch module, (vi) changes in the magnetic balance and particle confinement of the magnetron due to self-fields at high current, and (vii) superposition of sputtering and sublimation/evaporation for selected materials. The situation is even more complicated for reactive systems where the target surface chemistry is a function of the reactive gas partial pressure and discharge conditions. While most of these factors imply a reduction in the normalized deposition rate, increased rates have been reported for certain conditions using hot targets and less poisoned targets. Finally, some points of economics and HIPIMS benefits are considered.

  19. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  20. Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films

    Directory of Open Access Journals (Sweden)

    Jörg Haeberle

    2013-11-01

    Full Text Available We report on results on the preparation of thin (2O3 films on silicon substrates using thermal atomic layer deposition (T-ALD and plasma enhanced atomic layer deposition (PE-ALD in the SENTECH SI ALD LL system. The T-ALD Al2O3 layers were deposited at 200 °C, for the PE-ALD films we varied the substrate temperature range between room temperature (rt and 200 °C. We show data from spectroscopic ellipsometry (thickness, refractive index, growth rate over 4” wafers and correlate them to X-ray photoelectron spectroscopy (XPS results. The 200 °C T-ALD and PE-ALD processes yield films with similar refractive indices and with oxygen to aluminum elemental ratios very close to the stoichiometric value of 1.5. However, in both also fragments of the precursor are integrated into the film. The PE-ALD films show an increased growth rate and lower carbon contaminations. Reducing the deposition temperature down to rt leads to a higher content of carbon and CH-species. We also find a decrease of the refractive index and of the oxygen to aluminum elemental ratio as well as an increase of the growth rate whereas the homogeneity of the film growth is not influenced significantly. Initial state energy shifts in all PE-ALD samples are observed which we attribute to a net negative charge within the films.

  1. Surface-enhanced Raman spectroscopy (SERS) using Ag nanoparticle films produced by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Smyth, C.A., E-mail: smythc2@tcd.ie [School of Physics, Trinity College Dublin, Dublin 2 (Ireland); Mirza, I.; Lunney, J.G.; McCabe, E.M. [School of Physics, Trinity College Dublin, Dublin 2 (Ireland)

    2013-01-01

    Highlights: Black-Right-Pointing-Pointer Pulsed laser deposition (PLD) produces silver nanoparticle films. Black-Right-Pointing-Pointer These films can be used for surface-enhanced Raman spectroscopy (SERS). Black-Right-Pointing-Pointer Commercial film shows good SERS reproducibility but poor signal intensity. Black-Right-Pointing-Pointer PLD shows a good SERS response coupled with good reproducibility. - Abstract: Thin silver nanoparticle films, of thickness 7 nm, were deposited onto glass microslides using pulsed laser deposition (PLD). The films were then characterised using UV-vis spectroscopy and scanning transmission electron microscopy before Rhodamine 6G was deposited onto them for investigation using surface-enhanced Raman spectroscopy (SERS). The sensitivity obtained using SERS was compared to that obtained using a colloidal silver suspension and also to a commercial SERS substrate. The reproducibility of the films is also examined using statistical analysis.

  2. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    International Nuclear Information System (INIS)

    Valdueza-Felip, S.; Ibáñez, J.; Monroy, E.; González-Herráez, M.; Artús, L.; Naranjo, F.B.

    2012-01-01

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of ∼ 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at ∼ 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: ► Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. ► Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. ► Room-temperature photoluminescence emission at 1.58 eV. ► InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  3. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Valdueza-Felip, S., E-mail: sirona.valdueza@depeca.uah.es [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Ibanez, J. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Monroy, E. [CEA-Grenoble, INAC/SP2M/NPSC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Gonzalez-Herraez, M. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Artus, L. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Naranjo, F.B. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain)

    2012-01-31

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of {approx} 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at {approx} 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: Black-Right-Pointing-Pointer Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. Black-Right-Pointing-Pointer Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. Black-Right-Pointing-Pointer Room-temperature photoluminescence emission at 1.58 eV. Black-Right-Pointing-Pointer InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  4. Influence of solution deposition rate on properties of V_2O_5 thin films deposited by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Abd–Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-01-01

    Vanadium oxide (V_2O_5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl_3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V_2O_5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  5. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  6. Impact of deposition rate on the structural and magnetic properties of sputtered Ni/Cu multilayer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karpuz, Ali [Karamanoglu Mehmetbey Univ., Karaman (Turkey). Dept. of Physics; Colmekci, Salih; Kockar, Hakan; Kuru, Hilal; Uckun, Mehmet [Balikesir Univ. (Turkey). Dept. of Physics

    2018-04-01

    The structural and corresponding magnetic properties of Ni/Cu films sputtered at low and high deposition rates were investigated as there is a limited number of related studies in this field. 5[Ni(10 nm)/Cu(30 nm)] multilayer thin films were deposited using two DC sputtering sources at low (0.02 nm/s) and high (0.10 nm/s) deposition rates of Ni layers. A face centered cubic phase was detected for both films. The surface of the film sputtered at the low deposition rate has a lot of micro-grains distributed uniformly and with sizes from 0.1 to 0.4 μm. Also, it has a vertical acicular morphology. At high deposition rate, the number of micro-grains considerably decreased, and some of their sizes increased up to 1 μm. The surface of the Ni/Cu multilayer deposited at the low rate has a relatively more grainy and rugged structure, whereas the surface of the film deposited at the high rate has a relatively larger lateral size of surface grains with a relatively fine morphology. Saturation magnetisation, M{sub s}, values were 90 and 138 emu/cm{sup 3} for deposition rates of 0.02 and 0.10 nm/s, respectively. Remanence, M{sub r}, values were also found to be 48 and 71 emu/cm{sup 3} for the low and high deposition rates, respectively. The coercivity, H{sub c}, values were 46 and 65 Oe for the low and high Ni deposition rates, respectively. The changes in the film surfaces provoked the changes in the H{sub c} values. The M{sub s}, M{sub r}, and H{sub c} values of the 5[Ni(10 nm)/Cu(30 nm)] films can be adjusted considering the surface morphologies and film contents caused by the different Ni deposition rates.

  7. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  8. High rate (∼7 nm/s), atmospheric pressure deposition of ZnO front electrode for Cu(In,Ga)Se2 thin-film solar cells with efficiency beyond 15%

    NARCIS (Netherlands)

    Illiberi, A.; Grob, F.; Frijters, C.; Poodt, P.; Ramachandra, R.; Winands, H.; Simor, M.; Bolt, P.J.

    2013-01-01

    Undoped zinc oxide (ZnO) films have been grown on a moving glass substrate by plasma-enhanced chemical vapor deposition at atmospheric pressure. High deposition rates of ∼7 nm/s are achieved at low temperature (200°C) for a substrate speed from 20 to 60 mm/min. ZnO films are highly transparent in

  9. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  10. Uronide Deposition Rates in the Primary Root of Zea mays1

    Science.gov (United States)

    Silk, Wendy Kuhn; Walker, Robert C.; Labavitch, John

    1984-01-01

    The spatial distribution of the rate of deposition of uronic acids in the elongation zone of Zea mays L. Crow WF9 × Mo 17 was determined using the continuity equation with experimentally determined values for uronide density and growth velocity. In spatial terms, the uronide deposition rate has a maximum of 0.4 micrograms per millimeter per hour at s = 3.5 mm (i.e., at the location 3.5 mm from the root tip) and decreases to 0.1 mg mm−1 h−1 by s = 10 mm. In terms of a material tissue element, a tissue segment located initially from s = 2.0 to s = 2.1 mm has 0.14 μg of uronic acids and increases in both length and uronic acid content until it is 0.9 mm long and has 0.7 μg of uronide when its center is at s = 10 mm. Simulations of radioactive labeling experiments show that 15 min is the appropriate time scale for pulse determinations of deposition rate profiles in a rapidly growing corn root. PMID:16663488

  11. Organic SIMS: the influence of time on the ion yield enhancement by silver and gold deposition

    Science.gov (United States)

    Adriaensen, L.; Vangaever, F.; Gijbels, R.

    2004-06-01

    A series of organic dyes and pharmaceuticals was used to study the secondary ion yield enhancement by metal deposition. The molecules were dissolved in methanol and spincasted on silicon substrates. Subsequently, silver or gold was evaporated on the samples to produce a very thin coating. The coated samples, when measured with TOF-SIMS, showed a considerable increase in characteristic secondary ion intensity. Gold-evaporated samples appear to exhibit the highest signal enhancement. These observations apply to organic samples in general, an advantage that allows to use the technique of metal deposition on real-world samples. However, the observed signal increase does not occur at any given moment. The time between metal deposition on the sample surface and the measuring of the sample with TOF-SIMS appears to have an important influence on the enhancement of the secondary ion intensities. In consideration of these observations several experiments were carried out, in which the spincasted samples were measured at different times after sample preparation, i.e., after gold or silver was deposited on the sample surface. The results show that, depending on the sample and the metal deposited, the secondary ion signals reach their maximum at different times. Further study will be necessary to detect the mechanism responsible for the observed enhancement effect.

  12. Fair premium rate of the deposit insurance system based on banks' creditworthiness

    OpenAIRE

    Yoshino, Naoyuki; Taghizadeh-Hesary, Farhad; Nili, Farhad

    2017-01-01

    Purpose: Deposit insurance is a key element in modern banking, as it guarantees the financial safety of deposits at depository financial institutions. It is necessary to have at least a dual fair premium rate system based on the creditworthiness of financial institutions, as considering a singular premium system for all banks will have a moral hazard. In this paper, we develop a theoretical as well as an empirical model for calculating dual fair premium rates. Design/methodology/approach: Our...

  13. State of the art in thin film thickness and deposition rate monitoring sensors

    International Nuclear Information System (INIS)

    Buzea, Cristina; Robbie, Kevin

    2005-01-01

    In situ monitoring parameters are indispensable for thin film fabrication. Among them, thickness and deposition rate control are often the most important in achieving the reproducibility necessary for technological exploitation of physical phenomena dependent on film microstructure. This review describes the types of thickness and deposition rate sensors and their theoretical and phenomenological background, underlining their performances, as well as advantages and disadvantages

  14. Investigations into the effect of spinel oxide composition on rate of carbon deposition

    International Nuclear Information System (INIS)

    Allen, G.C.; Jutson, J.A.

    1987-11-01

    The deposition of carbon on fuel cladding and other steels results in a reduction in heat transfer efficiency. Methane and carbon monoxide are added to the gaseous coolant in the Advanced Gas Cooled Reactor (AGR) to reduce the radiolytic oxidation of the graphite moderator and this is known to increase the rate of carbon deposition. However, the composition of oxides formed on steel surfaces within the reactor may also influence deposition. In this investigation carefully characterised spinel type oxides of varying composition have been subjected to γ radiation under conditions of temperature, pressure and atmosphere similar to those experienced in the reactor. The rate of carbon deposition has been studied using Scanning Electron Microscopy (SEM) and Energy Dispersive X-ray Analysis (EDX). (U.K.)

  15. High-rate deposition of photocatalytic TiO2 films by oxygen plasma assist reactive evaporation method

    International Nuclear Information System (INIS)

    Sakai, Tetsuya; Kuniyoshi, Yuji; Aoki, Wataru; Ezoe, Sho; Endo, Tatsuya; Hoshi, Yoichi

    2008-01-01

    High-rate deposition of titanium dioxide (TiO 2 ) film was attempted using oxygen plasma assisted reactive evaporation (OPARE) method. Photocatalytic properties of the film were investigated. During the deposition, the substrate temperature was fixed at 400 deg. C. The film deposition rate can be increased by increasing the supply of titanium atoms to the substrate, although oversupply of the titanium atoms causes oxygen deficiency in the films, which limits the deposition rate. The film structure depends strongly on the supply ratio of oxygen molecules to titanium atoms O 2 /Ti and changes from anatase to rutile structure as the O 2 /Ti supply ratio increased. Consequently, the maximum deposition rates of 77.0 nm min -1 and 145.0 nm min -1 were obtained, respectively, for the anatase and rutile film. Both films deposited at such high rates showed excellent hydrophilicity and organic decomposition performance. Even the film with rutile structure deposited at 145.0 nm min -1 had a contact angle of less than 2.5 deg. by UV irradiation for 5.0 h and an organics-decomposition performance index of 8.9 [μmol l -1 min -1 ] for methylene blue

  16. Electromagnetic energy deposition rate in the polar upper thermosphere derived from the EISCAT Svalbard radar and CUTLASS Finland radar observations

    Directory of Open Access Journals (Sweden)

    H. Fujiwara

    2007-11-01

    Full Text Available From simultaneous observations of the European incoherent scatter Svalbard radar (ESR and the Cooperative UK Twin Located Auroral Sounding System (CUTLASS Finland radar on 9 March 1999, we have derived the height distributions of the thermospheric heating rate at the F region height in association with electromagnetic energy inputs into the dayside polar cap/cusp region. The ESR and CUTLASS radar observations provide the ionospheric parameters with fine time-resolutions of a few minutes. Although the geomagnetic activity was rather moderate (Kp=3+~4, the electric field obtained from the ESR data sometimes shows values exceeding 40 mV/m. The estimated passive energy deposition rates are also larger than 150 W/kg in the upper thermosphere over the ESR site during the period of the enhanced electric field. In addition, enhancements of the Pedersen conductivity also contribute to heating the upper thermosphere, while there is only a small contribution for thermospheric heating from the direct particle heating due to soft particle precipitation in the dayside polar cap/cusp region. In the same period, the CUTLASS observations of the ion drift show the signature of poleward moving pulsed ionospheric flows with a recurrence rate of about 10–20 min. The estimated electromagnetic energy deposition rate shows the existence of the strong heat source in the dayside polar cap/cusp region of the upper thermosphere in association with the dayside magnetospheric phenomena of reconnections and flux transfer events.

  17. Electromagnetic energy deposition rate in the polar upper thermosphere derived from the EISCAT Svalbard radar and CUTLASS Finland radar observations

    Directory of Open Access Journals (Sweden)

    H. Fujiwara

    2007-11-01

    Full Text Available From simultaneous observations of the European incoherent scatter Svalbard radar (ESR and the Cooperative UK Twin Located Auroral Sounding System (CUTLASS Finland radar on 9 March 1999, we have derived the height distributions of the thermospheric heating rate at the F region height in association with electromagnetic energy inputs into the dayside polar cap/cusp region. The ESR and CUTLASS radar observations provide the ionospheric parameters with fine time-resolutions of a few minutes. Although the geomagnetic activity was rather moderate (Kp=3+~4, the electric field obtained from the ESR data sometimes shows values exceeding 40 mV/m. The estimated passive energy deposition rates are also larger than 150 W/kg in the upper thermosphere over the ESR site during the period of the enhanced electric field. In addition, enhancements of the Pedersen conductivity also contribute to heating the upper thermosphere, while there is only a small contribution for thermospheric heating from the direct particle heating due to soft particle precipitation in the dayside polar cap/cusp region. In the same period, the CUTLASS observations of the ion drift show the signature of poleward moving pulsed ionospheric flows with a recurrence rate of about 10–20 min. The estimated electromagnetic energy deposition rate shows the existence of the strong heat source in the dayside polar cap/cusp region of the upper thermosphere in association with the dayside magnetospheric phenomena of reconnections and flux transfer events.

  18. Mechanical and tribological properties of silicon nitride films synthesized by ion beam enhanced deposition

    International Nuclear Information System (INIS)

    Chen Yuanru; Li Shizhuo; Zhang Xushou; Liu Hong; Yang Genqing; Qu Baochun

    1991-01-01

    This article describes preliminary investigations of mechanical and tribological properties of silicon nitride film formed by ion beam enhanced deposition (IBED) on GH37 (Ni-based alloys) steel. The films were synthesized by silicon vapor deposition with a rate of 1 A/s and by 40 keV nitrogen ion bombardment simultaneously. The thickness of the film was about 5000 A. X-ray photoelectron spectroscopy and infrared absorption spectroscopy revealed that a stoichiometric Si 3 N 4 film was formed. The observation of TEM showed that the IBED Si 3 N 4 film normally had an amorphous structure. However, electron diffraction patterns revealed a certain crystallinity. The mechanical and tribological properties of the films were investigated with a scratch tester, microhardness meter, and a ball-on-disc tribometer respectively. Results show that the adhesive strength between film and substrate is about 51 N, the Vickers microhardness with a load of 0.2 N is 980, the friction coefficient measured for steel against silicon nitride film ranges from 0.1 to 0.15, and the wear rate of coatings is about 6.8x10 -5 mm 3 /(mN). Finally, the relationship among thermal annealing, crystallinity and tribological characteristics of the Si 3 N 4 film is discussed. (orig.)

  19. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  20. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  1. Dispersal distances for airborne spores based on deposition rates and stochastic modeling

    DEFF Research Database (Denmark)

    Stockmarr, Anders; Andreasen, Viggo; Østergård, Hanne

    2007-01-01

    in terms of time to deposition, and show how this concept is equivalent to the deposition rate for fungal spores. Special cases where parameter values for wind and gravitation lead to exponentially or polynomially decreasing densities are discussed, and formulas for one- and two-dimensional densities...

  2. Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition

    CERN Document Server

    Kim, H

    2002-01-01

    We have investigated the growth kinetics of plasma-enhanced Ti atomic layer deposition (ALD) using a quartz crystal microbalance. Ti ALD films were grown at temperatures from 20 to 200 deg. C using TiCl sub 4 as a source gas and rf plasma-produced atomic H as the reducing agent. Postdeposition ex situ chemical analyses of thin films showed that the main impurity is oxygen, mostly incorporated during the air exposure prior to analysis. The thickness per cycle, corresponding to the growth rate, was measured by quartz crystal microbalance as a function of various key growth parameters, including TiCl sub 4 and H exposure time, rf plasma power, and sample temperature. The growth rates were independent of TiCl sub 4 exposure above 1x10 sup 3 L, indicating typical ALD mode growth. The key kinetic parameters for Cl extraction reaction and TiCl sub 4 adsorption kinetics were obtained and the growth kinetics were modeled to predict the growth rates based upon these results. Also, the dependency of growth kinetics on d...

  3. Enhanced sensitivity of surface plasmon resonance phase-interrogation biosensor by using oblique deposited silver nanorods.

    Science.gov (United States)

    Chung, Hung-Yi; Chen, Chih-Chia; Wu, Pin Chieh; Tseng, Ming Lun; Lin, Wen-Chi; Chen, Chih-Wei; Chiang, Hai-Pang

    2014-01-01

    Sensitivity of surface plasmon resonance phase-interrogation biosensor is demonstrated to be enhanced by oblique deposited silver nanorods. Silver nanorods are thermally deposited on silver nanothin film by oblique angle deposition (OAD). The length of the nanorods can be tuned by controlling the deposition parameters of thermal deposition. By measuring the phase difference between the p and s waves of surface plasmon resonance heterodyne interferometer with different wavelength of incident light, we have demonstrated that maximum sensitivity of glucose detection down to 7.1 × 10(-8) refractive index units could be achieved with optimal deposition parameters of silver nanorods.

  4. Impact of enhanced ozone deposition and halogen chemistry on model performance

    Science.gov (United States)

    In this study, an enhanced ozone deposition scheme due to the interaction of iodide in sea-water and atmospheric ozone and the detailed chemical reactions of organic and inorganic halogen species are incorporated into the hemispheric Community Multiscale Air Quality model. Prelim...

  5. Estimating dual deposit insurance premium rates and forecasting non-performing loans: Two new models

    OpenAIRE

    Yoshino, Naoyuki; Taghizadeh-Hesary, Farhad; Nili, Farhad

    2015-01-01

    Risky banks that endanger the stability of the financial system should pay higher deposit insurance premiums than healthy banks and other financial institutions that have shown good financial performance. It is necessary, therefore, to have at least a dual fair premium rate system. In this paper, we develop a model for calculating dual fair premium rates. Our definition of a fair premium rate in this paper is a rate that could cover the operational expenditures of the deposit insuring organiz...

  6. Particle emission rates during electrostatic spray deposition of TiO2 nanoparticle-based photoactive coating.

    Science.gov (United States)

    Koivisto, Antti J; Jensen, Alexander C Ø; Kling, Kirsten I; Kling, Jens; Budtz, Hans Christian; Koponen, Ismo K; Tuinman, Ilse; Hussein, Tareq; Jensen, Keld A; Nørgaard, Asger; Levin, Marcus

    2018-01-05

    Here, we studied the particle release rate during Electrostatic spray deposition of anatase-(TiO 2 )-based photoactive coating onto tiles and wallpaper using a commercially available electrostatic spray device. Spraying was performed in a 20.3m 3 test chamber while measuring concentrations of 5.6nm to 31μm-size particles and volatile organic compounds (VOC), as well as particle deposition onto room surfaces and on the spray gun user hand. The particle emission and deposition rates were quantified using aerosol mass balance modelling. The geometric mean particle number emission rate was 1.9×10 10 s -1 and the mean mass emission rate was 381μgs -1 . The respirable mass emission-rate was 65% lower than observed for the entire measured size-range. The mass emission rates were linearly scalable (±ca. 20%) to the process duration. The particle deposition rates were up to 15h -1 for deposited particles consisted of mainly TiO 2 , TiO 2 mixed with Cl and/or Ag, TiO 2 particles coated with carbon, and Ag particles with size ranging from 60nm to ca. 5μm. As expected, no significant VOC emissions were observed as a result of spraying. Finally, we provide recommendations for exposure model parameterization. Copyright © 2017 The Author(s). Published by Elsevier B.V. All rights reserved.

  7. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  8. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  9. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  10. Feasibility study of electrophoresis deposition of DyF3 on Nd-Fe-B particles for coercivity enhancement

    Science.gov (United States)

    Kim, K. M.; Kang, M. S.; Kwon, H. W.; Lee, J. G.; Yu, J. H.

    2018-05-01

    Feasibility of the electrophoresis deposition (EPD) technique for homogeneous and adhesive deposition of DyF3 particles on the Nd-Fe-B-type particles was studied, and coercivity enhancement in the diffusion-treated Nd-Fe-B-type particles deposited with DyF3 by EPD was investigated. HDDR-treated Nd12.5Fe80.6B6.4Ga0.3Nb0.2 particles were deposited with DyF3 particles by EPD. More homogeneous and adhesive deposition of DyF3 particles on the surface of Nd-Fe-B particles was made by the EPD with respect to conventional dip-coating, and this led to more active and homogeneous diffusion of Dy. More profound coercivity enhancement was achieved in the diffusion-treated Nd-Fe-B-type particles deposited with DyF3 by EPD compared to dip-coated particles.

  11. Surface-Enhanced Infrared Absorption of o-Nitroaniline on Nickel Nanoparticles Synthesized by Electrochemical Deposition

    Directory of Open Access Journals (Sweden)

    Yufang Niu

    2014-01-01

    Full Text Available Nickel nanoparticles were electrochemically deposited on indium-tin oxide (ITO coated glass plate in a modified Watt’s electrolyte. The surface-enhanced infrared absorption (SEIRA effect of the nanoparticles was evaluated by attenuated total reflection spectroscopy (ATR-FTIR using o-nitroaniline as a probe molecule. Electrodeposition parameters such as deposition time, pH value, and the type of surfactants were investigated. The morphology and the microstructure of the deposits were characterized by the field emission scanning electron microscope (FESEM and the atomic force microscope (AFM, respectively. The results indicate that the optimum parameters were potential of 1.3 V, time of 30 s, and pH of 8.92 in the solution of 0.3756 mol/L diethanolamine, 0.1 mol/L nickel sulfate, 0.01 mol/L nickel chloride, and 0.05 mol/L boric acid. The FESEM observation shows that the morphology of nickel nanoparticles with best enhancement effect is spherical and narrowly distributed particles with the average size of 50 nm. SEIRA enhancement factor is about 68.

  12. As-grown enhancement of spinodal decomposition in spinel cobalt ferrite thin films by Dynamic Aurora pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Debnath, Nipa [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Physics, Jagannath University, Dhaka 1100 (Bangladesh); Kawaguchi, Takahiko; Kumasaka, Wataru [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Das, Harinarayan [Materials Science Division, Atomic Energy Centre, Dhaka 1000 (Bangladesh); Shinozaki, Kazuo [School of Materials and Chemical Technology, Tokyo Institute of Technology, Tokyo 152-8550 (Japan); Sakamoto, Naonori [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Suzuki, Hisao [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Wakiya, Naoki, E-mail: wakiya.naoki@shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan)

    2017-06-15

    Highlights: • As-grown enhancement of spinodal decomposition (SD) in Co{sub x}Fe{sub 3−x}O{sub 4} film is observed. • Magnetic-field-induced ion-impingement enhances SD without any post-annealing. • The enhancement of SD is independent of the lattice-mismatch-induced strain. • This approach can promote SD in any thin film without post-deposition annealing. - Abstract: Cobalt ferrite Co{sub x}Fe{sub 3−x}O{sub 4} thin films with composition within the miscibility gap were grown using Dynamic Aurora pulsed laser deposition. X-ray diffraction patterns reveal as-grown phase separation to Fe-rich and Co-rich phases with no post-deposition annealing. The interconnected surface microstructure of thin film shows that this phase separation occurs through spinodal decomposition enhanced by magnetic-field-induced ion-impingement. The lattice parameter variation of the thin films with the magnetic field indicates that the composition fluctuations can be enhanced further by increasing the magnetic field. Results show that spinodal decomposition enhancement by magnetic-field-induced ion-impingement is independent of the lattice-mismatch-induced strain. This approach can promote spinodal decomposition in any thin film with no post-deposition annealing process.

  13. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  14. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  15. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-01-01

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10 -4 and 2.3x10 -4 Ω·cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10 -4 Ω·cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates

  16. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-09-02

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10{sup -4} and 2.3x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates.

  17. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  18. Impact of enhanced ozone deposition and halogen chemistry on tropospheric ozone over the Northern Hemisphere

    Science.gov (United States)

    Fate of ozone in marine environments has been receiving increased attention due to the tightening of ambient air quality standards. The role of deposition and halogen chemistry is examined through incorporation of an enhanced ozone deposition algorithm and inclusion of halogen ch...

  19. Atomic layer deposited ZrO2 nanofilm on Mg-Sr alloy for enhanced corrosion resistance and biocompatibility.

    Science.gov (United States)

    Yang, Qiuyue; Yuan, Wei; Liu, Xiangmei; Zheng, Yufeng; Cui, Zhenduo; Yang, Xianjin; Pan, Haobo; Wu, Shuilin

    2017-08-01

    The biodegradability and good mechanical property of magnesium alloys make them potential biomedical materials. However, their rapid corrosion rate in the human body's environment impairs these advantages and limits their clinical use. In this work, a compact zirconia (ZrO 2 ) nanofilm was fabricated on the surface of a magnesium-strontium (Mg-Sr) alloy by the atomic layer deposition (ALD) method, which can regulate the thickness of the film precisely and thus also control the corrosion rate. Corrosion tests reveal that the ZrO 2 film can effectively reduce the corrosion rate of Mg-Sr alloys that is closely related to the thickness of the film. The cell culture test shows that this kind of ZrO 2 film can also enhance the activity and adhesion of osteoblasts on the surfaces of Mg-Sr alloys. The significance of the current work is to develop a zirconia nanofilm on biomedical MgSr alloy with controllable thickness precisely through atomic layer deposition technique. By adjusting the thickness of nanofilm, the corrosion rate of Mg-Sr alloy can be modulated, thereafter, the degradation rate of Mg-based alloys can be controlled precisely according to actual clinical requirement. In addition, this zirconia nanofilm modified Mg-Sr alloys show excellent biocompatibility than the bare samples. Hence, this work provides a new surface strategy to control the degradation rate while improving the biocompatibility of substrates. Copyright © 2017 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  20. On the enhancement of pervaporation properties of plasma-deposited hybrid silica membranes

    Energy Technology Data Exchange (ETDEWEB)

    Ngamou, P.H.T.; Creatore, M. [Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven (Netherlands); Overbeek, J.P.; Kreiter, R.; Van Veen, H.M.; Vente, J.F. [ECN, Energy research Centre of the Netherlands, Petten (Netherlands); Cuperus, P.F. [SolSep BV, Apeldoorn (Netherlands)

    2013-06-24

    The separation performance of a polymeric-supported hybrid silica membrane in the dehydration process of a butanol-water mixture at 95C has been enhanced by applying a bias to the substrate during the plasma deposition.

  1. Feasibility study of electrophoresis deposition of DyF3 on Nd-Fe-B particles for coercivity enhancement

    Directory of Open Access Journals (Sweden)

    K. M. Kim

    2018-05-01

    Full Text Available Feasibility of the electrophoresis deposition (EPD technique for homogeneous and adhesive deposition of DyF3 particles on the Nd-Fe-B-type particles was studied, and coercivity enhancement in the diffusion-treated Nd-Fe-B-type particles deposited with DyF3 by EPD was investigated. HDDR-treated Nd12.5Fe80.6B6.4Ga0.3Nb0.2 particles were deposited with DyF3 particles by EPD. More homogeneous and adhesive deposition of DyF3 particles on the surface of Nd-Fe-B particles was made by the EPD with respect to conventional dip-coating, and this led to more active and homogeneous diffusion of Dy. More profound coercivity enhancement was achieved in the diffusion-treated Nd-Fe-B-type particles deposited with DyF3 by EPD compared to dip-coated particles.

  2. Growth rate and surfactant-assisted enhancements of rare-earth arsenide InGaAs nanocomposites for terahertz generation

    Directory of Open Access Journals (Sweden)

    R. Salas

    2017-09-01

    Full Text Available We report the effects of the growth rate on the properties of iii-v nanocomposites containing rare-earth-monopnictide nanoparticles. In particular, the beneficial effects of surfactant-assisted growth of LuAs:In0.53Ga0.47As nanocomposites were found to be most profound at reduced LuAs growth rates. Substantial enhancement in the electrical and optical properties that are beneficial for ultrafast photoconductors was observed and is attributed to the higher structural quality of the InGaAs matrix in this new growth regime. The combined enhancements enabled a >50% increase in the amount of LuAs that could be grown without degrading the quality of the InGaAs overgrowth. Dark resistivity increased by ∼25× while maintaining carrier mobilities over 3000 cm2/V s; carrier lifetimes were reduced by >2×, even at high depositions of LuAs. The combined growth rate and surfactant enhancements offer a previously unexplored regime to enable high-performance fast photoconductors that may be integrated with telecom components for compact, broadly tunable, heterodyne THz source and detectors.

  3. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    thermal annealing of the high density, as-deposited a-C:H films. Furthermore, not only the density itself but also the variation of density with thermal annealing need to be elucidated in order to understand the dry etch properties of annealed a-C:H films. - Highlights: ► A-C:H(amorphous carbon) films are grown for using hard mask in dry etch process by plasma-enhanced chemical vapor deposition and annealed. ► Physical, chemical and mechanical properties of grown amorphous carbon films are changed by hydrogen and hydrocarbon contents, be determined by deposition and annealing temperature. ► Dry etch rate of a-C:H films is decreased and the film density increased through thermal annealing with high density, low hydrogen content, as-deposited film.

  4. Surface Enhanced Raman Scattering Substrates Made by Oblique Angle Deposition: Methods and Applications

    Directory of Open Access Journals (Sweden)

    Hin On Chu

    2017-02-01

    Full Text Available Surface Enhanced Raman Spectroscopy presents a rapid, non-destructive method to identify chemical and biological samples with up to single molecule sensitivity. Since its discovery in 1974, the technique has become an intense field of interdisciplinary research, typically generating >2000 publications per year since 2011. The technique relies on the localised surface plasmon resonance phenomenon, where incident light can couple with plasmons at the interface that result in the generation of an intense electric field. This field can propagate from the surface from the metal-dielectric interface, so molecules within proximity will experience more intense Raman scattering. Localised surface plasmon resonance wavelength is determined by a number of factors, such as size, geometry and material. Due to the requirements of the surface optical response, Ag and Au are typical metals used for surface enhanced Raman applications. These metals then need to have nano features that improve the localised surface plasmon resonance, several variants of these substrates exist; surfaces can range from nanoparticles in a suspension, electrochemically roughened electrodes to metal nanostructures on a substrate. The latter will be the focus of this review, particularly reviewing substrates made by oblique angle deposition. Oblique angle deposition is the technique of growing thin films so that the material flux is not normal to the surface. Films grown in this fashion will possess nanostructures, due to the atomic self-shadowing effect, that are dependent mainly on the deposition angle. Recent developments, applications and highlights of surface enhanced Raman scattering substrates made by oblique angle deposition will be reviewed.

  5. Magnetic field effects on coating deposition rate and surface morphology coatings using magnetron sputtering

    International Nuclear Information System (INIS)

    Yang, Yu-Sen; Huang, Wesley

    2010-01-01

    Chromium nitride coatings exhibit superior hardness, excellent wear and oxidation resistance, and are widely applied in the die and mold industries. The aim of this study was to investigate magnetic field effects on the deposition rate and surface morphology of chromium nitride coatings deposited by magnetron sputtering. Four types of magnetic field configurations, including the magnetron sputtering system, SNSN, SNNN, and intermediate magnetron modification, are discussed in this paper. SKD11 cold work die steel and a silicon (100) chip were used as substrates in the chromium nitride depositions. The process parameters, such as target current, substrate bias, and the distance between the substrate and target, are at fixed conditions, except for the magnetic arrangement type. The experimental results showed that the deposition rates of the four types of magnetic field configurations were 1.06, 1.38, 1.67 and 1.26 µm h −1 , respectively. In these cases, the SNNN type performs more than 58% faster than the unbalanced magnetron configuration does for the deposition rate. The surface morphology of chromium nitride films was also examined by SEM and is discussed in this paper

  6. Influence of solution deposition rate on properties of V{sub 2}O{sub 5} thin films deposited by spray pyrolysis technique

    Energy Technology Data Exchange (ETDEWEB)

    Abd–Alghafour, N. M., E-mail: na2013bil@gmail.com [Iraqi Ministry of Education, Anbar (Iraq); Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia,11800 Penang (Malaysia)

    2016-07-19

    Vanadium oxide (V{sub 2}O{sub 5}) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl{sub 3} in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V{sub 2}O{sub 5} film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  7. Enhancement of surface integrity of titanium alloy with copper by means of laser metal deposition process

    CSIR Research Space (South Africa)

    Erinosho, MF

    2016-04-01

    Full Text Available The laser metal deposition process possesses the combination of metallic powder and laser beam respectively. However, these combinations create an adhesive bonding that permanently solidifies the laser-enhanced-deposited powders. Titanium alloys (Ti...

  8. Deposit Rate Ceilings as a Tool of Prudential Regulation

    Directory of Open Access Journals (Sweden)

    Janusz Krajewski

    2007-12-01

    Full Text Available The purpose of the paper is to present one of prudential regulation instruments in an environment that favors to engage in moral hazard behavior. The last decades proved that there is a link between financial liberalization and banking crises. In order to avoid crises and prevent banks engaging in gambling behavior, the system of prudential regulations has been implemented. The emphasis has been placed on the use of capital requirements, typically using the BIS standard developed in the Basel Accord.As the excessive reliance on capital requirements can become costly for the banks and lead to loose their franchise value and undermine incentives for prudent investing. The instrument that can create the franchise value, i.e. earning profits in the current period and in the future, is a policy of deposit rate controls. In the paper was also revealed why the policy of setting interest rate ceiling on deposits had not achieved its intended objectives, especially in the view of the fail of the Regulation Q policy.

  9. Sedimentation rates and depositional processes in Lake Superior from 210Pb geochronology

    International Nuclear Information System (INIS)

    Evans, J.E.; Johnson, T.C.; Alexander, E.C. Jr.; Lively, R.S.; Eisenreich, S.J.

    1981-01-01

    Sedimentation rates range from 0.01 to 0.32 cm/yr in 17 sediment box cores from Lake Superior, as determined by 210 Pb geochronology. Shoreline erosion and resuspension of nearshore sediments causes moderate to high (0.05-0.11 cm/yr) sedimentation rates in the western arm of Lake Superior. Sedimentation rates are very high (> 0.15 cm/yr) in marginal bays adjoining Lake Superior; and moderate to very high (0.07-0.19 cm/yr) in open lake regions adjacent to marginal bays. Resuspension of nearshore and shoal top sediments in southern and southeastern Lake Superior by storms is responsible for depositional anomalies in 210 Pb profiles corresponding to 1905, 1916-1918, and 1940 storms. Sedimentation rates are very low (0.01-0.03 cm/yr) in the central basins due to isolation from sediment sources. These data indicate that sedimentation rates and processes vary significantly in different regions of Lake Superior. The sedimentation rates provided by this study, in conjunction with previously-reported sedimentation rates, yield a better understanding of the Lake Superior depositional environment

  10. Unconventional isotope systems applied to enhancing the petrogenesis of uranium deposits

    International Nuclear Information System (INIS)

    Voignot, A.; Chipley, D.; Kyser, K.; Uvarova, Y.

    2014-01-01

    Among the new techniques applied to the petrogenesis and evolution of uranium deposits from their formation to later alteration is isotope tracing. The isotope systems being used include Li, C, N, Fe, Mo, Tl, Pb and U, all of which reflect different, but overlapping, processes. Although Pb isotopes have been used to understand the temporal evolution and migration of radiogenic Pb from the deposits, Li, C, N, Mo, Tl and U isotope systems are new ways to analyze deposits and barren areas and to reveal their precise redox mechanisms. Geochemical technologies for exploration include "2"3"8U/"2"3"5U ratios of uranium minerals, which vary as a function of the type of uranium deposit and the efficiency of the redox processes. Lithium isotope ratios in muscovite and chlorite associated with mineralizing events are distinct from background ratios, with the lowest values reflecting the beginning of hydrothermal alteration systems and the highest values indicative of the terminal flow of hydrothermal fluids. Carbon and N reflect the influence of biospheric processes on the deposits and dispersion of elements that can be used for exploration. Iron, Mo and Tl are common elements in many uranium deposits and are among the most redox active elements. Their isotopes separate among phases having different oxidation potentials. They reflect the efficiency of the redox systems associated with fixing the uranium and the subsequent processes involved in mobilizing elements from the deposits. Isotopes add benefits to refining genetic models for uranium deposits, thereby enhancing our exploration models as well. An additional goal of applying isotope geochemistry to uranium deposits is to be able to use them to reflect a definitive process that occurs in the deposit and not in barren systems, and then to relate these to something that is easier to measure, namely elemental concentrations. (author)

  11. Model of enhanced energy deposition in a Z-pinch plasma

    International Nuclear Information System (INIS)

    Velikovich, A. L.; Davis, J.; Thornhill, J. W.; Giuliani, J. L. Jr.; Rudakov, L. I.; Deeney, C.

    2000-01-01

    In numerous experiments, magnetic energy coupled to strongly radiating Z-pinch plasmas exceeds the thermalized kinetic energy, sometimes by a factor of 2-3. An analytical model describing this additional energy deposition based on the concept of macroscopic magnetohydrodynamic (MHD) turbulent pinch heating proposed by Rudakov and Sudan [Phys. Reports 283, 253 (1997)] is presented. The pinch plasma is modeled as a foam-like medium saturated with toroidal ''magnetic bubbles'' produced by the development of surface m=0 Rayleigh-Taylor and MHD instabilities. As the bubbles converge to the pinch axis, their magnetic energy is converted to thermal energy of the plasma through pdV work. Explicit formulas for the average dissipation rate of this process and the corresponding contribution to the resistance of the load, which compare favorably to the experimental data and simulation results, are presented. The possibility of using this enhanced (relative to Ohmic heating) dissipation mechanism to power novel plasma radiation sources and produce high K-shell yields using long current rise time machines is discussed. (c) 2000 American Institute of Physics

  12. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  13. Are climate warming and enhanced atmospheric deposition of sulfur and nitrogen threatening tufa landscapes in Jiuzhaigou National Nature Reserve, Sichuan, China?

    Science.gov (United States)

    Qiao, Xue; Du, Jie; Lugli, Stefano; Ren, Jinhai; Xiao, Weiyang; Chen, Pan; Tang, Ya

    2016-08-15

    Massive deposition of calcium carbonate in ambient temperature waters (tufa) can form magnificent tufa landscapes, many of which are designated as protected areas. However, tufa landscapes in many areas are threatened by both local anthropogenic activities and climate change. This study, for the first time, posed the question whether the tufa landscape degradation (characterized by tufa degradation and increased biomass of green algae) in Jiuzhaigou National Nature Reserve of China is partially caused by regional air pollution and climate warming. The results indicate that wet deposition (including rain and snow) polluted by anthropogenic SO2, NOx, and NH3 emissions dissolves exposed tufa and may considerably reduce tufa deposition rate and even cause tufa dissolution within shallow waters. These effects of wet deposition on tufa enhanced as pH of wet deposition decreased from 8.01 to 5.06. Annual Volume Weighted Mean concentration of reactive nitrogen (including NH4(+) and NO3(-)) in wet deposition (26.1μmolL(-1)) was 1.8 times of the corresponding value of runoff (14.8μmolL(-1)) and exceeded China's national standard of total nitrogen in runoff for nature reserves (14.3μmolL(-1)), indicating a direct nitrogen fertilization effect of wet deposition on green algae. As water temperature is the major limiting factor of algal growth in Jiuzhaigou and temperature in the top layer (0-5cm) of runoff (depthclimate warming in this region would favor algal growth. In sum, this study suggests that climate warming and enhanced sulfur and nitrogen deposition have contributed to the current degradation of tufa landscape in Jiuzhaigou, but in order to quantify the contributions, further studies are needed, as many other anthropogenic and natural processes also influence tufa landscape evolution. Copyright © 2016 Elsevier B.V. All rights reserved.

  14. High-rate deposition of SI absorber layers by electron beam evaporation and first electron beam crystallization tests

    OpenAIRE

    Saager, Stefan; Ben Yaala, Marwa; Heinß, Jens-Peter; Temmler, Dietmar; Pfefferling, Bert; Metzner, Christoph

    2014-01-01

    In earlier electron beam physical vapor deposition tests (EB-PVD), using a conventional copper crucible (A), high Si deposition rates at relatively high EB power together with a contamination level of 1016 cm-3 are demonstrated. To improve the rate vs. EB power relation as well as the Si layer purity, two alternative high rate EBPVD methods are investigated and reported here - a contact-less crucible setup (B) and a crucible-free setup (C).In these experiments comparable deposition rates of ~...

  15. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  16. On-line tritium production and heat deposition rate measurements at the Lotus facility

    International Nuclear Information System (INIS)

    Joneja, O.P.; Scherrer, P.; Anand, R.P.

    1994-01-01

    Integral tritium production and heat deposition measurement in a prototype fusion blanket would enable verification of the computational codes and the data based employed for the calculations. A large number of tritium production rate measurements have been reported for different type of blankets, whereas the direct heat deposition due to the mixed radiation field in the fusion environment, is still in its infancy. In order to ascertain the kerma factors and the photon production libraries, suitable techniques must be developed to directly measure the nuclear heat deposition rates in the materials required for the fusion systems. In this context, at the Lotus facility, we have developed an extremely efficient double ionizing chamber, for the on-line tritium production measurements and employed a pure graphite calorimeter to measure the nuclear heat deposition due to the mixed radiation field of the 14 MeV, Haefely neutron generator. This paper presents both systems and some of the recent measurements. (authors). 8 refs., 13 figs

  17. Determinants Factors of Interest Rates on Three-Month Deposits of Bank Persero

    Directory of Open Access Journals (Sweden)

    Tedy Kurniawan

    2017-03-01

    Full Text Available This research aims at analyzing the influence of Capital Adequacy Ratio (CAR, Operating Expenses of Operating Income (BOPO, inflation, exchange rate, and the amount of money supply (M1 to the interest rate of three month deposits of the State-Owned Bank in Indonesia in 2007-2015. This research uses the error correction model analysis. The result obtained is the CAR that has a significant effect on the long term and has no effect on the short term, BOPO has a significant influence on the long term and short term, inflation has the significant effect on the long term and has no effect on the short term, the exchange rate has an influence on the short and long term, the money supply has no effects on the short and long-term on the interest rate on three month deposits of the State-Owned Bank.

  18. The Influence of Selected Fingerprint Enhancement Techniques on Forensic DNA Typing of Epithelial Cells Deposited on Porous Surfaces.

    Science.gov (United States)

    Tsai, Li-Chin; Lee, Cheng-Chang; Chen, Chun-Chieh; Lee, James Chun-I; Wang, Sheng-Meng; Huang, Nu-En; Linacre, Adrian; Hsieh, Hsing-Mei

    2016-01-01

    Fingerprints deposited at crime scene can be a source of DNA. Previous reports on the effects of fingerprint enhancement methods have focused mainly on fingermarks deposited in blood or saliva. Here, we evaluate the effects of fingerprint enhancement methods on fingerprints deposited on porous surfaces. We performed real-time quantification and STR typing, the results of which indicated that two methods (iodine fuming and 1,2-indanedione in ethyl acetate enhancement) had no effect on the quantity of DNA isolated and resultant STR alleles when compared to control samples. DNA quantities and allele numbers were lower for samples enhanced with silver nitrate and 1,2-indanedione in acetic acid when compared to control samples. Based on DNA quantity, quality, and observable stochastic effects, our data indicated that iodine fuming and 1,2-indanedione in ethyl acetate were the preferred options for the enhancement of fingerprints on porous surfaces. © 2015 American Academy of Forensic Sciences.

  19. Comparison of soil erosion and deposition rates using radiocesium, RUSLE, and buried soils in dolines in East Tennessee

    International Nuclear Information System (INIS)

    Turnage, K.M.; Lee, S.Y.; Foss, J.E.; Kim, K.H.; Larsen, I.L.

    1997-01-01

    Three dolines (sinkholes), each representing different land uses (crop, grass, and forest) in a karst area in East Tennesse, were selected to determine soil erosional and depositional rates. Three methods were used to estimate the rates: fallout radiocesium ( 137 Cs) redistribution, buried surface soil horizons (Ab horizon), and the revised universal soil loss equation (RUSLE). When 137 Cs redistribution was examined, the average soil erosion rates were calculated to be 27 t ha -1 yr -1 at the cropland, 3 t ha -1 yr -1 at the grassland, and 2 t ha -1 yr -1 at the forest. By comparison, cropland erosion rate of 2.6 t ha -1 yr -1 , a grassland rate of 0.6 t ha -1 yr -1 , and a forest rate of 0.2 t ha -1 yr -1 were estimated by RUSLE. The 137 Cs method expressed higher rates than RUSLE because RUSLE tends to overestimate low erosion rates and does not account for deposition. The buried surface horizons method resulted in deposition rates that were 8 t ha -1 yr -1 (during 480 yr) at the cropland, 12 t ha -1 yr -1 (during 980 yr) at the grassland, and 4 t ha -1 yr -1 (during 101 yr) at the forest site. By examining 137 Cs redistribution, soil deposition rates were found to be 23 t ha -1 yr -1 at the cropland, 20 t ha -1 yr -1 at the grassland, and 16 t ha -1 yr -1 at the forest site. The variability in deposition rates was accounted for by temporal differences; 137 Cs expressed deposition during the last 38 yr, whereas Ab horizons represented deposition during hundreds of years. In most cases, land used affected both erosion and deposition rates - the highest rates of soil redistribution usually representing the cropland and the lowest, the forest. When this was not true, differences in the rates were attributed to differences in the size, shape, and closure of the dolines. (orig.)

  20. Measurement of the rate of droplet deposition in vertical upward and downward annular flows

    International Nuclear Information System (INIS)

    Murakami, Toshihiro; Okawa, Tomio; Takei, Rei

    2008-01-01

    The deposition rate of droplets was measured for vertical annular two-phase flows in a small diameter tube by means of the double film extraction technique. The test section was a round tube of 5 mm in inside diameter, air and water were used as test fluids, and the flow direction was set to upward and downward; the system pressure and the flow rates of gas and liquid phases were changed parametrically. If the droplet velocity relative to the continuous gas phase is in the equilibrium state, the shear induced lift force acting on droplets is directed toward the tube centerline in upflow while toward the tube wall in downflow. Particular attention was therefore paid to the effect of flow direction. It was shown experimentally that the deposition rate of droplets in downward flow is greater than that in upward flow. The difference in the measured deposition rate may be attributed to the direction of lift force acting on droplets. (author)

  1. Preparation of carbon nanotubes with different morphology by microwave plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M. [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan); Mansurov, Zulkhair [Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Tokmoldin, S.Zh. [Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan)

    2010-04-15

    In this work we present a part of our results about the preparation of carbon nanotube with different morphologies by using microwave plasma enhanced chemical vapour deposition MPECVD. Well aligned, curly, carbon nanosheets, coiled carbon sheets and carbon microcoils have been prepared. We have investigated the effect of the different growth condition parameters such as the growth temperature, pressure and the hydrogen to methane flow rate ratio on the morphology of the carbon nanotubes. The results showed that there is a great dependence of the morphology of carbon nanotubes on these parameters. The yield of the carbon microcoils was high when the growth temperature was 700 C. There is a linear relation between the growth rate and the methane to hydrogen ratio. The effect of the gas pressure on the CNTs was also studied. Our samples were investigated by scanning electron microscope and Raman spectroscopy (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Raman Enhancement and Photo-Bleaching of Organic Dyes in the Presence of Chemical Vapor Deposition-Grown Graphene

    Directory of Open Access Journals (Sweden)

    Jiaxin Weng

    2017-10-01

    Full Text Available Fluorescent organic dyes photobleach under intense light. Graphene has been shown to improve the photo-stability of organic dyes. In this paper, we investigated the Raman spectroscopy and photo-bleaching kinetics of dyes in the absence/presence of chemical vapor deposition (CVD-grown graphene. We show that graphene enhances the Raman signal of a wide range of dyes. The photo-bleaching of the dyes was reduced when the dyes were in contact with graphene. In contrast, monolayer hexagonal boron nitride (h-BN was much less effective in reducing the photo-bleaching rate of the dyes. We attribute the suppression of photo-bleaching to the energy or electron transfer from dye to graphene. The results highlight the potential of CVD graphene as a substrate for protecting and enhancing Raman response of organic dyes.

  3. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom)

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films.

  4. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films

  5. The Influence of the Powder Stream on High-Deposition-Rate Laser Metal Deposition with Inconel 718

    Directory of Open Access Journals (Sweden)

    Chongliang Zhong

    2017-10-01

    Full Text Available For the purpose of improving the productivity of laser metal deposition (LMD, the focus of current research is set on increasing the deposition rate, in order to develop high-deposition-rate LMD (HDR-LMD. The presented work studies the effects of the powder stream on HDR-LMD with Inconel 718. Experiments have been designed and conducted by using different powder feeding nozzles—a three-jet and a coaxial powder feeding nozzle—since the powder stream is mainly determined by the geometry of the powder feeding nozzle. After the deposition trials, metallographic analysis of the samples has been performed. The laser intensity distribution (LID and the powder stream intensity distribution (PID have been characterized, based on which the processes have been simulated. Finally, for verifying and correcting the used models for the simulation, the simulated results have been compared with the experimental results. Through the conducted work, suitable boundary conditions for simulating the process with different powder streams has been determined, and the effects of the powder stream on the process have also been determined. For a LMD process with a three-jet nozzle a substantial part of the powder particles that hit the melt pool surface are rebounded; for a LMD process with a coaxial nozzle almost all the particles are caught in the melt pool. This is due to the different particle velocities achieved with the two different nozzles. Moreover, the powder stream affects the heat exchange between the heated particles and the melt pool: a surface boundary condition applies for a powder stream with lower particle velocities, in the experiment provided by a three-jet nozzle, and a volumetric boundary condition applies for a powder stream with higher particle velocities, provided by a coaxial nozzle.

  6. Probe Measurements of Ash Deposit Formation Rate and Shedding in a Biomass Suspension-Fired boiler

    DEFF Research Database (Denmark)

    Shafique Bashir, Muhammad; Jensen, Peter Arendt; Frandsen, Flemming

    The aim of this study was to investigate ash deposit formation rate, heat uptake reduction and deposit removal by using advanced online ash deposition and sootblowing probes in a 350 MWth suspension-fired boiler, utilizing wood and straw pellets as fuel. The influence of fuel type (straw share...

  7. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  8. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  9. Measurements of dry deposition rates of 212Pb from aerosols on various natural and artificial surfaces

    International Nuclear Information System (INIS)

    Osaki, S.; Sugihara, S.; Maeda, Y.; Osaki, T.

    2007-01-01

    The dry deposition rates on various grass fields and two forests have been measured by the use of 212 Pb (T 1/2 = 10.6 hours). The deposition rate on grass fields (average: 7 mm x s -1 ) roughly depends on the logarithms of the heights or densities of the grasses. The dry deposition rates on a broadleaved forest (Lithocarpus edulis) and a coniferous forest (Cryptomeria Japonica) were also measured. The highest (ave. 26 mm x s -1 ) was on the forest of C. Japonica because of the dense and adhesive surfaces of the leaves. (author)

  10. Effect of Enhancers on in vitro and in vivo Skin Permeation and Deposition of S-Methyl-L-Methionine.

    Science.gov (United States)

    Kim, Ki Taek; Kim, Ji Su; Kim, Min-Hwan; Park, Ju-Hwan; Lee, Jae-Young; Lee, WooIn; Min, Kyung Kuk; Song, Min Gyu; Choi, Choon-Young; Kim, Won-Serk; Oh, Hee Kyung; Kim, Dae-Duk

    2017-07-01

    S-methyl- L -methionine (SMM), also known as vitamin U, is commercially available as skin care cosmetic products for its wound healing and photoprotective effects. However, the low skin permeation expected of SMM due to its hydrophilic nature with a log P value of -3.3, has not been thoroughly addressed. The purpose of this study thus was to evaluate the effect of skin permeation enhancers on the skin permeation/deposition of SMM. Among the enhancers tested for the in vitro skin permeation and deposition of SMM, oleic acid showed the most significant enhancing effect. Moreover, the combination of oleic acid and ethanol further enhanced in vitro permeation and deposition of SMM through hairless mouse skin. Furthermore, the combination of oleic acid and ethanol significantly increased the in vivo deposition of SMM in the epidermis/dermis for 12 hr, which was high enough to exert a therapeutic effect. Therefore, based on the in vitro and in vivo studies, the combination of oleic acid and ethanol was shown to be effective in improving the topical skin delivery of SMM, which may be applied in the cosmetic production process for SMM.

  11. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  12. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  13. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  14. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  15. Estimating the erosion and deposition rates in a small watershed by the 137Cs tracing method

    International Nuclear Information System (INIS)

    Li Mian; Li Zhanbin; Yao Wenyi; Liu Puling

    2009-01-01

    Understanding the erosion and deposition rates in a small watershed is important for designing soil and water conservation measures. The objective of this study is to estimate the net soil loss and gain at points with various land use types and landform positions in a small watershed in the Sichuan Hilly Basin of China by the 137 Cs tracing technique. Among various land use types, the order of erosion rate was bare rock > sloping cultivated land > forest land. The paddy field and Caotu (a kind of cultivated land located at the foot of hills) were depositional areas. The erosion rate under different landform was in this order: hillside > saddle > hilltop. The footslope and the valley were depositional areas. The 137 Cs technique was shown to provide an effective means of documenting the spatial distribution of soil erosion and deposition within the small watershed

  16. Study on the Deposition Rate Depending on Substrate Position by Using Ion Beam Sputtering Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yonggi; Kim, Bomsok; Lee, Jaesang [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2014-05-15

    Ion beams have been used for over thirty years to modify materials in manufacturing of integrated circuits, and improving the corrosion properties of surfaces. Recently, the requirements for ion beam processes are becoming especially challenging in the following areas : ultra shallow junction formation for LSI fabrication, low damage high rate ion beam sputtering and smoothing, high quality functional surface treatment for electrical and optical properties. Ion beam sputtering is an attractive technology for the deposition of thin film coatings onto a broad variety of polymer, Si-wafer, lightweight substrates. Demand for the decoration metal is increasing. In addition, lightweight of parts is important, because of energy issues in the industries. Although a lot of researches have been done with conventional PVD methods for the deposition of metal or ceramic films on the surface of the polymer, there are still adhesion problems.

  17. Enhanced properties of tungsten thin films deposited with a novel HiPIMS approach

    Science.gov (United States)

    Velicu, Ioana-Laura; Tiron, Vasile; Porosnicu, Corneliu; Burducea, Ion; Lupu, Nicoleta; Stoian, George; Popa, Gheorghe; Munteanu, Daniel

    2017-12-01

    Despite the tremendous potential for industrial use of tungsten (W), very few studies have been reported so far on controlling and tailoring the properties of W thin films obtained by physical vapor deposition techniques and, even less, for those deposited by High Power Impulse Magnetron Sputtering (HiPIMS). This study presents results on the deposition process and properties characterization of nanocrystalline W thin films deposited on silicon and molybdenum substrates (100 W average sputtering power) by conventional dc magnetron sputtering (dcMS) and HiPIMS techniques. Topological, structural, mechanical and tribological properties of the deposited thin films were investigated. It was found that in HiPIMS, both deposition process and coatings properties may be optimized by using an appropriate magnetic field configuration and pulsing design. Compared to the other deposited samples, the W films grown in multi-pulse (5 × 3 μs) HiPIMS assisted by an additional magnetic field, created with a toroidal-shaped permanent magnet placed in front of the magnetron cathode, show significantly enhanced properties, such as: smoother surfaces, higher homogeneity and denser microstructure, higher hardness and Young's modulus values, better adhesion to the silicon substrate and lower coefficient of friction. Mechanical behaviour and structural changes are discussed based on plasma diagnostics results.

  18. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  19. Improvement in high-voltage and high rate cycling performance of nickel-rich layered cathode materials via facile chemical vapor deposition with methane

    International Nuclear Information System (INIS)

    Hyuk Son, In; Park, Kwangjin; Hwan Park, Jong

    2017-01-01

    Nickel-rich layered-oxide materials are considered promising candidates for application as cathode material in high-energy lithium ion batteries. However, their cycling performance at high voltages and rate conditions require further improvement for the purpose of commercialization. Here, we report on the facile surface modification of nickel-rich layered oxide by chemical vapor deposition with methane which yields a conductive and protective artificial solid electrolyte interphase layer consisting of amorphous carbon, alkyl lithium carbonate, and lithium carbonate. We examine the mechanism of the protective layer formation and structural deformation of the nickel-rich layered oxide during chemical vapor deposition with methane. Via optimizing the reaction conditions, we improve the electrical conductivity as well as the interfacial stability of the nickel-rich layered oxide without inducing structural deformation. The surface-modified nickel-rich layered oxide exhibits an improved performance due to the resulting enhanced rate capability, high initial efficiency, and long cycle life at high voltage (>4.5 V).

  20. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  1. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  2. Application of heat treatment and dispersive strengthening concept in interlayer deposition to enhance diamond film adherence

    Energy Technology Data Exchange (ETDEWEB)

    Lin Chiiruey [Tatung Inst. of Technol., Taipei (Taiwan, Province of China). Dept. of Mech. Eng.; Kuo Chengtzu; Chang Rueyming [Institute of Materials Science and Engineering, National Chiao Tung University, 1001 Ta-Hsueh Road, Hsinchu 30050 (Taiwan, Province of China)

    1997-10-31

    Two different deposition processes were carried out to enhance adherence of diamond films on WC+3-5%Co substrate with Ti-Si as the interlayer. One process can be called two-step diamond deposition process. Another process can be called interlayer heat treatment process. Diamond films were deposited by a microwave plasma chemical vapor deposition system. Ti and Si interlayer are deposited by DC sputter and an E-gun, respectively. Film morphologies, interface structure and film quality were examined by SEM, XRD, Auger electron spectroscopy and Raman spectroscopy. The residual stresses and adhesion strengths of the films were determined by Raman spectroscopy and indentation adhesion testing, respectively. Comparing the regular one-step diamond deposition process with the present two different new processes, the average dP/dX values, which are a measure of the adherence of the film, are 354 kgf/mm, 494 kgf/mm and 787 kgf/mm, respectively. In other words, the interlayer heat treatment process gives the best film adherence on average. For the two-step diamond deposition process, the interlayer thickness and the percent diamond surface coverage of the first diamond deposition step are the main parameters, and there exists an optimum Ti thickness and percent diamond coverage for the best film adherence. The main contribution to better film adherence is not a large difference in residual stress, but is due to the following reasons. The interlayer heat treatment can transform amorphous Si to polycrystalline Si, and may form strong TiC and SiC bonding. The polycrystalline Si and the diamond particles from the first diamond deposition step can be an effective seeds to enhance diamond nucleation. (orig.) 11 refs.

  3. Enhanced Seismic Imaging of Turbidite Deposits in Chicontepec Basin, Mexico

    Science.gov (United States)

    Chavez-Perez, S.; Vargas-Meleza, L.

    2007-05-01

    We test, as postprocessing tools, a combination of migration deconvolution and geometric attributes to attack the complex problems of reflector resolution and detection in migrated seismic volumes. Migration deconvolution has been empirically shown to be an effective approach for enhancing the illumination of migrated images, which are blurred versions of the subsurface reflectivity distribution, by decreasing imaging artifacts, improving spatial resolution, and alleviating acquisition footprint problems. We utilize migration deconvolution as a means to improve the quality and resolution of 3D prestack time migrated results from Chicontepec basin, Mexico, a very relevant portion of the producing onshore sector of Pemex, the Mexican petroleum company. Seismic data covers the Agua Fria, Coapechaca, and Tajin fields. It exhibits acquisition footprint problems, migration artifacts and a severe lack of resolution in the target area, where turbidite deposits need to be characterized between major erosional surfaces. Vertical resolution is about 35 m and the main hydrocarbon plays are turbidite beds no more than 60 m thick. We also employ geometric attributes (e.g., coherent energy and curvature), computed after migration deconvolution, to detect and map out depositional features, and help design development wells in the area. Results of this workflow show imaging enhancement and allow us to identify meandering channels and individual sand bodies, previously undistinguishable in the original seismic migrated images.

  4. Enhanced Dissolution of Platinum Group Metals Using Electroless Iron Deposition Pretreatment

    Science.gov (United States)

    Taninouchi, Yu-ki; Okabe, Toru H.

    2017-12-01

    In order to develop a new method for efficiently recovering platinum group metals (PGMs) from catalyst scraps, the authors investigated an efficient dissolution process where the material was pretreated by electroless Fe deposition. When Rh-loaded alumina powder was kept in aqua regia at 313 K (40 °C) for 30 to 60 minutes, the Rh hardly dissolved. Meanwhile, after electroless Fe plating using a bath containing sodium borohydride and potassium sodium tartrate as the reducing and complexing agents, respectively, approximately 60 pct of Rh was extracted by aqua regia at 313 K (40 °C) after 30 minutes. Furthermore, when heat treatment was performed at 1200 K (927 °C) for 60 minutes in vacuum after electroless plating, the extraction of Rh approached 100 pct for the same leaching conditions. The authors also confirmed that the Fe deposition pretreatment enhanced the dissolution of Pt and Pd. These results indicate that an effective and environmentally friendly process for the separation and extraction of PGMs from catalyst scraps can be developed utilizing this Fe deposition pretreatment.

  5. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  6. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  7. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  8. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  9. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  10. High-deposition-rate ceramics synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Allendorf, M.D.; Osterheld, T.H.; Outka, D.A. [Sandia National Laboratories, Livermore, CA (United States)] [and others

    1995-05-01

    Parallel experimental and computational investigations are conducted in this project to develop validated numerical models of ceramic synthesis processes. Experiments are conducted in the High-Temperature Materials Synthesis Laboratory in Sandia`s Combustion Research Facility. A high-temperature flow reactor that can accommodate small preforms (1-3 cm diameter) generates conditions under which deposition can be observed, with flexibility to vary both deposition temperature (up to 1500 K) and pressure (as low as 10 torr). Both mass spectrometric and laser diagnostic probes are available to provide measurements of gas-phase compositions. Experiments using surface analytical techniques are also applied to characterize important processes occuring on the deposit surface. Computational tools developed through extensive research in the combustion field are employed to simulate the chemically reacting flows present in typical industrial reactors. These include the CHEMKIN and Surface-CHEMKIN suites of codes, which permit facile development of complex reaction mechanisms and vastly simplify the implementation of multi-component transport and thermodynamics. Quantum chemistry codes are also used to estimate thermodynamic and kinetic data for species and reactions for which this information is unavailable.

  11. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  12. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  13. Pulsed laser deposition of Ag nanoparticles on titanium hydroxide/oxide nanobelt arrays for highly sensitive surface-enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Jing, Yuting; Wang, Huanwen; Zhao, Jie; Yi, Huan; Wang, Xuefeng

    2015-01-01

    Highlights: • Silver nanoparticles (NPs) were deposited on Ti(OH) 4 nanobelt by pulsed laser deposition (PLD). • The highest enhancement factor of 10 6 and a maximum relative standard deviation (RSD) of 0.18. • Ag 2 O play important role for the high sensitivity Raman phenomenon. • Charge transfer from Ag NPs is also responsible for the enhancement ability. - Abstract: Surface-enhanced Raman scattering (SERS) substrate of Ti(OH) 4 nanobelt arrays (NBAs) was synthesized by a hydrothermal reaction, on which silver nanoparticles (NPs) were deposited by pulsed laser deposition (PLD). Field-emission scanning electron microscopy (FESEM) and transmission electron microscopy (TEM) revealed the effective high specific surface area with silver NPs decorated on three-dimensional NBAs. Using rhodamine 6G (R6G) as an analyte molecule, the highest enhancement factor of 10 6 and a maximum relative standard deviation (RSD) of 0.18 were obtained. It has been found that the specific morphology of these composite nanobelt arrays and the formation of Ag 2 O play important role for the high sensitivity Raman phenomenon. In addition, the surface plasmon resonance wavelength of Ag decorated Ti(OH) 4 NBAs and the charge transfer from Ag NPs are also responsible for the enhancement ability. For comparison SERS was investigated with silver particles decorated on TiO 2 NBAs, which is much less active

  14. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  15. A prediction method for the wax deposition rate based on a radial basis function neural network

    Directory of Open Access Journals (Sweden)

    Ying Xie

    2017-06-01

    Full Text Available The radial basis function neural network is a popular supervised learning tool based on machinery learning technology. Its high precision having been proven, the radial basis function neural network has been applied in many areas. The accumulation of deposited materials in the pipeline may lead to the need for increased pumping power, a decreased flow rate or even to the total blockage of the line, with losses of production and capital investment, so research on predicting the wax deposition rate is significant for the safe and economical operation of an oil pipeline. This paper adopts the radial basis function neural network to predict the wax deposition rate by considering four main influencing factors, the pipe wall temperature gradient, pipe wall wax crystal solubility coefficient, pipe wall shear stress and crude oil viscosity, by the gray correlational analysis method. MATLAB software is employed to establish the RBF neural network. Compared with the previous literature, favorable consistency exists between the predicted outcomes and the experimental results, with a relative error of 1.5%. It can be concluded that the prediction method of wax deposition rate based on the RBF neural network is feasible.

  16. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  17. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  18. Effect of ultraviolet rays in low temperature Si02 deposition

    International Nuclear Information System (INIS)

    Calix, V.M.; Peccoud, L.; Chevallier, M.

    1976-09-01

    Vitreous silicon dioxide films have been prepared on silicon wafers by the oxidation of SiH 2 at temperature below 360 deg C. In this experiment the samples were exposed to ultraviolet rays during deposition process. Results show that there is marked effect on the deposition rate which in turn is temperature dependent. The physical characteristics between the normal and ultraviolet-enhanced deposition show an increase of minute nodules of the latter

  19. Influence of substrate temperature, growth rate and TCO substrate on the properties of CSS deposited CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Schaffner, J., E-mail: jschaffner@surface.tu-darmstadt.de; Feldmeier, E.; Swirschuk, A.; Schimper, H.-J.; Klein, A.; Jaegermann, W.

    2011-08-31

    The growth of CdS thin films by close space sublimation (CSS) has been systematically studied using an ultra-high vacuum system known as DAISY-SOL in order to understand the basic growth mechanisms and their impact on the film properties. Substrate temperature and deposition rate were varied, and the surface properties of the CdS layer were determined by photoelectron spectroscopy (XPS) without breaking the vacuum. To analyze the influence of the deposition conditions on the layer morphology and crystallographic structure, the films were further characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and atomic force microscopy (AFM). The SEM and AFM studies show a correlation between the deposition rate and the film morphology. For high deposition rates, edged grain shapes and smoother surfaces were observed than for low deposition rates. CdS films were deposited onto two different commercially available fluorine-doped tin oxide (FTO) substrates. XRD studies show that a high <200> texture of the FTO substrate prefers the CdS growth in <0001> orientation of the hexagonal crystal modification.

  20. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  1. Determination of Redistribution of Erosion/Deposition Rate in Cultivated Area Using 137Cs Technique

    International Nuclear Information System (INIS)

    Nita Suhartini; Syamsul Abbas RAS; Barokah A; Ali Arman L

    2004-01-01

    The aim of the research is to determine the rate of redistribution of erosion/deposition in cultivated area. The application of 137 Cs technique was carried out at cultivated area in Bojong - Ciawi, with slope less than 10 o and slope length of about 2 km. A reference site was selected at the top of the slope, and this site is flat, open and covered with grass. Two sites in the cultivated area were selected as study site namely LU-I ( 15 x 25 ) m with the distance of 1000 m from the top, and LU-II (17.5 x 20) m with the distance of 1300 m from the top. Sampling of soil at reference site was done by using scraper (20 x 50) cm, while sampling at study site by using core sampling (di = 7 cm). Soil samples were brought to the laboratorium for preparation and analysis of 137 Cs content. Preparation are including of drying, weighing the total dry, sieving and crushing. Analysis of 137 Cs content was done using multi channel analyzer (MCA) that connected to high purity germanium (HPGe), at 661 keV, and the minimum counting time of 16 hours. To estimate the erosion/deposit rate, two mathematical model were used, namely Proportional Model (PM) and Mass Balance Model 1 (MBM1). The result for application of 137 Cs technique showed that MBM1 gives somewhat higher value for deposit rate and somewhat lower value for erosion than PM. Land use - I (LU-I) of Bojong - Ciawi was suffering from erosion with the erosion rate from 1 t/(ha.y) to 13 t/(ha.y), and LU-II has deposit rate from 1 t/(ha.y) to 50 t/(ha.y). (author)

  2. Estimating the erosion and deposition rates in a small watershed by the {sup 137}Cs tracing method

    Energy Technology Data Exchange (ETDEWEB)

    Li Mian [Yellow River Institute of Hydraulic Research, Key Laboratory of Sediment Research of Yellow River of Ministry of Water Resources, Zhengzhou, Henan 450003 (China)], E-mail: hnli-mian@163.com; Li Zhanbin [Xi' an University of Technology, Xi' an, Shaanxi 710048 (China); Institute of Soil and Water Conservation, Chinese Academy of Sciences and Ministry of Water Resources, Yangling, Shaanxi 712100 (China); Yao Wenyi [Yellow River Institute of Hydraulic Research, Key Laboratory of Sediment Research of Yellow River of Ministry of Water Resources, Zhengzhou, Henan 450003 (China); Liu Puling [Institute of Soil and Water Conservation, Chinese Academy of Sciences and Ministry of Water Resources, Yangling, Shaanxi 712100 (China)

    2009-02-15

    Understanding the erosion and deposition rates in a small watershed is important for designing soil and water conservation measures. The objective of this study is to estimate the net soil loss and gain at points with various land use types and landform positions in a small watershed in the Sichuan Hilly Basin of China by the {sup 137}Cs tracing technique. Among various land use types, the order of erosion rate was bare rock > sloping cultivated land > forest land. The paddy field and Caotu (a kind of cultivated land located at the foot of hills) were depositional areas. The erosion rate under different landform was in this order: hillside > saddle > hilltop. The footslope and the valley were depositional areas. The {sup 137}Cs technique was shown to provide an effective means of documenting the spatial distribution of soil erosion and deposition within the small watershed.

  3. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    Science.gov (United States)

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  4. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

    Science.gov (United States)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hoa; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-04-01

    With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

  5. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  6. Validating Whole-Airway CFD Predictions of DPI Aerosol Deposition at Multiple Flow Rates.

    Science.gov (United States)

    Longest, P Worth; Tian, Geng; Khajeh-Hosseini-Dalasm, Navvab; Hindle, Michael

    2016-12-01

    The objective of this study was to compare aerosol deposition predictions of a new whole-airway CFD model with available in vivo data for a dry powder inhaler (DPI) considered across multiple inhalation waveforms, which affect both the particle size distribution (PSD) and particle deposition. The Novolizer DPI with a budesonide formulation was selected based on the availability of 2D gamma scintigraphy data in humans for three different well-defined inhalation waveforms. Initial in vitro cascade impaction experiments were conducted at multiple constant (square-wave) particle sizing flow rates to characterize PSDs. The whole-airway CFD modeling approach implemented the experimentally determined PSDs at the point of aerosol formation in the inhaler. Complete characteristic airway geometries for an adult were evaluated through the lobar bronchi, followed by stochastic individual pathway (SIP) approximations through the tracheobronchial region and new acinar moving wall models of the alveolar region. It was determined that the PSD used for each inhalation waveform should be based on a constant particle sizing flow rate equal to the average of the inhalation waveform's peak inspiratory flow rate (PIFR) and mean flow rate [i.e., AVG(PIFR, Mean)]. Using this technique, agreement with the in vivo data was acceptable with <15% relative differences averaged across the three regions considered for all inhalation waveforms. Defining a peripheral to central deposition ratio (P/C) based on alveolar and tracheobronchial compartments, respectively, large flow-rate-dependent differences were observed, which were not evident in the original 2D in vivo data. The agreement between the CFD predictions and in vivo data was dependent on accurate initial estimates of the PSD, emphasizing the need for a combination in vitro-in silico approach. Furthermore, use of the AVG(PIFR, Mean) value was identified as a potentially useful method for characterizing a DPI aerosol at a constant flow rate.

  7. ITO films with enhanced electrical properties deposited on unheated ZnO-coated polymer substrates

    International Nuclear Information System (INIS)

    Nunes de Carvalho, C.; Lavareda, G.; Fortunato, E.; Alves, H.; Goncalves, A.; Varela, J.; Nascimento, R.; Amaral, A.

    2005-01-01

    Indium tin oxide (ITO) films were deposited by radio frequency (rf)-plasma enhanced reactive thermal evaporation (rf-PERTE) at room temperature on intrinsic ZnO/polymer substrates to enhance their electrical and structural properties. The polymer substrate used is polyethylene terephthalate (PET). The thickness of the ZnO films varied in the range 50-150 nm. The average thickness of the ITO films is of about 170 nm. Results show that ITO deposited on bare PET substrates exhibit: an average visible transmittance of about 85% and an electrical resistivity of 5.6 x 10 -2 Ω cm. ITO on ZnO/PET substrates show the optical quality practically preserved and the resistivity decreased to a minimum value of 1.9x10 -3 Ω cm for ZnO layers 125 nm thick. The electrical properties of ITO on ZnO/PET are largely improved by the increase in carrier mobility

  8. Spectroscopic properties of nitrogen doped hydrogenated amorphous carbon films grown by radio frequency plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hayashi, Y.; Yu, G.; Rahman, M. M.; Krishna, K. M.; Soga, T.; Jimbo, T.; Umeno, M.

    2001-01-01

    Nitrogen doped hydrogenated amorphous carbon thin films have been deposited by rf plasma-enhanced chemical vapor deposition using CH 4 as the source of carbon and with different nitrogen flow rates (N 2 /CH 4 gas ratios between 0 and 3), at 300 K. The dependence modifications of the optical and the structural properties on nitrogen incorporation were investigated using different spectroscopic techniques, such as, Raman spectroscopy, Fourier transform infrared spectroscopy, x-ray photoelectron spectroscopy, ultraviolet-visible (UV-VIS) spectroscopy, electron spin resonance (ESR), photoluminescence (PL) and spectroscopic ellipsometry (SE). Raman spectroscopy and IR absorption reveal an increase in sp 2 -bonded carbon or a change in sp 2 domain size with increasing nitrogen flow rate. It is found that the configuration of nitrogen atoms incorporated into an amorphous carbon network gradually changes from nitrogen atoms surrounded by three (σ bonded) to two (π bonded) neighboring carbons with increasing nitrogen flow rate. Tauc optical gap is reduced from 2.6 to 2.0 eV, and the ESR spin density and the peak-to-peak linewidth increase sharply with increasing nitrogen flow rate. Excellent agreement has been found between the measured SE data and modeled spectra, in which an empirical dielectric function of amorphous materials and a linear void distribution along the thickness have been assumed. The influence of nitrogen on the electronic density of states is explained based on the optical properties measured by UV-VIS and PL including nitrogen lone pair band. [copyright] 2001 American Institute of Physics

  9. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    correlated to gas-phase excited state species and the pulsing duty cycle to better understand the mechanisms of allyl alcohol deposition in our plasma systems. While these studies specifically examined supported nanoparticle substrates, some applications might require the complete functionalization of the entire nanoparticle surface. To overcome this challenge, a rotating drum plasma reactor was designed as a method for functionalizing the surface of individual Fe 2O3 nanoparticles. Specifically, data show how the rotating motion of the reactor is beneficial for increasing the alcohol surface functionality of the nanoparticles when treated with pulsed allyl alcohol plasmas. Plasma copolymerization was used to deposit films rich in both oxygen and nitrogen containing functional groups using allyl alcohol and allyl amine plasma systems. Functional group retention and surface wettability was maximized under pulsed plasma conditions, and films produced under pulsed plasma conditions did not exhibit hydrophobic recovery or experience loss of nitrogen as the films aged. Plasma surface modification with N2/H2O and NH 3/H2O, and plasma deposition with allyl alcohol and allyl amine, were used to increase the wettability of poly(caprolactone) scaffolds while simultaneously implanting functional groups onto the scaffold surface and into the scaffold core. While plasma deposition methods did not modify the internal core of the scaffold as much as modification methods, it afforded the ability to have higher water absorption rates after a three week aging period. Additionally, cell viability studies were conducted with N2/H 2O plasma treated scaffolds and showed enhanced cell growth on plasma treated scaffolds over non plasma-treated scaffolds.

  10. Enhancing the platinum atomic layer deposition infiltration depth inside anodic alumina nanoporous membrane

    Energy Technology Data Exchange (ETDEWEB)

    Vaish, Amit, E-mail: anv@udel.edu; Krueger, Susan; Dimitriou, Michael; Majkrzak, Charles [National Institute of Standards and Technology (NIST) Center for Neutron Research, Gaithersburg, MD 20899-8313 (United States); Vanderah, David J. [Institute for Bioscience and Biotechnology Research, NIST, Rockville, Maryland 20850 (United States); Chen, Lei, E-mail: lei.chen@nist.gov [NIST Center for Nanoscale Science and Technology, Gaithersburg, Maryland 20899-8313 (United States); Gawrisch, Klaus [Laboratory of Membrane Biochemistry and Biophysics, National Institute on Alcohol Abuse and Alcoholism, National Institutes of Health, Bethesda, Maryland 20892 (United States)

    2015-01-15

    Nanoporous platinum membranes can be straightforwardly fabricated by forming a Pt coating inside the nanopores of anodic alumina membranes (AAO) using atomic layer deposition (ALD). However, the high-aspect-ratio of AAO makes Pt ALD very challenging. By tuning the process deposition temperature and precursor exposure time, enhanced infiltration depth along with conformal coating was achieved for Pt ALD inside the AAO templates. Cross-sectional scanning electron microscopy/energy dispersive x-ray spectroscopy and small angle neutron scattering were employed to analyze the Pt coverage and thickness inside the AAO nanopores. Additionally, one application of platinum-coated membrane was demonstrated by creating a high-density protein-functionalized interface.

  11. Protease inhibitors enhance extracellular collagen fibril deposition in human mesenchymal stem cells

    OpenAIRE

    Han, Sejin; Li, Yuk Yin; Chan, Barbara Pui

    2015-01-01

    Introduction Collagen is a widely used naturally occurring biomaterial for scaffolding, whereas mesenchymal stem cells (MSCs) represent a promising cell source in tissue engineering and regenerative medicine. It is generally known that cells are able to remodel their environment by simultaneous degradation of the scaffolds and deposition of newly synthesized extracellular matrix. Nevertheless, the interactions between MSCs and collagen biomaterials are poorly known, and the strategies enhanci...

  12. Enhanced magnetic properties of chemical solution deposited BiFeO3 thin film with ZnO buffer layer

    International Nuclear Information System (INIS)

    Rajalakshmi, R.; Kambhala, Nagaiah; Angappane, S.

    2012-01-01

    Highlights: ► Enhanced magnetization of BiFeO 3 is important for strong magnetoelectric coupling. ► BiFeO 3 film with ZnO buffer layer was successfully synthesized by chemical method. ► Magnetization of BiFeO 3 has increased by more than 10 times with ZnO buffer layer. ► A mechanism for enhancement in ferromagnetism of BiFeO 3 film is proposed. - Abstract: Magnetic properties of BiFeO 3 films deposited on Si substrates with and without ZnO buffer layer have been studied in this work. We adopted the chemical solution deposition method for the deposition of BiFeO 3 as well as ZnO films. The x-ray diffraction measurements on the deposited films confirm the formation of crystalline phase of BiFeO 3 and ZnO films, while our electron microscopy measurements help to understand the morphology of few micrometers thick films. It is found that the deposited ZnO film exhibit a hexagonal particulate surface morphology, whereas BiFeO 3 film fully covers the ZnO surface. Our magnetic measurements reveal that the magnetization of BiFeO 3 has increased by more than ten times in BiFeO 3 /ZnO/Si film compared to BiFeO 3 /Si film, indicating the major role played by ZnO buffer layer in enhancing the magnetic properties of BiFeO 3 , a technologically important multiferroic material.

  13. The role of plasma induced substrate heating during high rate deposition of microcrystalline solar cells

    NARCIS (Netherlands)

    van den Donker, M.N.; Schmitz, R.; Appenzeller, W.; Rech, B.; Kessels, W.M.M.; Sanden, van de M.C.M.

    2006-01-01

    A 13.56 MHz parallel plate hydrogen-dild. silane plasma, operated at high pressure and high power, was used to deposit microcryst. silicon solar cells with efficiencies of 6-9% at high deposition rates of 0.4-1.2 nm/s. In this regime new challenges arise regarding temp. control, since the high

  14. Hybrid radio-frequency/direct-current plasma-enhanced chemical vapor deposition system for deposition on inner surfaces of polyethylene terephthalate bottles

    Science.gov (United States)

    Li, Jing; Tian, Xiubo; Gong, Chunzhi; Yang, Shiqin; Fu, Ricky K. Y.; Chu, Paul K.

    2009-12-01

    A hybrid radio-frequency (rf)/direct-current (dc) system has been developed to control the biasing effects during deposition of diamondlike carbon (DLC) films onto the inner wall of polyethylene terephthalate (PET) bottles. An additional dc bias is coupled to the rf electrode to produce the effect of equivalent rf self-biasing. This allows more flexible control of the deposition of the DLC films which are intended to improve the gas barrier characteristics. The experimental results demonstrate that the additional dc bias improves the adhesion strength between the DLC film and PET, although the enhancement in the gas barrier properties is not significantly larger compared to the one without dc bias. The apparatus and methodology have practical importance in the food and beverage industry.

  15. Hybrid radio-frequency/direct-current plasma-enhanced chemical vapor deposition system for deposition on inner surfaces of polyethylene terephthalate bottles

    International Nuclear Information System (INIS)

    Li Jing; Gong Chunzhi; Yang Shiqin; Tian Xiubo; Fu, Ricky K. Y.; Chu, Paul K.

    2009-01-01

    A hybrid radio-frequency (rf)/direct-current (dc) system has been developed to control the biasing effects during deposition of diamondlike carbon (DLC) films onto the inner wall of polyethylene terephthalate (PET) bottles. An additional dc bias is coupled to the rf electrode to produce the effect of equivalent rf self-biasing. This allows more flexible control of the deposition of the DLC films which are intended to improve the gas barrier characteristics. The experimental results demonstrate that the additional dc bias improves the adhesion strength between the DLC film and PET, although the enhancement in the gas barrier properties is not significantly larger compared to the one without dc bias. The apparatus and methodology have practical importance in the food and beverage industry.

  16. Hybrid radio-frequency/direct-current plasma-enhanced chemical vapor deposition system for deposition on inner surfaces of polyethylene terephthalate bottles

    Energy Technology Data Exchange (ETDEWEB)

    Li Jing; Gong Chunzhi; Yang Shiqin [Institute of Plasma Surface Engineering and Equipment, State Key Laboratory of Advanced Welding Production and Technology, School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Tian Xiubo [Institute of Plasma Surface Engineering and Equipment, State Key Laboratory of Advanced Welding Production and Technology, School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon (Hong Kong); Fu, Ricky K. Y.; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon (Hong Kong)

    2009-12-15

    A hybrid radio-frequency (rf)/direct-current (dc) system has been developed to control the biasing effects during deposition of diamondlike carbon (DLC) films onto the inner wall of polyethylene terephthalate (PET) bottles. An additional dc bias is coupled to the rf electrode to produce the effect of equivalent rf self-biasing. This allows more flexible control of the deposition of the DLC films which are intended to improve the gas barrier characteristics. The experimental results demonstrate that the additional dc bias improves the adhesion strength between the DLC film and PET, although the enhancement in the gas barrier properties is not significantly larger compared to the one without dc bias. The apparatus and methodology have practical importance in the food and beverage industry.

  17. Enhancing rates of erosion and uplift through glacial perturbations

    Science.gov (United States)

    Norton, Kevin; Schlunegger, Fritz; Abbühl, Luca

    2010-05-01

    Research over the past decade has shown that the pattern of modern rock uplift in the Swiss Alps correlates with both long-term (thermochronometers) and short-term (cosmogenic nuclide-derived denudation rates, sediment loads, lake fills) measures of erosion. This correlation has been attributed alternately to isostatic causes (compensation to erosion and/or glacial unloading) and tectonic forces (ongoing collision and partial delamination). Of these potential driving forces, only isostatic compensation to erosion fits all available structural, geodetic, and flexural models. We explore this uplift-erosion relationship by analyzing river channel steepness for Alpine rivers. Zones of oversteepening, and hence enhanced stream power, are associated with glacial erosion and deposition during LGM and earlier glaciations, resulting in the focusing of erosion into the inner gorges which connect hanging tributary valleys to the main glacial trunk valley. These inner gorges are transient zones in which fluvial and hillslope processes are in the process of re-adjusting this glacially perturbed landscape. Bedrock properties also play a major role in the response time of these adjustments. Glacially generated knickzones are located within 5 km of the trunk stream in the Rhone valley where resistant lithologies dominate (gneiss), whereas the knickzones have migrated as much as 10 km or further in the less resistant rocks (buendnerschists) of the Rhine valley. We suggest that the rock uplift pattern is controlled by surface denudation as set by the glacial-interglacial history of the Alps. Rapid, focused erosion results in rapid rock uplift rates in the Central Swiss Alps, where glaciers were most active. An interesting ramification of this reasoning is that in the absence of glacial perturbation, both rock uplift rates and denudation rates would be substantially lower in this isostatically compensated mountain belt.

  18. Thermomechanical response of 3D laser-deposited Ti–6Al–4V alloy over a wide range of strain rates and temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Li, Peng-Hui [School of Aeronautics, Northwestern Polytechnical University, Xi’an 710072 (China); Guo, Wei-Guo, E-mail: weiguo@nwpu.edu.cn [School of Aeronautics, Northwestern Polytechnical University, Xi’an 710072 (China); Huang, Wei-Dong [The State Key Laboratory of Solidification Processing, Northwestern Polytechnical University, Xi’an 710072 (China); Su, Yu [Department of Mechanics, School of Aerospace Engineering, Beijing Institute of Technology, Beijing 100081 (China); Lin, Xin [The State Key Laboratory of Solidification Processing, Northwestern Polytechnical University, Xi’an 710072 (China); Yuan, Kang-Bo [School of Aeronautics, Northwestern Polytechnical University, Xi’an 710072 (China)

    2015-10-28

    To understand and evaluate the thermomechanical property of Ti–6Al–4V alloy prepared by the 3D laser deposition technology, an uniaxial compression test was performed on cylindrical samples using an electronic universal testing machine and enhanced Hopkinson technique, over the range of strain rate from 0.001/s to 5000/s, and at initial temperatures from the room temperature to 1173 K. The microstructure of the undeformed and deformed samples was examined through optical microscopy and the use of scanning electron microscope (SEM). The experimental results show the followings: (1) the anisotropy of the mechanical property of this alloy is not significant despite the visible stratification at the exterior surfaces; (2) initial defects, such as the initial voids and lack of fusion, are found in the microstructure and in the crack surfaces of the deformed samples, and they are considered as a major source of crack initiation and propagation; (3) adiabatic shear bands and shearing can easily develop at all selected temperatures for samples under compression; (4) the yield and ultimate strengths of this laser-deposited Ti–6Al–4V alloy are both lower than those of the Ti–6Al–4V alloy prepared by forging and electron beam melting, whereas both of its strengths are higher than those of a conventional grade Ti–6Al–4V alloy at high strain rate only. In addition to compression tests we also conducted tensile loading tests on the laser-deposited alloy at both low and high strain rates (0.1/s and 1000/s). There is significant tension/compression asymmetry in the mechanical response under high-strain-rate loading. It was found that the quasi-static tensile fracturing exhibits typical composite fracture characteristic with quasi-cleavages and dimples, while the high-strain-rate fracturing is characterized by ductile fracture behavior.

  19. High-rate silicon nitride deposition for photovoltaics : from fundamentals to industrial application

    NARCIS (Netherlands)

    Kessels, W.M.M.; Oever, van den P.J.; Bosch, R.C.M.; Bijker, M.D.; Evers, M.F.J.; Schram, D.C.; Sanden, van de M.C.M.

    2005-01-01

    The development of a novel plasma technique for high rate (> 1 nm/s) silicon nitride deposition for multifunctional antireflection coatings on crystalline silicon solar cells is described. The research has involved the analysis of the structural and optical properties of the silicon nitride films as

  20. High-rate silicon nitride deposition for photovoltaics : from fundamentals to industrial application

    NARCIS (Netherlands)

    Kessels, W.M.M.; Oever, van den P.J.; Bosch, R.C.M.; Bijker, M.D.; Evers, M.F.J.; Schram, D.C.; Sanden, van de M.C.M.

    2004-01-01

    The development of a novel plasma technique for high rate (> 1 nm/s) silicon nitride deposition for multifunctional antireflection coatings on crystalline silicon solar cells is described. The research has involved the analysis of the structural and optical properties of the silicon nitride films as

  1. Catalyst-free growth and tailoring morphology of zinc oxide nanostructures by plasma-enhanced deposition at low temperature

    International Nuclear Information System (INIS)

    Chen, W. Z.; Wang, B. B.; Qu, Y. Z.; Huang, X.; Ostrikov, K.; Levchenko, I.; Xu, S.; Cheng, Q. J.

    2017-01-01

    ZnO nanostructures were grown under different deposition conditions from Zn films pre-deposited onto Si substrates in O 2 -Ar plasma, ignited in an advanced custom-designed plasma-enhanced horizontal tube furnace deposition system. The morphology and structure of the synthesized ZnO nanostructures were systematically and extensively investigated by scanning and transmission electron microscopy, Raman spectroscopy, and atomic force microscopy. It is shown that the morphology of ZnO nanostructures changes from the hybrid ZnO/nanoparticle and nanorod system to the mixture of ZnO nanosheets and nanorods when the growth temperature increases, and the density of ZnO nanorods increases with the increase of oxygen flow rate. The formation of ZnO nanostructures was explained in terms of motion of Zn atoms on the Zn nanoparticle surfaces, and to the local melting of Zn nanoparticles or nanosheets. Moreover, the photoluminescence properties of ZnO nanostructures were studied, and it was revealed that the photoluminescence spectrum features two strong ultraviolet bands at about 378 and 399 nm and a series of weak blue bands within a range of 440–484 nm, related to the emissions of free excitons, near-band edge, and defects of ZnO nanostructures. The obtained results enrich our knowledge on the synthesis of ZnO-based nanostructures and contribute to the development of ZnO-based optoelectronic devices.

  2. Catalyst-free growth and tailoring morphology of zinc oxide nanostructures by plasma-enhanced deposition at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Chen, W. Z. [Quanzhou Normal University, Key Laboratory of Information Functional Material for Fujian Higher Education, College of Physics & Information Engineering (China); Wang, B. B. [Chongqing University of Technology, College of Chemical Engineering (China); Qu, Y. Z.; Huang, X. [Xiamen University, College of Energy, Xiang’an Campus (China); Ostrikov, K. [Queensland University of Technology, School of Chemistry, Physics and Mechanical Engineering (Australia); Levchenko, I.; Xu, S. [Nanyang Technological University, Plasma Sources and Applications Centre, National Institute of Education (Singapore); Cheng, Q. J., E-mail: qijin.cheng@xmu.edu.cn [Xiamen University, College of Energy, Xiang’an Campus (China)

    2017-03-15

    ZnO nanostructures were grown under different deposition conditions from Zn films pre-deposited onto Si substrates in O{sub 2}-Ar plasma, ignited in an advanced custom-designed plasma-enhanced horizontal tube furnace deposition system. The morphology and structure of the synthesized ZnO nanostructures were systematically and extensively investigated by scanning and transmission electron microscopy, Raman spectroscopy, and atomic force microscopy. It is shown that the morphology of ZnO nanostructures changes from the hybrid ZnO/nanoparticle and nanorod system to the mixture of ZnO nanosheets and nanorods when the growth temperature increases, and the density of ZnO nanorods increases with the increase of oxygen flow rate. The formation of ZnO nanostructures was explained in terms of motion of Zn atoms on the Zn nanoparticle surfaces, and to the local melting of Zn nanoparticles or nanosheets. Moreover, the photoluminescence properties of ZnO nanostructures were studied, and it was revealed that the photoluminescence spectrum features two strong ultraviolet bands at about 378 and 399 nm and a series of weak blue bands within a range of 440–484 nm, related to the emissions of free excitons, near-band edge, and defects of ZnO nanostructures. The obtained results enrich our knowledge on the synthesis of ZnO-based nanostructures and contribute to the development of ZnO-based optoelectronic devices.

  3. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  4. Dense CdS thin films on fluorine-doped tin oxide coated glass by high-rate microreactor-assisted solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Su, Yu-Wei, E-mail: suyuweiwayne@gmail.com [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Ramprasad, Sudhir [Energy Processes and Materials Division, Pacific Northwest National Laboratory, Corvallis, OR 9730 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Han, Seung-Yeol; Wang, Wei [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Ryu, Si-Ok [School of Display and Chemical Engineering, Yeungnam University, 214-1 Dae-dong, Gyeonsan, Gyeongbuk 712-749 (Korea, Republic of); Palo, Daniel R. [Barr Engineering Co., Hibbing, MN 55747 (United States); Paul, Brian K. [School of Mechanical, Industrial and Manufacturing Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Chang, Chih-hung [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States)

    2013-04-01

    Continuous microreactor-assisted solution deposition is demonstrated for the deposition of CdS thin films on fluorine-doped tin oxide (FTO) coated glass. The continuous flow system consists of a microscale T-junction micromixer with the co-axial water circulation heat exchanger to control the reacting chemical flux and optimize the heterogeneous surface reaction. Dense, high quality nanocrystallite CdS thin films were deposited at an average rate of 25.2 nm/min, which is significantly higher than the reported growth rate from typical batch chemical bath deposition process. Focused-ion-beam was used for transmission electron microscopy specimen preparation to characterize the interfacial microstructure of CdS and FTO layers. The band gap was determined at 2.44 eV by UV–vis absorption spectroscopy. X-ray photon spectroscopy shows the binding energies of Cd 3d{sub 3/2}, Cd 3d{sub 5/2}, S 2P{sub 3/2} and S 2P{sub 1/2} at 411.7 eV, 404.8 eV, 162.1 eV and 163.4 eV, respectively. - Highlights: ► CdS films deposited using continuous microreactor-assisted solution deposition (MASD) ► Dense nanocrystallite CdS films can be reached at a rate of 25.2 [nm/min]. ► MASD can approach higher film growth rate than conventional chemical bath deposition.

  5. Laser deposition rates of thin films of selected metals and alloys

    DEFF Research Database (Denmark)

    Cazzaniga, Andrea Carlo; Canulescu, Stela; Schou, Jørgen

    Thin films of Cu, Zn and Sn as well as mixtures of these elements have been produced by Pulsed Laser Deposition (PLD). The deposition rate of single and multicomponent metallic targets was determined. The strength of PLD is that the stoichiometry of complex compounds, even of complicated alloys...... or metal oxides, can be preserved from target to film. We apply this technique to design films of a mixture of Cu, Zn and Sn, which are constituents of the chalcogenide CZTS, which has a composition close to Cu2ZnSnS4. This compound is expected to be an important candidate for absorbers in new solar cells...... for alloys of the different elements as well as compounds with S will be presented....

  6. Enhanced Sensitivity of Surface Acoustic Wave-Based Rate Sensors Incorporating Metallic Dot Arrays

    Directory of Open Access Journals (Sweden)

    Wen Wang

    2014-02-01

    Full Text Available A new surface acoustic wave (SAW-based rate sensor pattern incorporating metallic dot arrays was developed in this paper. Two parallel SAW delay lines with a reverse direction and an operation frequency of 80 MHz on a same X-112°Y LiTaO3 wafer are fabricated as the feedback of two SAW oscillators, and mixed oscillation frequency was used to characterize the external rotation. To enhance the Coriolis force effect acting on the SAW propagation, a copper (Cu dot array was deposited along the SAW propagation path of the SAW devices. The approach of partial-wave analysis in layered media was referred to analyze the response mechanisms of the SAW based rate sensor, resulting in determination of the optimal design parameters. To improve the frequency stability of the oscillator, the single phase unidirectional transducers (SPUDTs and combed transducer were used to form the SAW device to minimize the insertion loss and accomplish the single mode selection, respectively. Excellent long-term (measured in hours frequency stability of 0.1 ppm/h was obtained. Using the rate table with high precision, the performance of the developed SAW rate sensor was evaluated experimentally; satisfactory detection sensitivity (16.7 Hz∙deg∙s−1 and good linearity were observed.

  7. TULSA UNIVERSITY PARAFFIN DEPOSITION PROJECTS

    Energy Technology Data Exchange (ETDEWEB)

    Cem Sarica; Michael Volk

    2004-06-01

    As oil and gas production moves to deeper and colder water, subsea multiphase production systems become critical for economic feasibility. It will also become increasingly imperative to adequately identify the conditions for paraffin precipitation and predict paraffin deposition rates to optimize the design and operation of these multi-phase production systems. Although several oil companies have paraffin deposition predictive capabilities for single-phase oil flow, these predictive capabilities are not suitable for the multiphase flow conditions encountered in most flowlines and wellbores. For deepwater applications in the Gulf of Mexico, it is likely that multiphase production streams consisting of crude oil, produced water and gas will be transported in a single multiphase pipeline to minimize capital cost and complexity at the mudline. Existing single-phase (crude oil) paraffin deposition predictive tools are clearly inadequate to accurately design these pipelines, because they do not account for the second and third phases, namely, produced water and gas. The objective of this program is to utilize the current test facilities at The University of Tulsa, as well as member company expertise, to accomplish the following: enhance our understanding of paraffin deposition in single and two-phase (gas-oil) flows; conduct focused experiments to better understand various aspects of deposition physics; and, utilize knowledge gained from experimental modeling studies to enhance the computer programs developed in the previous JIP for predicting paraffin deposition in single and two-phase flow environments. These refined computer models will then be tested against field data from member company pipelines.

  8. Quantifying Soil Erosion and Deposition Rates in Tea Plantation Area, Cameron Highlands, Malaysia Using 137Cs

    International Nuclear Information System (INIS)

    Zaini Hamzah; Che Yasmin Amirudin; Ahmad Saat; Ahmad Saat; Ab Khalik Wood

    2014-01-01

    The soil erosion and deposition in the hilly area is a great concern for the planters. In this study, the tea plantation was chosen to quantify the rates of soil erosion and deposition for it will provide information on the improvement of soil conditions and cost reduction of fertilizer consumption. The aims of this research are to determine the rate of soil erosion and deposition using environmental radionuclide, 137 Cs. Soil profile samples were collected by using scrapper plate and two cores soil sample were collected in the undisturbed forests area nearby. The 137 Cs activity concentration was measured using low background coaxial hyper pure germanium detector gamma spectrometer based on 137 Cs gamma energy peak at 661.66 keV. The highest erosion rate using Proportional Models and Mass Balance Model 1 was found in point HE top area which is 52.39 t ha -1 yr -1 and 95.53 t ha -1 yr -1 respectively while the lowest at location HF top which is 4.78 t ha -1 yr -1 and 4.97 t ha -1 yr -1 . The deposition rate was higher in HF center which is 216.82 t ha -1 yr -1 and 97.51 t ha -1 yr -1 and the lowest at HE center which is 0.05 t ha -1 yr -1 for both models used. (author)

  9. Entrainment and deposition rates of droplets in annular two-phase flow

    International Nuclear Information System (INIS)

    Kataoka, I.; Ishii, M.

    1986-01-01

    The droplet entrainment from a liquid film is important to the mass, momentum, and energy transfer process in annular two-phase flow. For example, the amount of entrainment as well as the rate of entrainment significantly affect the occurrences of the dryout, whereas the post-CHF heat transfer depends strongly on the entrainment and droplet sizes. Despite the importance of the entrainment rate, there have been no satisfactory correlations available in the literature. In view of these, correlations for entrainment rate covering both entrance region and equilibrium region were developed from a simple model in collaboration with data. Results show that the entrainment rate varies considerably in the entrainment-development region. However, at a certain distance from an inlet it attains an equilibrium value. A simple approximate correlation was obtained for the equilibrium state where entrainment rate and deposition rate becomes equal. The result indicates that the equilibrium entrainment rate is proportional to Weber number based on the hydraulic diameter of a tube. 34 references, 14 figures

  10. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  11. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  12. Deposition rates of atmospheric particulates determined from 210Pb measurements in soils and air

    International Nuclear Information System (INIS)

    Likuku, A. S.; Branford, D.

    2011-01-01

    Deposition rates of atmospheric particles were determined using previously published 210P b data in soils and air. The dry deposition velocities for moorland and woodland soils were 2.2 ± 1.8 and 9 ± 2 mm · s - 1 , respectively. The 210P b concentration in rain was calculated to be 94 ± 10 mBq · L - 1. The large (∼ 4 times) deposition velocities in woodland relative to moorland soils is an indication of the degree of accumulation of particles, and most possibly contaminants within woodland soils, which is of practical importance in the mitigation of pollutant concentrations in urban areas by planting trees. (authors)

  13. Simulation of Silver Thin Films' Growth and Influence of Deposition Rate on Final Grain Size under Angle Flux and Standard Situation

    Directory of Open Access Journals (Sweden)

    Maryam Jamshidnejad

    2011-01-01

    Full Text Available In this paper, a 2D stimulation model, FACET, is used for investigation of the relation between micro structure and deposition conditions such as substrate temperature, deposition rate and deposition angle of Ag thin films. It is observed that by increasing the deposition rate in standard conditions providing that the temperature of substrate is low, the average of final grain size is decreased. While, in deposition with angle flux the average of final grain size is increased.

  14. ESCLOUD: A computer program to calculate the air concentration, deposition rate and external dose rate from a continuous discharge of radioactive material to atmosphere

    International Nuclear Information System (INIS)

    Jones, J.A.

    1980-03-01

    Radioactive material may be discharged to atmosphere in small quantities during the normal operation of a nuclear installation as part of a considered waste management practice. Estimates of the individual and collective dose equivalent rates resulting from such a discharge are required in a number of contexts: for example, in assessing compliance with dose limits, in estimating the radiological impact of the discharge and as an input into optimisation studies. The suite of programs which has been developed to undertake such calculations is made up of a number of independent modules one of which, ESCLOUD, is described in this report. The ESCLOUD program evaluates, as a function of distance and direction from the release point, the air concentration, deposition rate and external β and γ doses from airborne and deposited activity. The air concentration and deposition rate can be used as input to other modules for calculating inhalation and ingestion doses. (author)

  15. Simulation of Cooling Rate Effects on Ti-48Al-2Cr-2Nb Crack Formation in Direct Laser Deposition

    Science.gov (United States)

    Yan, Lei; Li, Wei; Chen, Xueyang; Zhang, Yunlu; Newkirk, Joe; Liou, Frank; Dietrich, David

    2017-03-01

    Transient temperature history is vital in direct laser deposition (DLD) as it reveals the cooling rate at specific temperatures. Cooling rate directly relates to phase transformation and types of microstructure formed in deposits. In this paper, finite element analysis simulation was employed to study the transient temperature history and cooling rate at different experimental setups in the Ti-48Al-2Cr-2Nb DLD process. An innovative prediction strategy was developed to model with a moving Gaussian distribution heat source and element birth and death technology in ANSYS®, and fabricate crack-free deposits. This approach helps to understand and analyze the impact of cooling rate and also explain phase information gathered from x-ray diffraction.

  16. 42 CFR 433.11 - Enhanced FMAP rate for children.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 4 2010-10-01 2010-10-01 false Enhanced FMAP rate for children. 433.11 Section 433... Administration Provisions § 433.11 Enhanced FMAP rate for children. (a) Subject to the conditions in paragraph (b... targeted low-income children described in § 435.4 or § 436.3 of this chapter; and (2) Services provided to...

  17. Enhancement of D-T reaction rate due to D-T contact

    International Nuclear Information System (INIS)

    Hitoki, Shigehisa; Ogasawara, Masatada; Aono, Osamu.

    1979-09-01

    The reaction rate that is appropriate for magnetized nonuniform plasma is numerically calculated to investigate the enhancement of the D-T reaction rate. Spatial separation of the guiding center distributions of D and T enhances the reaction rate. Cases of several guiding center configurations are investigated. The largest enhancement is obtained, when both guiding center distributions are delta-functions which are separated by a length that corresponds to the Gamow peak energy. As compared with the case of no separation of D and T, the maximum enhancing factors obtained are 2.3 for total reaction rate and 1.6 for local reaction rate. Cases of the guiding center distributions with finite widths are also investigated. (author)

  18. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  19. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  20. The Effect of Deposition Rate on Electrical, Optical and Structural Properties of ITO Thin Films

    Directory of Open Access Journals (Sweden)

    P. S. Raghupathi

    2005-01-01

    Full Text Available Indium tin oxide (ITO thin films have been prepared using the reactive evaporation technique on glass substrates in an oxygen atmosphere. It is found that the deposition rate plays prominent role in controlling the electrical and optical properties of the ITO thin films. Resistivity, electrical conductivity, activation energy, optical transmission and band gap energy were investigated. A transmittance value of more than 90% in the visible region of the spectrum and an electrical conductivity of 3x10–6 Ωm has been obtained with a deposition rate of 2 nm/min. XRD studies showed that the films are polycrystalline.

  1. Influence of travel speed on spray deposition uniformity from an air-assisted variable-rate sprayer

    Science.gov (United States)

    A newly developed LiDAR-guided air-assisted variable-rate sprayer for nursery and orchard applications was tested at various travel speeds to compare its spray deposition and coverage uniformity with constant-rate applications. Spray samplers, including nylon screens and water-sensitive papers (WSP)...

  2. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  3. Effect of flow rate on the enhancement of particulate fouling in the presence of a developing biofilm

    International Nuclear Information System (INIS)

    Burton, G.R.; Blimkie, M.E.; McGarvey, G.B.; Turner, C.W.

    2001-03-01

    The rate of magnetite deposition on a heated test section was investigated using radiotracing methods as a function of flow rate in the absence and presence of a growing biofilm of Pseudomonas fluorescens. The flow rate was adjusted to span Reynolds numbers from 2200 to 9600. For all flow rates, there was an increase in the rate of magnetite deposition in the presence of the growing biofilm. In addition, the rate of deposition was 10 times greater for a Reynolds number of 6400 than that observed at lower and higher flow rates with Reynolds numbers of 2200 and 9600, respectively. The results are discussed in relation to the shear stress on the biofilm and to the rate of transport of nutrients. (author)

  4. Chemically evolving systems for oil recovery enhancement in heavy oil deposits

    Science.gov (United States)

    Altunina, L. K.; Kuvshinov, I. V.; Kuvshinov, V. A.; Stasyeva, L. A.

    2017-12-01

    This work presents the results of laboratory studies and field tests of new physicochemical technologies for enhanced oil recovery of heavy oil fields under natural development conditions and with thermal-steam stimulation using oil-displacing "smart" systems. The systems are based on surfactants and buffer systems. Their rheological and acid-base properties can be regulated by their chemical evolution directly in the formation. Field tests of the technologies carried out on high-viscosity oil deposit in the Usinskoye oilfield have shown that the EOR technologies are environmentally friendly and technologically effective.

  5. Enhanced low dose rate radiation effect test on typical bipolar devices

    International Nuclear Information System (INIS)

    Liu Minbo; Chen Wei; Yao Zhibin; He Baoping; Huang Shaoyan; Sheng Jiangkun; Xiao Zhigang; Wang Zujun

    2014-01-01

    Two types of bipolar transistors and nine types bipolar integrated circuit were selected in the irradiation experiment at different "6"0Co γ dose rate. The base current of bipolar transistor and input bias current of amplifier and comparator was measured, low dose enhance factor of test device was obtained. The results show that bipolar device have enhanced low dose rate sensitivity, enhancement factor of bipolar integrated circuit was bigger than that of transistor, and enhanced low dose rate sensitivity greatly varied with different structure and process of bipolar device. (authors)

  6. The electron beam deposition of titanium on polyetheretherketone (PEEK) and the resulting enhanced biological properties.

    Science.gov (United States)

    Han, Cheol-Min; Lee, Eun-Jung; Kim, Hyoun-Ee; Koh, Young-Hag; Kim, Keung N; Ha, Yoon; Kuh, Sung-Uk

    2010-05-01

    The surface of polyetheretherketone (PEEK) was coated with a pure titanium (Ti) layer using an electron beam (e-beam) deposition method in order to enhance its biocompatibility and adhesion to bone tissue. The e-beam deposition method was a low-temperature coating process that formed a dense, uniform and well crystallized Ti layer without deteriorating the characteristics of the PEEK implant. The Ti coating layer strongly adhered to the substrate and remarkably enhanced its wettability. The Ti-coated samples were evaluated in terms of their in vitro cellular behaviors and in vivo osteointegration, and the results were compared to a pure PEEK substrate. The level of proliferation of the cells (MC3T3-E1) was measured using a methoxyphenyl tetrazolium salt (MTS) assay and more than doubled after the Ti coating. The differentiation level of cells was measured using the alkaline phosphatase (ALP) assay and also doubled. Furthermore, the in vivo animal tests showed that the Ti-coated PEEK implants had a much higher bone-in-contact (BIC) ratio than the pure PEEK implants. These in vitro and in vivo results suggested that the e-beam deposited Ti coating significantly improved the potential of PEEK for hard tissue applications. Copyright 2009 Elsevier Ltd. All rights reserved.

  7. Effect of Laser Power and Gas Flow Rate on Properties of Directed Energy Deposition of Titanium Alloy

    Science.gov (United States)

    Mahamood, Rasheedat M.

    2018-03-01

    Laser metal deposition (LMD) process belongs to the directed energy deposition class of additive manufacturing processes. It is an important manufacturing technology with lots of potentials especially for the automobile and aerospace industries. The laser metal deposition process is fairly new, and the process is very sensitive to the processing parameters. There is a high level of interactions among these process parameters. The surface finish of part produced using the laser metal deposition process is dependent on the processing parameters. Also, the economy of the LMD process depends largely on steps taken to eliminate or reduce the need for secondary finishing operations. In this study, the influence of laser power and gas flow rate on the microstructure, microhardness and surface finish produced during the laser metal deposition of Ti6Al4V was investigated. The laser power was varied between 1.8 kW and 3.0 kW, while the gas flow rate was varied between 2 l/min and 4 l/min. The microstructure was studied under an optical microscope, the microhardness was studied using a Metkon microhardness indenter, while the surface roughness was studied using a Jenoptik stylus surface analyzer. The results showed that better surface finish was produced at a laser power of 3.0 kW and a gas flow rate of 4 l/min.

  8. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  9. Sediment deposition rate in the Falefa River basin, Upolu Island, Samoa

    International Nuclear Information System (INIS)

    Terry, James P.; Kostaschuk, Ray A.; Garimella, Sitaram

    2006-01-01

    The 137 Cs method was employed to investigate the recent historical rate of sediment deposition on a lowland alluvial floodplain in the Falefa River basin, Upolu Island, Samoa. Caesium stratigraphy in the floodplain sediment profile was clearly defined, with a broad peak at 145-175 cm depth. The measured rate of vertical accretion over the last 40 years is 4.0 ± 0.4 cm per year. This rate exceeds observations in humid environments elsewhere, but is similar to that recorded on other tropical Pacific Islands. Available flow data for the Vaisigano River in Samoa give a 'near-catastrophic' index value of 0.6 for flood variability. This is associated with the occurrence of tropical cyclones and storms in the Samoa area. Large floods therefore probably contribute to the high rate of floodplain sedimentation on Upolu Island. A small but growing body of evidence suggests that fluvial sedimentation rates on tropical Pacific islands are some of the highest in the world

  10. Dependence of surface-enhanced infrared absorption (SEIRA) enhancement and spectral quality on the choice of underlying substrate: a closer look at silver (Ag) films prepared by physical vapor deposition (PVD).

    Science.gov (United States)

    Killian, Michelle M; Villa-Aleman, Eliel; Sun, Zhelin; Crittenden, Scott; Leverette, Chad L

    2011-03-01

    Silver (Ag) films of varying thickness were simultaneously deposited using physical vapor deposition (PVD) onto six infrared (IR) substrates (BaF(2), CaF(2), Ge, AMTIR, KRS-5, and ZnSe) in order to correlate the morphology of the deposited film with optimal SEIRA response and spectral band symmetry and quality. Significant differences were observed in the surface morphology of the deposited silver films, the degree of enhancement provided, and the spectral appearance of para-nitrobenzoic acid (PNBA) cast films for each silver-coated substrate. These differences were attributed to each substrate's chemical properties, which dictate the morphology of the Ag film and ultimately determine the spectral appearance of the adsorbed analyte and the magnitude of SEIRA enhancement. Routine SEIRA enhancement factors (EFs) for all substrates were between 5 and 150. For single-step Ag depositions, the following ranking identifies the greatest SEIRA enhancement factor and the maximum absorption of the 1345 cm(-1) spectral marker of PNBA at the optimal silver thickness for each substrate: BaF(2) (EF = 85 ± 19, 0.059 A, 10 nm Ag) > CaF(2) (EF = 75 ± 30, 0.052 A, 10 nm Ag) > Ge (EF = 45 ± 8, 0.019 A, 5 nm Ag) > AMTIR (EF = 38 ± 8, 0.024 A, 15 nm Ag) > KRS-5 (EF = 24 ± 1, 0.015 A, 12 nm Ag) > ZnSe (EF = 9 ± 5, 0.008 A, 8 nm Ag). A two-step deposition provides 59% larger EFs than single-step depositions of Ag on CaF(2). A maximum EF of 147 was calculated for a cast film of PNBA (surface coverage = 341 ng/cm(2)) on a 10 nm two-step Ag film on CaF(2) (0.102 A, 1345 cm(-1) symmetric NO(2) stretching band). The morphology of the two-step Ag film has smaller particles and greater particle density than the single-step Ag film.

  11. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  12. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  13. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  14. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  15. Silver nanoparticles deposited on anodic aluminum oxide template using magnetron sputtering for surface-enhanced Raman scattering substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wong-ek, Krongkamol [Nanoscience and Technology Program, Chulalongkorn University, Bangkok 10330 (Thailand); Eiamchai, Pitak; Horprathum, Mati; Patthanasettakul, Viyapol [National Electronics and Computer Technology Center, 112 Thailand Science Park, Phahonyothin Rd., Klong Luang, Pathumthani 12120 (Thailand); Limnonthakul, Puenisara [Department of Physics, Faculty of Science, King Mongkut' s University of Technology Thonburi, Bangkok 10140 (Thailand); Chindaudom, Pongpan [National Electronics and Computer Technology Center, 112 Thailand Science Park, Phahonyothin Rd., Klong Luang, Pathumthani 12120 (Thailand); Nuntawong, Noppadon, E-mail: noppadon.nuntawong@nectec.or.t [National Electronics and Computer Technology Center, 112 Thailand Science Park, Phahonyothin Rd., Klong Luang, Pathumthani 12120 (Thailand)

    2010-09-30

    Low-cost and highly sensitive surface-enhanced Raman scattering (SERS) substrates have been fabricated by a simple anodizing process and a magnetron sputtering deposition. The substrates, which consist of silver nanoparticles embedded on anodic aluminum oxide (AAO) templates, are investigated by a scanning electron microscope and a confocal Raman spectroscopy. The SERS activities are demonstrated by Raman scattering from adsorbed solutions of methylene blue and pyridine on the SERS substrate surface. The most optimized SERS substrate contains the silver nanoparticles, with a size distribution of 10-30 nm, deposited on the AAO template. From a calculation, the SERS enhancement factor is as high as 8.5 x 10{sup 7}, which suggests strong potentials for direct applications in the chemical detection and analyses.

  16. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  17. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-01-01

    Thin films grown by Al 2 O 3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al 2 O 3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5x10 -5 g/m 2 day at 38 deg. C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H 2 O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ∼7x10 -3 g/m 2 day at 38 deg. C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al 2 O 3 ALD film. An Al 2 O 3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ∼7x10 -3 to ≤5x10 -5 g/m 2 day at 38 deg. C/85% RH. The reduction in the permeability for Al 2 O 3 ALD on the SiN PECVD films was attributed to either Al 2 O 3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al 2 O 3 ALD on SiN.

  18. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  19. Influence of Cooling Rate in High-Temperature Area on Hardening of Deposited High-Cutting Chrome-Tungsten Metal

    OpenAIRE

    Malushin, N. N.; Valuev, Denis Viktorovich; Valueva, Anna Vladimirovna; Serikbol, A.; Borovikov, I. F.

    2015-01-01

    The authors study the influence of cooling rate in high-temperature area for thermal cycle of high-cutting chrome-tungsten metal weld deposit on the processes of carbide phase merging and austenite grain growth for the purpose of providing high hardness of deposited metal (HRC 64-66).

  20. Are Banks Passive Liquidity Backstops? Deposit Rates and Flows during the 2007-2009 Crisis

    OpenAIRE

    Viral V. Acharya; Nada Mora

    2012-01-01

    Can banks maintain their advantage as liquidity providers when they are heavily exposed to a financial crisis? The standard argument - that banks can - hinges on deposit inflows that are seeking a safe haven and provide banks with a natural hedge to fund drawn credit lines and other commitments. We shed new light on this issue by studying the behavior of bank deposit rates and inflows during the 2007-09 crisis. Our results indicate that the role of the banking system as a stabilizing liquidit...

  1. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  2. Influence of Cooling Rate in High-Temperature Area on Hardening of Deposited High-Cutting Chrome-Tungsten Metal

    International Nuclear Information System (INIS)

    Malushin, N N; Valuev, D V; Valueva, A V; Serikbol, A; Borovikov, I F

    2015-01-01

    The authors study the influence of cooling rate in high-temperature area for thermal cycle of high-cutting chrome-tungsten metal weld deposit on the processes of carbide phase merging and austenite grain growth for the purpose of providing high hardness of deposited metal (HRC 64-66). (paper)

  3. Relative Impacts of Low Permeability Subsurface Deposits on Recharge Basin Infiltration Rates

    Science.gov (United States)

    Oconnell, P.; Becker, M.; Pham, C.; Rodriguez, G.; Hutchinson, A.; Plumlee, M.

    2017-12-01

    Artificial recharge of aquifers through spreading basins has become an important component of water management in semi-arid climates. The rate at which water can be recharged in these basins is limited by the natural vertical permeability of the underlying deposits which may be highly variable both laterally and vertically. To help understand hydrostratigraphic controls on recharge, a newly constructed basin was surveyed and instrumented. Prior to flooding the basin, lithology was characterized by shallow hand coring, direct push coring, ground penetrating radar, and electrical resistivity. After flooding, recharge was monitored through piezometers, electrical resistivity, and a network of fiber optic distributed temperature sensing (DTS). The DTS network used temperature as a tracer to measure infiltration rate on 25 cm intervals both laterally and vertically. Several hundred paired DTS time series datasets (from fiber optic cables located at 0 and 0.5 meters below ground surface) were processed with the cross-wavelet transform (XWT) to calculate spatially and temporally continuous infiltration rates, which can be interpolated and animated to visualize heterogeneity. Time series data from 8-meter deep, vertically oriented DTS cables reveal depth intervals where infiltration rates vary. Inverted resistivity sections from repeated dipole-dipole surveys along the sidewall of a spreading basin exhibit a positive correlation with the distribution of relatively high and low infiltration rates, indicating zones of preferential downward (efficient) and lateral (inefficient) flow, respectively. In contrast to other monitored basins, no perching was observed in the vertically oriented DTS cables. The variation in recharge across the basin and the appearance of subsurface lateral flow can be explained in context of the alluvial depositional environment.

  4. One-dimensional analysis of the rate of plasma-assisted sputter deposition

    International Nuclear Information System (INIS)

    Palmero, A.; Rudolph, H.; Habraken, F. H. P. M.

    2007-01-01

    In this article a recently developed model [A. Palmero, H. Rudolph, and F. H. P. M. Habraken, Appl. Phys. Lett. 89, 211501 (2006)] is applied to analyze the transport of sputtered material from the cathode toward the growing film when using a plasma-assisted sputtering deposition technique. The argon pressure dependence of the deposition rate of aluminum, silicon, vanadium, chromium, germanium, tantalum, and tungsten under several different experimental conditions has been analyzed by fitting experimental results from the literature to the above-mentioned theory. Good fits are obtained. Three quantities are deduced from the fit: the temperature of the cathode and of the growing film, and the value of the effective cross section for thermalization due to elastic scattering of a sputtered particle on background gas atoms. The values derived from the fits for the growing film and cathode temperature are very similar to those experimentally determined and reported in the literature. The effective cross sections have been found to be approximately the corresponding geometrical cross section divided by the average number of collisions required for the thermalization, implying that the real and effective thermalization lengths have a similar value. Finally, the values of the throw distance appearing in the Keller-Simmons model, as well as its dependence on the deposition conditions have been understood invoking the values of the cathode and film temperature, as well as of the value of the effective cross section. The analysis shows the overall validity of this model for the transport of sputtered particles in sputter deposition

  5. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  6. Diatomite-immobilized BiOI hybrid photocatalyst: Facile deposition synthesis and enhanced photocatalytic activity

    International Nuclear Information System (INIS)

    Li, Baoying; Huang, Hongwei; Guo, Yuxi; Zhang, Yihe

    2015-01-01

    Graphical abstract: - Highlights: • A novel diatomite-immobilized BiOI hybrid photocatalyst has been prepared by a facile one-step deposition process for the first time. • The diatomite-immobilized BiOI hybrid photocatalyst exhibits much better photocatalytic performance. • This enhancement should be attributed to that diatomite can play as an excellent carrier platform to increase the reactive sites and promote the separation of photogenerated electron–hole pairs. • This work shed new light on facile fabrication of novel composite photocatalyst based on natural mineral. - Abstract: A novel diatomite-immobilized BiOI hybrid photocatalyst has been prepared by a facile one-step deposition process for the first time. The structure, morphology and optical property of the products were characterized by X-ray powder diffraction (XRD), scanning electron microscopy (SEM) and UV–vis diffuse reflectance spectroscopy (DRS). The photocatalytic performance of the as-prepared BiOI/diatomite photocatalysts was studied by photodegradation of Rhodamine B (RhB) and methylene blue (MB) and monitoring photocurrent generation under visible light (λ > 420 nm). The results revealed that BiOI/diatomite composites exhibit enhanced photocatalytic activity compared to the pristine BiOI sample. This enhancement should be attributed to that diatomite can play as an excellent carrier platform to increase the reactive sites and promote the separation of photogenerated electron–hole pairs. In addition, the corresponding photocatalytic mechanism was proposed based on the active species trapping experiments. This work shed new light on facile fabrication of novel composite photocatalyst based on natural mineral.

  7. Diatomite-immobilized BiOI hybrid photocatalyst: Facile deposition synthesis and enhanced photocatalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Li, Baoying; Huang, Hongwei, E-mail: hhw@cugb.edu.cn; Guo, Yuxi; Zhang, Yihe, E-mail: zyh@cugb.edu.cn

    2015-10-30

    Graphical abstract: - Highlights: • A novel diatomite-immobilized BiOI hybrid photocatalyst has been prepared by a facile one-step deposition process for the first time. • The diatomite-immobilized BiOI hybrid photocatalyst exhibits much better photocatalytic performance. • This enhancement should be attributed to that diatomite can play as an excellent carrier platform to increase the reactive sites and promote the separation of photogenerated electron–hole pairs. • This work shed new light on facile fabrication of novel composite photocatalyst based on natural mineral. - Abstract: A novel diatomite-immobilized BiOI hybrid photocatalyst has been prepared by a facile one-step deposition process for the first time. The structure, morphology and optical property of the products were characterized by X-ray powder diffraction (XRD), scanning electron microscopy (SEM) and UV–vis diffuse reflectance spectroscopy (DRS). The photocatalytic performance of the as-prepared BiOI/diatomite photocatalysts was studied by photodegradation of Rhodamine B (RhB) and methylene blue (MB) and monitoring photocurrent generation under visible light (λ > 420 nm). The results revealed that BiOI/diatomite composites exhibit enhanced photocatalytic activity compared to the pristine BiOI sample. This enhancement should be attributed to that diatomite can play as an excellent carrier platform to increase the reactive sites and promote the separation of photogenerated electron–hole pairs. In addition, the corresponding photocatalytic mechanism was proposed based on the active species trapping experiments. This work shed new light on facile fabrication of novel composite photocatalyst based on natural mineral.

  8. High-rate anisotropic ablation and deposition of polytetrafluoroethylene using synchrotron radiation process

    International Nuclear Information System (INIS)

    Inayoshi, Muneto; Ikeda, Masanobu; Hori, Masaru; Goto, Toshio; Hiramatsu, Mineo; Hiraya, Atsunari.

    1995-01-01

    Both anisotropic ablation and thin film formation of polytetrafluoroethylene (PTFE) were successfully demonstrated using synchrotron radiation (SR) irradiation of PTFE, that is, the SR ablation process. Anisotropic ablation by the SR irradiation was performed at an extremely high rate of 3500 μm/min at a PTFE target temperature of 200degC. Moreover, a PTFE thin film was formed at a high rate of 2.6 μm/min using SR ablation of PTFE. The chemical structure of the deposited film was similar to that of the PTFE target as determined from Fourier transform infrared absorption spectroscopy (FT-IR) analysis. (author)

  9. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  10. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  11. Surface-enhanced Raman spectroscopy based on conical holed enhancing substrates

    International Nuclear Information System (INIS)

    Chen, Yao; Chen, Zeng-Ping; Zuo, Qi; Shi, Cai-Xia; Yu, Ru-Qin

    2015-01-01

    In this contribution, surface-enhanced Raman spectroscopy (SERS) based on conical holed glass substrates deposited with silver colloids was reported for the first time. It combines the advantages of both dry SERS assays based on plane films deposited with silver colloids and wet SERS assays utilizing cuvettes or capillary tubes. Compared with plane glass substrates deposited with silver colloids, the conical holed glass substrates deposited with silver colloids exhibited five-to ten-folds of increase in the rate of signal enhancement, due to the internal multiple reflections of both the excitation laser beam and the Raman scattering photons within conical holes. The application of conical holed glass substrates could also yield significantly stronger and more reproducible SERS signals than SERS assays utilizing capillary tubes to sample the mixture of silver colloids and the solution of the analyte of interest. The conical holed glass substrates in combination with the multiplicative effects model for surface-enhanced Raman spectroscopy (MEM SERS ) achieved quite sensitive and precise quantification of 6-mercaptopurine in complex plasma samples with an average relative prediction error of about 4% and a limit of detection of about 0.02 μM using a portable i-Raman 785H spectrometer. It is reasonable to expect that SERS technique based on conical holed enhancing substrates in combination with MEM SERS model can be developed and extended to other application areas such as drug detection, environmental monitoring, and clinic analysis, etc. - Highlights: • A novel conical holed SERS enhancing substrate was designed and manufactured. • The optimal conical holed glass substrates can produce stronger SERS signal. • The novel substrates can overcome the shortcomings of both dry and wet methods. • The novel substrates coupled with MEM SERS can realize quantitative SERS assays

  12. Enhanced low dose rate sensitivity (ELDRS) in a voltage comparator which only utilizes complementary vertical NPN and PNP transistors

    International Nuclear Information System (INIS)

    Krieg, J.F.; Titus, J.L.; Emily, D.; Gehlhausen, M.; Swonger, J.; Platteter, D.

    1999-01-01

    For the first time, enhanced low dose rate sensitivity (ELDRS) is reported in a vertical bipolar process. A radiation hardness assurance (RHA) test method was successfully demonstrated on a linear circuit, the HS139RH quad comparator, and its discrete transistor elements. This circuit only uses vertical NPN and PNP transistors. Radiation tests on the HS139RH were performed at 25 C using dose rates of 50 rd(Si)/s, 100 mrd(Si)/s and 10 mrd(Si)/s, and at 100 C using a dose rate of 10 rd(Si)/s. Tests at dose rates of 50 rd(Si)/s at 25 C and 10 rd(Si)/s at 100 C were performed on discrete vertical NPN and PNP transistor elements which comprise the HS139RH. Transistor and circuit responses were evaluated. The die's passivation overcoat layers were varied to examine the effect of removing a nitride layer and thinning a deposited SiO 2 (silox) layer

  13. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  14. Simulation of enhanced deposition due to magnetic field alignment of ellipsoidal particles in a lung bifurcation.

    Science.gov (United States)

    Martinez, R C; Roshchenko, A; Minev, P; Finlay, W H

    2013-02-01

    Aerosolized chemotherapy has been recognized as a potential treatment for lung cancer. The challenge of providing sufficient therapeutic effects without reaching dose-limiting toxicity levels hinders the development of aerosolized chemotherapy. This could be mitigated by increasing drug-delivery efficiency with a noninvasive drug-targeting delivery method. The purpose of this study is to use direct numerical simulations to study the resulting local enhancement of deposition due to magnetic field alignment of high aspect ratio particles. High aspect ratio particles were approximated by a rigid ellipsoid with a minor diameter of 0.5 μm and fluid particle density ratio of 1,000. Particle trajectories were calculated by solving the coupled fluid particle equations using an in-house micro-macro grid finite element algorithm based on a previously developed fictitious domain approach. Particle trajectories were simulated in a morphologically realistic geometry modeling a symmetrical terminal bronchiole bifurcation. Flow conditions were steady inspiratory air flow due to typical breathing at 18 L/min. Deposition efficiency was estimated for two different cases: [1] particles aligned with the streamlines and [2] particles with fixed angular orientation simulating the magnetic field alignment of our previous in vitro study. The local enhancement factor defined as the ratio between deposition efficiency of Case [1] and Case [2] was found to be 1.43 and 3.46 for particles with an aspect ratio of 6 and 20, respectively. Results indicate that externally forcing local alignment of high aspect ratio particles can increase local deposition considerably.

  15. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao

    2013-09-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing process of the films. The refractive index and bandgap of TiO2 films changed with the growth and annealing temperatures. The optimization of the annealing conditions for TiO2 films was also done by morphology and density studies. © 2013 Elsevier B.V. All rights reserved.

  16. Visible-light active thin-film WO3 photocatalyst with controlled high-rate deposition by low-damage reactive-gas-flow sputtering

    Directory of Open Access Journals (Sweden)

    Nobuto Oka

    2015-10-01

    Full Text Available A process based on reactive gas flow sputtering (GFS for depositing visible-light active photocatalytic WO3 films at high deposition rates and with high film quality was successfully demonstrated. The deposition rate for this process was over 10 times higher than that achieved by the conventional sputtering process and the process was highly stable. Furthermore, Pt nanoparticle-loaded WO3 films deposited by the GFS process exhibited much higher photocatalytic activity than those deposited by conventional sputtering, where the photocatalytic activity was evaluated by the extent of decomposition of CH3CHO under visible light irradiation. The decomposition time for 60 ppm of CH3CHO was 7.5 times more rapid on the films deposited by the GFS process than on the films deposited by the conventional process. During GFS deposition, there are no high-energy particles bombarding the growing film surface, whereas the bombardment of the surface with high-energy particles is a key feature of conventional sputtering. Hence, the WO3 films deposited by GFS should be of higher quality, with fewer structural defects, which would lead to a decrease in the number of centers for electron-hole recombination and to the efficient use of photogenerated holes for the decomposition of CH3CHO.

  17. Effect of N_2 flow rate on the properties of N doped TiO_2 films deposited by DC coupled RF magnetron sputtering

    International Nuclear Information System (INIS)

    Peng, Shou; Yang, Yong; Li, Gang; Jiang, Jiwen; Jin, Kewu; Yao, TingTing; Zhang, Kuanxiang; Cao, Xin; Wang, Yun; Xu, Genbao

    2016-01-01

    N doped TiO_2 films were deposited on glass substrates at room temperature using DC coupled RF magnetron sputtering with a TiO_2 ceramic target. The influences of N_2 flow rate on the deposition rate, crystal structure, chemical composition and band gap of the deposited films were investigated by Optical profiler, X-ray diffraction, X-ray photoelectron spectroscope and ultraviolet-visible spectrophotometer. The film growth rate gradually decreased with increasing N_2 flow rate. As N_2 flow rate increased, the crystallization of the films deteriorated, and the films tended to form amorphous structure. XPS analysis revealed that N dopant atoms were added at the substitutional sites into TiO_2 lattice structure. FE-SEM results showed that the grain size of the film decreased and the crystallinity degraded as N_2 flow rate increases. In addition, N doping caused an obvious red shift in the optical absorption edge. - Highlights: • N doped TiO_2 films were deposited by DC coupled RF magnetron reactive sputtering. • As N_2 flow rate increases, the crystallization of the deposited films degrades. • The higher N_2 flow rate is beneficial to form more substituted N in the film. • N doping causes an obvious red shift in the absorption wavelength.

  18. The application of imperialist competitive algorithm for optimization of deposition rate in submerged arc welding process using TiO2 nano particle

    International Nuclear Information System (INIS)

    Ghaderi, Mohammad Reza; Eslampanah, Amirhossein; Ghaderi, Kianoosh; Aghakhani, Masood

    2015-01-01

    We used a novel optimization algorithm based on the imperialist competitive algorithm (ICA) to optimize the deposition rate in the submerged arc welding (SAW) process. This algorithm offers some advantages such as simplicity, accuracy and time saving. Experiments were conducted based on a five factor, five level rotatable central composite design (RCCD) to collect welding data for deposition rate as a function of welding current, arc voltage, contact tip to plate distance, welding speed and thickness of TiO 2 nanoparticles coated on the plates of mild steel. Furthermore, regression equation for deposition rate was obtained using least squares method. The regression equation as the cost function was optimized using ICA. Ultimately, the levels of input variables to achieve maximum deposition rate were obtained using ICA. Computational results indicate that the proposed algorithm is quite effective and powerful in optimizing the cost function.

  19. Enhanced electrical and optical properties of CdS:Na thin films by photochemical deposition

    Science.gov (United States)

    Kumar, V. Nirmal; Suriakarthick, R.; Gopalakrishnan, R.; Hayakawa, Y.

    2017-06-01

    CdS:Na thin film was deposited on a glass substrate by photochemical deposition from aqueous solution contained CdSO4.5H2O and Na2S2O3 as cation and anion sources, respectively. The anion source Na2S2O3 served as Na dopant source. The deposited film exhibited cubic phase of CdS and incorporation of Na was revealed from X-ray diffraction study. The incorporation of Na in CdS changed the surface morphology from spherical to nano rods. CdS:Na thin film showed blue shift in its absorption spectrum which was more desirable for transmitting higher energy photons (visible region) in thin film solar cells. The Raman analysis confirmed 1 LO and 2 LO process at 297 and 593 cm-1, respectively. The carrier concentration of CdS increased with the inclusion of Na and its resistivity value decreased. Both the electrical and optical properties of CdS were enhanced in CdS:Na thin films which was desirable as a window layer material for photovoltaic application.

  20. High-rate sputter deposition of NiAl on sapphire fibers

    Energy Technology Data Exchange (ETDEWEB)

    Reichert, K.; Martinez, C.; Cremer, R.; Neuschuetz, D. [Lehrstuhl fuer Theoretische Huettenkunde, RWTH Aachen, Aachen (Germany)

    2002-07-01

    Once the fiber-matrix bonding has been optimized to meet the different requirements during fabrication and operation of the later composite component, sapphire fiber reinforced NiAl will be a potential candidate to substitute conventional superalloys as structural material for gas turbine blades. To improve the composite fabrication process, a direct deposition of the intermetallic matrix material onto hBN coated sapphire fibers prior to the consolidation of the fiber-matrix composite is proposed. It is believed that this will simplify the fabrication process and prevent pore formation during the diffusion bonding. In addition, the fiber volume fraction can be quite easily adjusted by varying the NiAl coating thickness. For this, a high-rate deposition of NiAl is in any case necessary. It has been achieved by a pulsed DC magnetron sputtering of combined Al-Ni targets with the fibers rotating between the two facing cathodes. The obtained nickel aluminide coatings were analyzed as to structure and composition by means of X-ray (GIXRD) as well as electron diffraction (RHEED) and X-ray photoelectron spectroscopy (XPS), respectively. The morphology of the NiAl coatings was examined by SEM. (orig.)

  1. Enhancement of the corrosion protection of electroless Ni–P coating by deposition of sonosynthesized ZnO nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Sharifalhoseini, Zahra [Sonochemical Research Center, Department of Chemistry, Faculty of Science, Ferdowsi University of Mashhad, 91779 Mashhad (Iran, Islamic Republic of); Entezari, Mohammad H., E-mail: entezari@um.ac.ir [Sonochemical Research Center, Department of Chemistry, Faculty of Science, Ferdowsi University of Mashhad, 91779 Mashhad (Iran, Islamic Republic of); Environmental Chemistry Research Center, Department of Chemistry, Faculty of Science, Ferdowsi University of Mashhad, 91779 Mashhad (Iran, Islamic Republic of)

    2015-10-01

    Graphical abstract: Enhancement of the corrosion protection of electroless Ni–P layer by ZnO nanoparticles deposition and the comparison with the classical and sonochemical Ni–P coatings. - Highlights: • Unique effects of ultrasound were investigated on the anticorrosive performance of electroless Ni–P coating. • Sonoynthesis of ZnO NPs and its deposition were performed on the surface of Ni–P coating. • ZnO as an anticorrosive has a critical role in the multifunctional surfaces. • Electrochemical properties of all fabricated samples were compared with each other. - Abstract: Ni–P coatings were deposited through electroless nickel plating in the presence and absence of ultrasound. The simultaneous synthesis of ZnO nanoparticle and its deposition under ultrasound were also carried out on the surface of Ni–P layer prepared by the classical method. The morphology of the surfaces and the chemical composition were determined by scanning electron microscopy(SEM) and energy dispersive spectroscopy (EDS), respectively. Electrochemical techniques were applied for the corrosion behavior studies. The Ni–P layer deposited by ultrasound showed a higher anticorrosive property than the layer deposited by the classical method. The ZnO nanoparticles deposited on the surface of Ni–P layer significantly improved the corrosion resistance.

  2. The Influence of Cultivation System on Distribution Profile Of 137cs and Erosion / Deposition Rate

    Directory of Open Access Journals (Sweden)

    Nita Suhartini

    2016-05-01

    Full Text Available 137Cs radiogenic content in the soil can be used to estimate the rate of erosion and deposition in an area occurring since 1950’s, by comparing the content of the 137Cs in observed site with those in a stable reference site. This experiment aimed to investigate the influence of cultivation type on distribution profile of 137Cs and distribution of erosion and deposition rate in cultivated area. A study site was small cultivated area with slope steepness <10o and length 2 km located in Bojong – Ciawi. For this purpose, the top of a slope was chosen for reference site and three plot sites were selected namely Land Use I that using simple cultivation, Land Use II that using simple cultivation with ridge and furrow, and Land Use III using machine cultivation. The results showed that cultivation could make a movement of 137Cs to the deeper layer and ridges and furrows cultivation system could minimized an erosion process. The net erosion and deposition for land Use I, II and III were -25 t/ha/yr , 24 t/ha/yr and -58 t/ha/yr, respectively.

  3. Research of Heating Rates Influence on Layer Coal Gasification of Krasnogorsky And Borodinsky Coal Deposit

    Directory of Open Access Journals (Sweden)

    Jankovskiy Stanislav

    2015-01-01

    Full Text Available Experimental research of heating rate influence on coal samples gasification process of Krasnogorsky and Borodinsky coal deposit ranks A and 2B was done to define optimal heating mode in high intensification of dispersal of inflammable gases conditions. Abundance ratio of carbon monoxide and nitrogen monoxide, water vapor, carbon dioxide at four values of heating rate within the range of 5 to 30 K/min. with further definition of optimal heating rate of coals was stated.

  4. Enhancement of coercivity with reduced grain size in CoCrPt film grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Liang, Q.; Hu, X.F.; Li, H.Q.; He, X.X.; Wang, Xiaoru; Zhang, W.

    2006-01-01

    We report a pulsed laser deposition (PLD) growth of VMn/CoCrPt bilayer with a magnetic coercivity (H c ) of 2.2 kOe and a grain size of 12 nm. The effects of VMn underlayer on magnetic properties of CoCrPt layer were studied. The coercivity, H c , and squareness, S, of VMn/CoCrPt bilayer, is dependent on the thickness of VMn. The grain size of the CoCrPt film can also be modified by laser parameters. High laser fluence used for CoCrPt deposition produces a smaller grain size. Enhanced H c and reduced grain size in VMn/CoCrPt is explained by more pronounced surface phase segregation during deposition at high laser fluence

  5. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  6. CHF Enhancement in Flow Boiling using Al2O3 Nano-Fluid and Al2O3 Nano-Particle Deposited Tube

    International Nuclear Information System (INIS)

    Kim, Tae Il; Chun, T. H.; Chang, S. H.

    2010-01-01

    Nano-fluids are considered to have strong ability to enhance CHF. Most CHF experiments using nano-fluids were conducted in pool boiling conditions. However there are very few CHF experiments with nano-fluids in flow boiling condition. In the present study, flow boiling CHF experiments using bare round tube with Al 2 O 3 nano-fluid and Al 2 O 3 nano-particle deposited tube with DI water were conducted under atmospheric pressure. CHFs were enhanced up to ∼ 80% with Al 2 O 3 nano-fluid and CHFs with Al 2 O 3 nano-particle deposited tube were also enhanced up to ∼ 80%. Inner surface of test section tube were observed by SEM and AFM after CHF experiments

  7. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  8. 76 FR 41392 - Interest on Deposits; Deposit Insurance Coverage

    Science.gov (United States)

    2011-07-14

    ... banks' funding costs and also allow them to plan business growth more dependably and rigorously... of business deposits by offering continually higher rates of interest. Three of the four contended... deposits. They reasoned that large banks will offer high rates of interest and lure away business...

  9. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  10. Liquid films and droplet deposition in a BWR fuel element

    International Nuclear Information System (INIS)

    Damsohn, M.

    2011-01-01

    In the upper part of boiling water reactors (BWR) the flow regime is dominated by a steam-water droplet flow with liquid films on the nuclear fuel rod, the so called (wispy) annular flow regime. The film thickness and liquid flow rate distribution around the fuel rod play an important role especially in regard to so called dryout, which is the main phenomenon limiting the thermal power of a fuel assembly. The deposition of droplets in the liquid film is important, because this process sustains the liquid film and delays dryout. Functional spacers with different vane shapes have been used in recent decades to enhance droplet deposition and thus create more favorable conditions for heat removal. In this thesis the behavior of liquid films and droplet deposition in the annular flow regime in BWR bundles is addressed by experiments in an adiabatic flow at nearly ambient pressure. The experimental setup consists of a vertical channel with the cross-section resembling a pair of neighboring subchannels of a fuel rod bundle. Within this double subchannel an annular flow is established with a gas-water mixture. The impact of functional spacers on the annular flow behavior is studied closely. Parameter variations comprise gas and liquid flow rates, gas density and spacer shape. The setup is instrumented with a newly developed liquid film sensor that measures the electrical conductance between electrodes flush to the wall with high temporal and spatial resolution. Advanced post-processing methods are used to investigate the dynamic behavior of liquid films and droplet deposition. The topic is also assessed numerically by means of single-phase Reynolds-Averaged-Navier-Stokes CFD simulations of the flow in the gas core. For this the commercial code STAR-CCM+ is used coupled with additional models for the liquid film distribution and droplet motion. The results of the experiments show that the liquid film is quite evenly distributed around the circumference of the fuel rods. The

  11. Rapid deposition process for zinc oxide film applications in pyroelectric devices

    International Nuclear Information System (INIS)

    Hsiao, Chun-Ching; Yu, Shih-Yuan

    2012-01-01

    Aerosol deposition (AD) is a rapid process for the deposition of films. Zinc oxide is a low toxicity and environmentally friendly material, and it possesses properties such as semiconductivity, pyroelectricity and piezoelectricity without the poling process. Therefore, AD is used to accelerate the manufacturing process for applications of ZnO films in pyroelectric devices. Increasing the temperature variation rate in pyroelectric films is a useful method for enhancing the responsivity of pyroelectric devices. In the present study, a porous ZnO film possessing the properties of large heat absorption and high temperature variation rate is successfully produced by the AD rapid process and laser annealing for application in pyroelectric devices. (paper)

  12. Response of eight tropical plants to enhanced ammonia deposition under field, conditions prevalent with SO{sub 2} and NH{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Rao, M.V.; Khijneri, S.; Dubey, P.S.; Kumawat, D.M. [Vikram University, Ujjain (India). School of Studies in Botany

    1993-12-01

    The impact of SO{sub 2} on the deposition of ammonia and the response of eight tropical tree species to excess deposition of ammonia was investigated. This was achieved by studying physiological aspects like total sugars, protein, nitrate reductace (NR) activity, organic/inorganic nitrogen ratio, specific leaf area and foliar injury in plants growing under field conditions prevalent with SO{sub 2} and NH{sub 3}. Analysis of water soluble substances present on foliar surfaces of the trees indicated enhanced NH{sub 4}{sup +} deposition and thereby result in enhanced foliar protein contents. Though the enhanced nitrogen was almost the same in different plants, the plants exhibited differential metabolic disturbances. Critical analysis of the reults indicated three distinct types of plant response. Plants like {ital Azadirachta indica}, {ital Acacia auriculiformis} and {ital Bambusa arundinaceae} maintained enhanced total sugars and NR activity and incorporated excess NH{sub 4}{sup +} into proteins, thus enabling the plant to compensate/alleviate SO{sub 2} induced injury. Ficus benghalensis and Ficus religiosa maintained unaltered total sugars and NR activity and could partly incorporate NH{sub 4}{sup +} into proteins, thus modifying rhe SO{sub 2} impact to some extent. {ital Dalbergia sissoo}, {ital Eucalyptus rostrat}a and {ital Mangifera indica} could not incorporate the excess NH{sub 4}{sup +}, mainly due to declined total sugars. The results indicate the ability of a plant to undergo species specific metabolic changes in order to cope with the excess nitrogen deposition, which may ultimately result in increasing or decreasing tolerance to SO{sub 2}. 23 refs., 3 figs., 9 tabs.

  13. The inhibition of IGF-1 signaling promotes proteostasis by enhancing protein aggregation and deposition.

    Science.gov (United States)

    Moll, Lorna; Ben-Gedalya, Tziona; Reuveni, Hadas; Cohen, Ehud

    2016-04-01

    The discovery that the alteration of aging by reducing the activity of the insulin/IGF-1 signaling (IIS) cascade protects nematodes and mice from neurodegeneration-linked, toxic protein aggregation (proteotoxicity) raises the prospect that IIS inhibitors bear therapeutic potential to counter neurodegenerative diseases. Recently, we reported that NT219, a highly efficient IGF-1 signaling inhibitor, protects model worms from the aggregation of amyloid β peptide and polyglutamine peptides that are linked to the manifestation of Alzheimer's and Huntington's diseases, respectively. Here, we employed cultured cell systems to investigate whether NT219 promotes protein homeostasis (proteostasis) in mammalian cells and to explore its underlying mechanisms. We found that NT219 enhances the aggregation of misfolded prion protein and promotes its deposition in quality control compartments known as "aggresomes." NT219 also elevates the levels of certain molecular chaperones but, surprisingly, reduces proteasome activity and impairs autophagy. Our findings show that IGF-1 signaling inhibitors in general and NT219 in particular can promote proteostasis in mammalian cells by hyperaggregating hazardous proteins, thereby bearing the potential to postpone the onset and slow the progression of neurodegenerative illnesses in the elderly.-Moll, L., Ben-Gedalya, T., Reuveni, H., Cohen, E. The inhibition of IGF-1 signaling promotes proteostasis by enhancing protein aggregation and deposition. © FASEB.

  14. High deposition rate of low resistive and transparent ZnO:Al on glass with an industrial moving belt APCVD reactor

    NARCIS (Netherlands)

    Illiberi, A.; Kniknie, B.; Steijvers, H.L.A.H.; Habets, D.; Simons, P.J.P.M.; Beckers, E.H.A.; Deelen, J. van

    2012-01-01

    Aluminum doped ZnOx (ZnOx:Al) films have been deposited on glass in an in-line industrial-type reactor by a metalorganic chemical vapor deposition process at atmospheric pressure. ZnOx:Al films can be grown at very high deposition rates of ~ 14 nm/s for a substrate speed from 150 mm/min to 500

  15. Effect of PbI2 deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    International Nuclear Information System (INIS)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos

    2016-01-01

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI 2 and chemical vapour deposition (CVD) of CH 3 NH 3 I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI 2 film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH 3 NH 3 I is fostered for PbI 2 films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI 2 on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH 3 NCH 3 molecules been fostered by increasing the PVD rate of PbI 2 and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI 2 (001) crystallite planes. • CH 3 NH 3 I intercalation process fostered by increased PbI 2 PVD rate. • Stoichiometric CH 3 NH 3 PbI 3 suitable as absorber in photovoltaic applications • Reduced PbI 2 residue at the bottom of CH 3 NH 3 PbI 3 improves device performance.

  16. High-rate deposition of high-quality Sn-doped In2O3 films by reactive magnetron sputtering using alloy targets

    International Nuclear Information System (INIS)

    Oka, Nobuto; Kawase, Yukari; Shigesato, Yuzo

    2012-01-01

    Sn-doped In 2 O 3 (ITO) films were deposited on heated (200 °C) fused silica glass substrates by reactive DC sputtering with mid-frequency pulsing (50 kHz) and a plasma control unit combined with a feedback system of the optical emission intensity for the atomic O* line at 777 nm. A planar In–Sn alloy target was connected to the switching unit, which was operated in the unipolar pulse mode. The power density on the target was maintained at 4.4 W cm −2 during deposition. The feedback system precisely controlled the oxidation of the target surface in “the transition region.” The ITO film with lowest resistivity (3.1 × 10 −4 Ω cm) was obtained with a deposition rate of 310 nm min −1 and transmittance in the visible region of approximately 80%. The deposition rate was about 6 times higher than that of ITO films deposited by conventional sputtering using an oxide target.

  17. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  18. Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition

    International Nuclear Information System (INIS)

    Zhang Jian; Yang Hui; Zhang Qilong; Dong Shurong; Luo, J. K.

    2013-01-01

    ZnO films deposited by plasma-enhanced atomic layer deposition (PEALD) have been used to investigate resistive memory behavior. The bipolar resistance switching properties were observed in the Al/PEALD-ZnO/Pt devices. The resistance ratio for the high and low resistance states (HRS/LRS) is more than 10 3 , better than ZnO devices deposited by other methods. The dominant conduction mechanisms of HRS and LRS are trap-controlled space charge limited current and Ohmic behavior, respectively. The resistive switching behavior is induced upon the formation/disruption of conducting filaments. This study demonstrated that the PEALD-ZnO films have better properties for the application in 3D resistance random access memory.

  19. Effect of Deposition Rate on Structure and Surface Morphology of Thin Evaporated Al Films on Dielectrics and Semiconductors

    DEFF Research Database (Denmark)

    Bordo, K.; Rubahn, H. G.

    2012-01-01

    Aluminum (Al) films with thickness of 100 nm were grown on unheated glass, silicon and mica substrates by electron beam evaporation. The deposition rates were adjusted in the range between 0.1 nm/s and 2 nm/s, the pressure in the vacuum chamber during deposition was lower than 1.10(-3) Pa. The st...

  20. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  1. The effects of atmospheric nitrogen deposition on terrestrial and freshwater biodiversity

    Science.gov (United States)

    Baron, Jill S.; Barber, Mary C.; Adams, Mark; Agboola, Julius I.; Allen, Edith B.; Bealey, William J.; Bobbink, Roland; Bobrovsky, Maxim V.; Bowman, William D.; Branquinho, Cristina; Bustamente, Mercedes M. C.; Clark, Christopher M.; Cocking, Edward C.; Cruz, Cristina; Davidson, Eric A.; Denmead, O. Tom; Dias, Teresa; Dise, Nancy B.; Feest, Alan; Galloway, James N.; Geiser, Linda H.; Gilliam, Frank S.; Harrison, Ian J.; Khanina, Larisa G.; Lu, Xiankai; Manrique, Esteban; Ochoa-Hueso, Raul; Ometto, Jean P. H. B.; Payne, Richard; Scheuschner, Thomas; Sheppard, Lucy J.; Simpson, Gavin L.; Singh, Y. V.; Stevens, Carly J.; Strachan, Ian; Sverdrup, Harald; Tokuchi, Naoko; van Dobben, Hans; Woodin, Sarah

    2014-01-01

    This chapter reports the findings of a Working Group on how atmospheric nitrogen (N) deposition affects both terrestrial and freshwater biodiversity. Regional and global scale impacts on biodiversity are addressed, together with potential indicators. Key conclusions are that: the rates of loss in biodiversity are greatest at the lowest and initial stages of N deposition increase; changes in species compositions are related to the relative amounts of N, carbon (C) and phosphorus (P) in the plant soil system; enhanced N inputs have implications for C cycling; N deposition is known to be having adverse effects on European and North American vegetation composition; very little is known about tropical ecosystem responses, while tropical ecosystems are major biodiversity hotspots and are increasingly recipients of very high N deposition rates; N deposition alters forest fungi and mycorrhyzal relations with plants; the rapid response of forest fungi and arthropods makes them good indicators of change; predictive tools (models) that address ecosystem scale processes are necessary to address complex drivers and responses, including the integration of N deposition, climate change and land use effects; criteria can be identified for projecting sensitivity of terrestrial and aquatic ecosystems to N deposition. Future research and policy-relevant recommendations are identified.

  2. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  3. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  4. High Growth Rate Deposition of Hydrogenated Amorphous Silicon-Germanium Films and Devices Using ECR-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Iowa State Univ., Ames, IA (United States)

    2002-01-01

    Hydrogenated amorphous silicon germanium films (a-SiGe:H) and devices have been extensively studied because of the tunable band gap for matching the solar spectrum and mature the fabrication techniques. a-SiGe:H thin film solar cells have great potential for commercial manufacture because of very low cost and adaptability to large-scale manufacturing. Although it has been demonstrated that a-SiGe:H thin films and devices with good quality can be produced successfully, some issues regarding growth chemistry have remained yet unexplored, such as the hydrogen and inert-gas dilution, bombardment effect, and chemical annealing, to name a few. The alloying of the SiGe introduces above an order-of-magnitude higher defect density, which degrades the performance of the a-SiGe:H thin film solar cells. This degradation becomes worse when high growth-rate deposition is required. Preferential attachment of hydrogen to silicon, clustering of Ge and Si, and columnar structure and buried dihydride radicals make the film intolerably bad. The work presented here uses the Electron-Cyclotron-Resonance Plasma-Enhanced Chemical Vapor Deposition (ECR-PECVD) technique to fabricate a-SiGe:H films and devices with high growth rates. Helium gas, together with a small amount of H2, was used as the plasma species. Thickness, optical band gap, conductivity, Urbach energy, mobility-lifetime product, I-V curve, and quantum efficiency were characterized during the process of pursuing good materials. The microstructure of the a-(Si,Ge):H material was probed by Fourier-Transform Infrared spectroscopy. They found that the advantages of using helium as the main plasma species are: (1) high growth rate--the energetic helium ions break the reactive gas more efficiently than hydrogen ions; (2) homogeneous growth--heavy helium ions impinging on the surface promote the surface mobility of the reactive radicals, so that heteroepitaxy growth as clustering of Ge and Si, columnar structure are

  5. Deposition of Au/TiO2 film by pulsed laser

    International Nuclear Information System (INIS)

    Zhao Chongjun; Zhao Quanzhong; Zhao Qitao; Qiu Jianrong; Zhu Congshan

    2006-01-01

    Au nanoparticles, which were photoreduced by a Nd:YAG laser in HAuCl 4 solution containing TiO 2 colloid and accompanied by the TiO 2 particles, were deposited on the substrate surface. The film consisting of Au/TiO 2 particles was characterized by the absorption spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis. The adhesion between the film and substrate was evaluated by using adhesive tape test. It was found that the presence of TiO 2 dramatically enhanced the adhesion strength between the film and the substrate, as well as the deposition rate of film. The mechanism for the deposition of Au/TiO 2 film was also discussed

  6. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  7. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  8. The application of imperialist competitive algorithm for optimization of deposition rate in submerged arc welding process using TiO{sub 2} nano particle

    Energy Technology Data Exchange (ETDEWEB)

    Ghaderi, Mohammad Reza; Eslampanah, Amirhossein; Ghaderi, Kianoosh [Islamic Azad University, Sanandaj (Iran, Islamic Republic of); Aghakhani, Masood [Razi University, Kermanshah (Iran, Islamic Republic of)

    2015-01-15

    We used a novel optimization algorithm based on the imperialist competitive algorithm (ICA) to optimize the deposition rate in the submerged arc welding (SAW) process. This algorithm offers some advantages such as simplicity, accuracy and time saving. Experiments were conducted based on a five factor, five level rotatable central composite design (RCCD) to collect welding data for deposition rate as a function of welding current, arc voltage, contact tip to plate distance, welding speed and thickness of TiO{sub 2} nanoparticles coated on the plates of mild steel. Furthermore, regression equation for deposition rate was obtained using least squares method. The regression equation as the cost function was optimized using ICA. Ultimately, the levels of input variables to achieve maximum deposition rate were obtained using ICA. Computational results indicate that the proposed algorithm is quite effective and powerful in optimizing the cost function.

  9. Enhancement of dissolution rate of piroxicam by electrospinning technique

    International Nuclear Information System (INIS)

    Begum, S K Raziya; Varma, M Mohan; Raju, D B; Prasad, R G S V; Phani, A R; Jacob, Biju; Salins, Paul C

    2012-01-01

    The use of electrospun nanofibers to enhance dissolution of poorly soluble drugs could be a novel strategy in future for pharmaceutical applications. In the present work electrospun nanofibers were prepared as a novel system for enhancing the delivery of piroxicam, a non-steroidal anti-inflammatory drug (NSAID). These nanofibers were prepared from polyvinyl pyrrolidone (PVP) (pharmaceutical grade), a biodegradable polymer, to obtain a solution with drug:polymer ratio of 1:4. The release rate of the piroxicam nanofibers was studied in simulated gastric fluid. Fourier transform infrared (FTIR) and scanning electron microscopy (SEM) are used to evaluate the chemical and physical nature. The results showed that the release rates were twice increased in comparison with the pure drug. However, the blend of drug and polymer could be varied to optimize the release rates depending upon the need and formulation (paper)

  10. Enhancement of dissolution rate of piroxicam by electrospinning technique

    Science.gov (United States)

    Raziya Begum, S. K.; Mohan Varma, M.; Raju, D. B.; Prasad, R. G. S. V.; Phani, A. R.; Jacob, Biju; Salins, Paul C.

    2012-12-01

    The use of electrospun nanofibers to enhance dissolution of poorly soluble drugs could be a novel strategy in future for pharmaceutical applications. In the present work electrospun nanofibers were prepared as a novel system for enhancing the delivery of piroxicam, a non-steroidal anti-inflammatory drug (NSAID). These nanofibers were prepared from polyvinyl pyrrolidone (PVP) (pharmaceutical grade), a biodegradable polymer, to obtain a solution with drug:polymer ratio of 1:4. The release rate of the piroxicam nanofibers was studied in simulated gastric fluid. Fourier transform infrared (FTIR) and scanning electron microscopy (SEM) are used to evaluate the chemical and physical nature. The results showed that the release rates were twice increased in comparison with the pure drug. However, the blend of drug and polymer could be varied to optimize the release rates depending upon the need and formulation

  11. An Experimental Study on Slurry Erosion Resistance of Single and Multilayered Deposits of Ni-WC Produced by Laser-Based Powder Deposition Process

    Science.gov (United States)

    Balu, Prabu; Hamid, Syed; Kovacevic, Radovan

    2013-11-01

    Single and multilayered deposits containing different mass fractions of tungsten carbide (WC) in nickel (Ni)-matrix (NT-20, NT-60, NT-80) are deposited on a AISI 4140 steel substrate using a laser-based powder deposition process. The transverse cross section of the coupons reveals that the higher the mass fraction of WC in Ni-matrix leads to a more uniform distribution through Ni-matrix. The slurry erosion resistance of the fabricated coupons is tested at three different impingement angles using an abrasive water jet cutting machine, which is quantified based on the erosion rate. The top layer of a multilayered deposit (i.e., NT-60 in a two-layer NT-60 over NT-20 deposit) exhibits better erosion resistance at all three tested impingement angles when compared to a single-layer (NT-60) deposit. A definite increase in the erosion resistance is noted with an addition of nano-size WC particles. The relationship between the different mass fractions of reinforcement (WC) in the deposited composite material (Ni-WC) and their corresponding matrix (Ni) hardness on the erosion rate is studied. The eroded surface is analyzed in the light of a three-dimensional (3-D) profilometer and a scanning electron microscope (SEM). The results show that a volume fraction of approximately 62% of WC with a Ni-matrix hardness of 540 HV resulting in the gouging out of WC from the Ni-matrix by the action of slurry. It is concluded that the slurry erosion resistance of the AISI 4140 steel can be significantly enhanced by introducing single and multilayered deposits of Ni-WC composite material fabricated by the laser-based powder deposition process.

  12. Influence of deposition rate on the properties of tin coatings deposited on tool steels using arc method

    International Nuclear Information System (INIS)

    Akhtar, P.; Abbas, M.

    2007-01-01

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapour deposition method. The study concentrated on cathodic arc physical vapour deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MD's) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester and pin-on-disc machine, were used to analyze and quantify the following properties and parameters, surface morphology, thickness, hardness, adhesion and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MD's produced during the etching stage, protruded through the thin film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 macro m showed the most stable trend of COF versus sliding distance. (author)

  13. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  14. Photocatalytic enhancement of cesium removal by Prussian blue-deposited TiO2.

    Science.gov (United States)

    Kim, Hyuncheol; Kim, Minsun; Kim, Wooyul; Lee, Wanno; Kim, Soonhyun

    2018-06-19

    After the Fukushima nuclear accident, tremendous efforts were made to treat radiocesium, radiostrontium, and other radioactive materials. For the first time, we demonstrate that a TiO 2 photocatalyst can significantly enhance Cs adsorption by Prussian blue-deposited TiO 2 (PB/TiO 2 ) under UV irradiation. In this study, we synthesized PB/TiO 2 using the photodeposition method. After the Cs ions were adsorbed on the PB/TiO 2 in darkness, we then exposed the PB/TiO 2 to UV light irradiation. This resulted in a further increase in Cs ion adsorption of more than 10 times the amount adsorbed in darkness. This photocatalytic-enhanced adsorption of Cs ions was not observed on PB mixed with SiO 2 , nor under visible light irradiation. We investigated the effects of PB concentration, PB/TiO 2 concentration, and gas purging on both dark and photocatalytic-enhanced adsorption of Cs ions by PB/TiO 2 . Based on the results, we suggest that the photocatalytic-enhanced adsorption of Cs ions on PB/TiO 2 is due to photocatalytic reduction of PB, which leads to additional adsorption of Cs ions. The change in solution color before and after the reaction, and the change in solution pH in the dark and during UV irradiation strongly support this suggestion. The photocatalytic-enhanced adsorption of Cs ions was equivalent during radioactive 137 Cs removal, indicating important applications for pollutant removal from contaminated water. Copyright © 2018 Elsevier B.V. All rights reserved.

  15. Surfactant-promoted Prussian Blue-modified carbon electrodes: enhancement of electro-deposition step, stabilization, electrochemical properties and application to lactate microbiosensors for the neurosciences.

    Science.gov (United States)

    Salazar, P; Martín, M; O'Neill, R D; Roche, R; González-Mora, J L

    2012-04-01

    We report here for the first time a comparison of the beneficial effects of different cationic surfactants - cetyl trimethyl ammonium bromide (CTAB), benzethonium chloride (BZT) and cetylpyridinium chloride (CPC) - for the electrochemical synthesis of Prussian Blue (PB) films, using cyclic voltammetry (CV), on screen-printed carbon electrodes (SPCEs). Their electrochemical properties were investigated, paying special attention to parameters such as the amount of PB deposited, film thickness, charge transfer rate, permeability, reversibility, stability and sensitivity to hydrogen peroxide detection. All surfactant-enhanced PB-modified SPCEs displayed a significant improvement in their electrochemical properties compared with PB-modified SPCEs formed in the absence of surfactants. Surfactant-modified electrodes displayed a consistently higher PB surface concentration value of 2.1±0.4×10(-8) mol cm(-2) (mean±SD, n=3) indicating that PB deposition efficiency was improved 2-3 fold. K(+) and Na(+) permeability properties of the films were also studied, as were kinetic parameters, such as the surface electron transfer rate constant (k(s)) and the transfer coefficient (α). The hydrogen peroxide sensitivity of surfactant-modified PB films generated by 10 electro-deposition CV cycles gave values of 0.63 A M(-1) cm(-2), which is higher than those reported previously for SPCEs by other authors. Finally, the first lactate microbiosensor described in the literature based on BZT-modified PB-coated carbon fiber electrodes is presented. Its very small cross-section (~10 μm diameter) makes it particularly suitable for neuroscience studies in vivo. Copyright © 2011 Elsevier B.V. All rights reserved.

  16. Gadolinium Deposition in Human Brain Tissues after Contrast-enhanced MR Imaging in Adult Patients without Intracranial Abnormalities.

    Science.gov (United States)

    McDonald, Robert J; McDonald, Jennifer S; Kallmes, David F; Jentoft, Mark E; Paolini, Michael A; Murray, David L; Williamson, Eric E; Eckel, Laurence J

    2017-11-01

    Purpose To determine whether gadolinium deposits in neural tissues of patients with intracranial abnormalities following intravenous gadolinium-based contrast agent (GBCA) exposure might be related to blood-brain barrier integrity by studying adult patients with normal brain pathologic characteristics. Materials and Methods After obtaining antemortem consent and institutional review board approval, the authors compared postmortem neuronal tissue samples from five patients who had undergone four to 18 gadolinium-enhanced magnetic resonance (MR) examinations between 2005 and 2014 (contrast group) with samples from 10 gadolinium-naive patients who had undergone at least one MR examination during their lifetime (control group). All patients in the contrast group had received gadodiamide. Neuronal tissues from the dentate nuclei, pons, globus pallidus, and thalamus were harvested and analyzed with inductively coupled plasma mass spectrometry (ICP-MS), transmission electron microscopy with energy-dispersive x-ray spectroscopy, and light microscopy to quantify, localize, and assess the effects of gadolinium deposition. Results Tissues from the four neuroanatomic regions of gadodiamide-exposed patients contained 0.1-19.4 μg of gadolinium per gram of tissue in a statistically significant dose-dependent relationship (globus pallidus: ρ = 0.90, P = .04). In contradistinction, patients in the control group had undetectable levels of gadolinium with ICP-MS. All patients had normal brain pathologic characteristics at autopsy. Three patients in the contrast group had borderline renal function (estimated glomerular filtration rate the contrast group was localized to the capillary endothelium and neuronal interstitium and, in two cases, within the nucleus of the cell. Conclusion Gadolinium deposition in neural tissues after GBCA administration occurs in the absence of intracranial abnormalities that might affect the permeability of the blood-brain barrier. These findings

  17. Rate of mass deposition of scaling compounds from seawater on the outer surface of heat exchangers in MED evaporators

    Energy Technology Data Exchange (ETDEWEB)

    Omar, W. [Department of Natural Resources and Chemical Engineering, Tafila Technical University, Tafila (Jordan); Ulrich, J. [FB Ingenieurwissenschaften, Institut fuer Verfahrenstechnik/TVT, Martin-Luther-Universitaet Halle-Wittenberg, Halle (Germany)

    2006-08-15

    The scaling problem in Multi Effect Distillation (MED) evaporators is investigated by the experimental measurement of the deposition rate under different operating conditions. The measurements are conducted in a batch vessel containing artificial seawater, which is allowed to contact the outer surface of a hot pipe under controlled temperature, salinity and pH. The rate of mass deposition is higher at elevated temperature. The salinity of the seawater also influences the scaling process - an increase in salinity from 47-59 g/L leads to an increase of 75.6 % in the deposition rate. Decreasing the pH value of seawater to 2.01 results in a complete inhibition of scaling, whereas the severity of the scaling increases in neutral and basic mediums. Polyacrylic acid is tested as an antifoulant and it was found that its presence in seawater reduces the scaling process. The nature of the heat transfer surface material also plays an important role in the scaling process. It is found experimentally that the rate of scaling is higher in the case of a Cu-Ni alloy as the surface material of the tube rather than stainless steel. (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  18. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  19. Measurement of simulated lung deposition of radon daughters

    International Nuclear Information System (INIS)

    Jonassen, N.; Jensen, B.

    1992-01-01

    A measurement system for the lung deposition of radon daughters based on respiratory models was suggested by Hopke et al. By choosing suitable mesh size and flow velocities it is possible to design a multiple-wire screen sampler simulating deposition in the respiratory tract of aerosols over the size range 0.5-1000 nm. This paper describes a preliminary investigation where simulated deposition in the nasal tract and in the bronchii (for mouth breathing as well as nasal breathing) is determined. The measurements were performed in atmospheres where the normalised exposure rate (equilibrium factor) was varied by changing the aerosol loading of the air as well as by enhanced electrostatic plateout. The general results of the measurements are that the energy deposited in the nose with nasal breathing and in the bronchii with mouth breathing varies as the calculated dose while the energy deposited in the bronchii with nasal breathing follows the exposure. It is also demonstrated that the energy deposited for a fixed value of the radon concentration may vary by a factor of 2-7 depending on the treatment of the air. (author)

  20. Chlorination of bromide-containing waters: Enhanced bromate formation in the presence ofsynthetic metal oxides and deposits formed indrinking water distribution systems

    KAUST Repository

    Liu, Chao; von Gunten, Urs; Croue, Jean-Philippe

    2013-01-01

    Bromate formation from the reaction between chlorine and bromide in homogeneous solution is a slow process. The present study investigated metal oxides enhanced bromate formation during chlorination of bromide-containing waters. Selected metal oxides enhanced the decay of hypobromous acid (HOBr), a requisite intermediate during the oxidation of bromide to bromate, via (i) disproportionation to bromate in the presence of nickel oxide (NiO) and cupric oxide (CuO), (ii) oxidation of a metal to a higher valence state in the presence of cuprous oxide (Cu2O) and (iii) oxygen formation by NiO and CuO. Goethite (α-FeOOH) did not enhance either of these pathways. Non-charged species of metal oxides seem to be responsible for the catalytic disproportionation which shows its highest rate in the pH range near the pKa of HOBr. Due to the ability to catalyze HOBr disproportionation, bromate was formed during chlorination of bromide-containing waters in the presence of CuO and NiO, whereas no bromate was detected in the presence of Cu2O and α-FeOOH for analogous conditions. The inhibition ability of coexisting anions on bromate formation at pH 8.6 follows the sequence of phosphate>>sulfate>bicarbonate/carbonate. A black deposit in a water pipe harvested from a drinking water distribution system exerted significant residual oxidant decay and bromate formation during chlorination of bromide-containing waters. Energy dispersive spectroscopy (EDS) analyses showed that the black deposit contained copper (14%, atomic percentage) and nickel (1.8%, atomic percentage). Cupric oxide was further confirmed by X-ray diffraction (XRD). These results indicate that bromate formation may be of concern during chlorination of bromide-containing waters in distribution systems containing CuO and/or NiO. © 2013 Elsevier Ltd.

  1. Chlorination of bromide-containing waters: Enhanced bromate formation in the presence ofsynthetic metal oxides and deposits formed indrinking water distribution systems

    KAUST Repository

    Liu, Chao

    2013-09-01

    Bromate formation from the reaction between chlorine and bromide in homogeneous solution is a slow process. The present study investigated metal oxides enhanced bromate formation during chlorination of bromide-containing waters. Selected metal oxides enhanced the decay of hypobromous acid (HOBr), a requisite intermediate during the oxidation of bromide to bromate, via (i) disproportionation to bromate in the presence of nickel oxide (NiO) and cupric oxide (CuO), (ii) oxidation of a metal to a higher valence state in the presence of cuprous oxide (Cu2O) and (iii) oxygen formation by NiO and CuO. Goethite (α-FeOOH) did not enhance either of these pathways. Non-charged species of metal oxides seem to be responsible for the catalytic disproportionation which shows its highest rate in the pH range near the pKa of HOBr. Due to the ability to catalyze HOBr disproportionation, bromate was formed during chlorination of bromide-containing waters in the presence of CuO and NiO, whereas no bromate was detected in the presence of Cu2O and α-FeOOH for analogous conditions. The inhibition ability of coexisting anions on bromate formation at pH 8.6 follows the sequence of phosphate>>sulfate>bicarbonate/carbonate. A black deposit in a water pipe harvested from a drinking water distribution system exerted significant residual oxidant decay and bromate formation during chlorination of bromide-containing waters. Energy dispersive spectroscopy (EDS) analyses showed that the black deposit contained copper (14%, atomic percentage) and nickel (1.8%, atomic percentage). Cupric oxide was further confirmed by X-ray diffraction (XRD). These results indicate that bromate formation may be of concern during chlorination of bromide-containing waters in distribution systems containing CuO and/or NiO. © 2013 Elsevier Ltd.

  2. Pulsed laser deposition of SrRuO3 thin-films: The role of the pulse repetition rate

    Directory of Open Access Journals (Sweden)

    H. Schraknepper

    2016-12-01

    Full Text Available SrRuO3 thin-films were deposited with different pulse repetition rates, fdep, epitaxially on vicinal SrTiO3 substrates by means of pulsed laser deposition. The measurement of several physical properties (e.g., composition by means of X-ray photoelectron spectroscopy, the out-of-plane lattice parameter, the electric conductivity, and the Curie temperature consistently reveals that an increase in laser repetition rate results in an increase in ruthenium deficiency in the films. By the same token, it is shown that when using low repetition rates, approaching a nearly stoichiometric cation ratio in SrRuO3 becomes feasible. Based on these results, we propose a mechanism to explain the widely observed Ru deficiency of SrRuO3 thin-films. Our findings demand these theoretical considerations to be based on kinetic rather than widely employed thermodynamic arguments.

  3. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    Science.gov (United States)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  4. Energy deposition and ion production from thermal oxygen ion precipitation during Cassini's T57 flyby

    Science.gov (United States)

    Snowden, Darci; Smith, Michael; Jimson, Theodore; Higgins, Alex

    2018-05-01

    Cassini's Radio Science Investigation (RSS) and Langmuir Probe observed abnormally high electron densities in Titan's ionosphere during Cassini's T57 flyby. We have developed a three-dimensional model to investigate how the precipitation of thermal magnetospheric O+ may have contributed to enhanced ion production in Titan's ionosphere. The three-dimensional model builds on previous work because it calculates both the flux of oxygen through Titan's exobase and the energy deposition and ion production rates in Titan's atmosphere. We find that energy deposition rates and ion production rates due to thermal O+ precipitation have a similar magnitude to the rates from magnetospheric electron precipitation and that the simulated ionization rates are sufficient to explain the abnormally high electron densities observed by RSS and Cassini's Langmuir Probe. Globally, thermal O+ deposits less energy in Titan's atmosphere than solar EUV, suggesting it has a smaller impact on the thermal structure of Titan's neutral atmosphere. However, our results indicate that thermal O+ precipitation can have a significant impact on Titan's ionosphere.

  5. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  6. Effect of PbI{sub 2} deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    Energy Technology Data Exchange (ETDEWEB)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos, E-mail: fostiropoulos@helmholtz-berlin.de

    2016-12-15

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI{sub 2} and chemical vapour deposition (CVD) of CH{sub 3}NH{sub 3}I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI{sub 2} film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH{sub 3}NH{sub 3}I is fostered for PbI{sub 2} films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI{sub 2} on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH{sub 3}NCH{sub 3} molecules been fostered by increasing the PVD rate of PbI{sub 2} and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI{sub 2} (001) crystallite planes. • CH{sub 3}NH{sub 3}I intercalation process fostered by increased PbI{sub 2} PVD rate. • Stoichiometric CH{sub 3}NH{sub 3}PbI{sub 3} suitable as absorber in photovoltaic applications • Reduced PbI{sub 2} residue at the bottom of CH{sub 3}NH{sub 3}PbI{sub 3} improves device performance.

  7. 78 FR 64183 - Change to Existing Regulation Concerning the Interest Rate Paid on Cash Deposited To Secure...

    Science.gov (United States)

    2013-10-28

    ... Account. These funds are held ``in trust'' for the obligor and currently earn simple interest at the rate..., the Government has paid simple interest at the rate of 3 percent per year on cash deposited by bond... #0;notices is to give interested persons an opportunity to participate in #0;the rule making prior to...

  8. Particle emission rates during electrostatic spray deposition of TiO2 nanoparticle-based photoactive coating

    DEFF Research Database (Denmark)

    Koivisto, Antti J.; Jensen, Alexander C. Ø.; Kling, Kirsten I.

    2017-01-01

    Here, we studied the particle release rate during Electrostatic spray deposition of anatase-(TiO2)-based photoactive coating onto tiles and wallpaper using a commercially available electrostatic spray device. Spraying was performed in a 20.3m3 test chamber while measuring concentrations of 5.6nm ...

  9. Spatial Distributions of Potassium, Solutes, and Their Deposition Rates in the Growth Zone of the Primary Corn Root 1

    Science.gov (United States)

    Silk, Wendy Kuhn; Hsiao, Theodore C.; Diedenhofen, Ulrike; Matson, Christina

    1986-01-01

    Densities of osmoticum and potassium were measured as a function of distance from the tip of the primary root of Zea mays L. (cv WF9 × mo17). Millimeter segments were excised and analyzed for osmotic potential by a miniaturized freezing point depression technique, and for potassium by flame spectrophotometry. Local deposition rates were estimated from the continuity equation with values for density and growth velocity. Osmotic potential was uniform, −0.73 ± 0.05 megapascals, throughout the growth zone of well-watered roots. Osmoticum deposition rate was 260 μosmoles per gram fresh weight per hour. Potassium density fell from 117 micromoles per gram in the first mm region to 48 micromoles per gram at the base of the growth zone. Potassium deposition rates had a maximum of 29 micromoles per gram per hour at 3.5 millimeters from the tip and were positive (i.e. potassium was being added to the tissue) until 8 millimeters from the tip. The results are discussed in terms of ion relations of the growing zone and growth physics. PMID:16665121

  10. Hardness Enhancement of STS304 Deposited with Yttria Stabilized Zirconia by Aerosol Deposition Method

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Il-Ho; Park, Chun-Kil; Kim, Hyung Sun; Jeong, Dea-Yong [Inha University, Incheon (Korea, Republic of); Lee, Yong-Seok [Sodoyeon Co., Yeoju (Korea, Republic of); Kong, Young-Min [University of Ulsan, Ulsan (Korea, Republic of); Kang, Kweon Ho [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2016-03-15

    To improve the surface hardness of the STS304, Yttria stabilized zirconia (YSZ) films with nano-sized grain were deposited by an aerosol-deposition (AD) method. Coating layers showed dense structure and had -5µm thickness. When 3 mol% YSZ powders with tetragonal phase were deposited on STS304 substrate, tetragonal structure was transformed to cubic structure due to the high impact energy during the AD process. At the same time, strong impact by YSZ particles allowed the austenite phase in STS304 to be transformed into martensite phase. Surface hardness measured with nano indentor showed that YSZ coated film had 11.5 GPa, which is larger value than 7 GPa of STS304.

  11. Factors determining properties of multi-walled carbon nanotubes/fibres deposited by PECVD

    International Nuclear Information System (INIS)

    Bell, M S; Teo, K B K; Milne, W I

    2007-01-01

    This paper presents a number of factors which have been found to be important to the growth of carbon nanotubes and nanofibres by plasma enhanced chemical vapour deposition. The effect of the electric field in a plasma discharge on nanotube growth is investigated and shown to be important in achieving nanotube alignment. The use of a plasma discharge also enables deposition to take place at lower temperatures, facilitating the use of substrates which would otherwise be damaged. The effect of varying the ratio of carbon feedstock gas to etchant gas is investigated and the ratio is shown to be important for controlling the shape of deposited nanostructures. The effects of varying plasma power are investigated, showing that greater plasma power results in a lower growth rate. Higher levels of plasma power are also shown to cause the sidewalls of deposited carbon nanotubes to be etched. Finally, the growth rate of carbon nanotubes and nanofibres is shown to depend upon the strength of the local electric field. It is proposed that a higher field causes greater ionization within the plasma, which results in a higher growth rate. This is borne out by comparing simulation results with experimental observations

  12. Nanoparticle Enhanced Laser Induced Breakdown Spectroscopy: Effect of nanoparticles deposited on sample surface on laser ablation and plasma emission

    International Nuclear Information System (INIS)

    De Giacomo, A.; Gaudiuso, R.; Koral, C.; Dell'Aglio, M.; De Pascale, O.

    2014-01-01

    In this paper the use of metallic nanoparticles (NPs) for improving Laser Induced Breakdown Spectroscopy (LIBS) is discussed. In the case of conductors an emission signal enhancement up to 1–2 orders of magnitude was obtained depositing NPs on the sample surface by drying a micro-drop of colloidal solution. The basic mechanisms of Nanoparticle Enhanced LIBS (NELIBS) were studied and the main causes of this significantly large enhancement were found to be related to the effect of NPs on the laser ablation process, in terms of a faster and more efficient production of seed electrons with respect to conventional LIBS. The characteristics of NELIBS-produced plasma were investigated by emission spectroscopy and spectrally resolved images. In spite of similar plasma parameters, the NELIBS plasma was found to have larger emission volume and longer persistence than the LIBS one. A method to determine NP concentration and size was also proposed, which involved depositing NPs on non-interacting substrates, and proved the feasibility of LIBS as a fast detection tool for a preliminary characterization of NPs. - Highlights: • Effect of NPs on sample surface enables instantaneous field emission. • More efficient ablation • LIBS emission enhancement up to 1–2 orders of magnitude • Possibility of NP characterization in terms of concentration and size

  13. In vitro and in vivo lung deposition of coated magnetic aerosol particles.

    Science.gov (United States)

    Xie, Yuanyuan; Longest, P Worth; Xu, Yun Hao; Wang, Jian Ping; Wiedmann, Timothy Scott

    2010-11-01

    The magnetic induced deposition of polydispersed aerosols composed of agglomerated superparamagnetic particles was measured with an in vitro model system and in the mouse trachea and deep lung for the purpose of investigating the potential of site specific respiratory drug delivery. Oleic acid coated superparamagnetic particles were prepared and characterized by TEM, induced magnetic moment, and iron content. The particles were dispersed in cyclohexane, aerosolized with an ultrasonic atomizer and dried by sequential reflux and charcoal columns. The fraction of iron deposited on glass tubes increased with particle size and decreasing flow rate. High deposition occurred with a small diameter tube, but the deposition fraction was largely independent of tube size at larger diameters. Results from computational fluid dynamics qualitatively agreed with the experimental results. Enhanced deposition was observed in the mouse lung but not in the trachea consistent with the analysis of the aerodynamic time allowed for deposition and required magnetic deposition time. © 2010 Wiley-Liss, Inc. and the American Pharmacists Association

  14. Organic secondary ion mass spectrometry: sensitivity enhancement by gold deposition.

    Science.gov (United States)

    Delcorte, A; Médard, N; Bertrand, P

    2002-10-01

    Hydrocarbon oligomers, high-molecular-weight polymers, and polymer additives have been covered with 2-60 nmol of gold/cm2 in order to enhance the ionization efficiency for static secondary ion mass spectrometry (s-SIMS) measurements. Au-cationized molecules (up to -3,000 Da) and fragments (up to the trimer) are observed in the positive mass spectra of metallized polystyrene (PS) oligomer films. Beyond 3,000 Da, the entanglement of polymer chains prevents the ejection of intact molecules from a "thick" organic film. This mass limit can be overcome by embedding the polymer chains in a low-molecular-weight matix. The diffusion of organic molecules over the metal surfaces is also demonstrated for short PS oligomers. In the case of high-molecular-weight polymers (polyethylene, polypropylene, PS) and polymer additives (Irganox 1010, Irgafos 168), the metallization procedure induces a dramatic increase of the fingerprint fragment ion yields as well as the formation of new Aucationized species that can be used for chemical diagnostics. In comparison with the deposition of submonolayers of organic molecules on metallic surfaces, metal evaporation onto organic samples provides a comparable sensitivity enhancement. The distinct advantage of the metal evaporation procedure is that it can be used for any kind of organic sample, irrespective of thickness, opening new perspectives for "real world" sample analysis and chemical imaging by s-SIMS.

  15. Effect of N{sub 2} flow rate on the properties of N doped TiO{sub 2} films deposited by DC coupled RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Peng, Shou [State Key Laboratory of Silicate Materials for Architectures, Wuhan University of Technology, Wuhan 430000 (China); State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); Yang, Yong, E-mail: 88087113@163.com [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); Li, Gang; Jiang, Jiwen; Jin, Kewu; Yao, TingTing; Zhang, Kuanxiang [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); Cao, Xin [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); School of Materials Science and Engineering, Dalian Jiaotong University, Dalian 116000 (China); Wang, Yun; Xu, Genbao [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China)

    2016-09-05

    N doped TiO{sub 2} films were deposited on glass substrates at room temperature using DC coupled RF magnetron sputtering with a TiO{sub 2} ceramic target. The influences of N{sub 2} flow rate on the deposition rate, crystal structure, chemical composition and band gap of the deposited films were investigated by Optical profiler, X-ray diffraction, X-ray photoelectron spectroscope and ultraviolet-visible spectrophotometer. The film growth rate gradually decreased with increasing N{sub 2} flow rate. As N{sub 2} flow rate increased, the crystallization of the films deteriorated, and the films tended to form amorphous structure. XPS analysis revealed that N dopant atoms were added at the substitutional sites into TiO{sub 2} lattice structure. FE-SEM results showed that the grain size of the film decreased and the crystallinity degraded as N{sub 2} flow rate increases. In addition, N doping caused an obvious red shift in the optical absorption edge. - Highlights: • N doped TiO{sub 2} films were deposited by DC coupled RF magnetron reactive sputtering. • As N{sub 2} flow rate increases, the crystallization of the deposited films degrades. • The higher N{sub 2} flow rate is beneficial to form more substituted N in the film. • N doping causes an obvious red shift in the absorption wavelength.

  16. Nitrogen Deposition Reduces Decomposition Rates Through Shifts in Microbial Community Composition and Function

    Science.gov (United States)

    Waldrop, M.; Zak, D.; Sinsabaugh, R.

    2002-12-01

    Atmospheric nitrogen (N) deposition may alter soil biological activity in northern hardwood forests by repressing phenol oxidase enzyme activity and altering microbial community composition, thereby slowing decomposition and increasing the export of phenolic compounds. We tested this hypothesis by adding 13C-labelled cellobiose, vanillin, and catechol to control and N fertilized soils (30 and 80 kg ha-1) collected from three forests; two dominated by Acer Saccharum and one dominated by Quercus Alba and Quercus Velutina. While N deposition increased total microbial respiration, it decreased soil oxidative enzyme activities, resulting in slower degradation rates of all compounds, and larger DOC pools. This effect was larger in the oak forest, where fungi dominate C-cycling processes. DNA and 13C-phospolipid analyses showed that N addition altered the fungal community and reduced the activity of fungal and bacterial populations in soil, potentially explaining reduced soil enzyme activities and incomplete decomposition.

  17. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  18. Models for financial crisis detection in Indonesia based on bank deposits, real exchange rate and terms of trade indicators

    Science.gov (United States)

    Sugiyanto; Zukhronah, Etik; Nur Aini, Anis

    2017-12-01

    Several times Indonesia has experienced to face a financial crisis, but the crisis occurred in 1997 had a tremendous impact on the economy and national stability. The impact of the crisis fall the exchange rate of rupiah against the dollar so it is needed the financial crisis detection system. Some data of bank deposits, real exchange rate and terms of trade indicators are used in this paper. Data taken from January 1990 until December 2016 are used to form the models with three state. Combination of volatility and Markov switching models are used to model the data. The result suggests that the appropriate model for bank deposit and terms of trade is SWARCH (3,1), and for real exchange rates is SWARCH (3,2).

  19. The Barrier Properties of PET Coated DLC Film Deposited by Microwave Surface-Wave PECVD

    Science.gov (United States)

    Yin, Lianhua; Chen, Qiang

    2017-12-01

    In this paper we report the investigation of diamond-like carbon (DLC) deposited by microwave surface-wave plasma enhanced chemical vapor deposition (PECVD) on the polyethylene terephthalate (PET) web for the purpose of the barrier property improvement. In order to characterize the properties of DLC coatings, we used several substrates, silicon wafer, glass, and PET web and KBr tablet. The deposition rate was obtained by surface profiler based on the DLC deposited on glass substrates; Fourier transform infrared spectroscope (FTIR) was carried out on KBr tablets to investigate chemical composition and bonding structure; the morphology of the DLC coating was analyzed by atomic force microscope (AFM) on Si substrates. For the barrier properties of PET webs, we measured the oxygen transmission rate (OTR) and water vapor transmission rate (WVTR) after coated with DLC films. We addressed the film barrier property related to process parameters, such as microwave power and pulse parameter in this work. The results show that the DLC coatings can greatly improve the barrier properties of PET webs.

  20. Validation of dentine deposition rates in beluga whales by interspecies cross dating of temporal δ13C trends in teeth

    Directory of Open Access Journals (Sweden)

    Cory JD Matthews

    2014-11-01

    Full Text Available Isotopic time series from sequentially sampled growth layer groups (GLGs in marine mammal teeth can be combined to build chronologies allowing assessment of isotopic variation in marine ecosystems. Synchronous recording of baseline isotopic variation across dentinal GLGs of species with temporal and spatial overlap in foraging offers a unique opportunity for validation of marine mammal age estimation procedures through calibration of GLG deposition rates in one species against another whose GLG deposition has been independently determined. In this study, we compare trends in stable carbon isotope ratios (d13C across dentinal GLGs of three eastern Canadian Arctic (ECA beluga (Delphinapterus leucas populations through the 1960s-2000s with a d13C time series measured across dentinal GLGs of ECA/Northwest Atlantic killer whales (Orcinus orca from 1944-1999. We use confirmed annual GLG deposition in killer whales as a means to assess beluga GLG deposition, and show linear d13C declines across chronologies of both species were statistically indistinguishable when based on annual GLG deposition in beluga whales, but differed when based on biannual deposition. We suggest d13C declines reflect the oceanic 13C Suess effect, and provide additional support for annual GLG deposition in beluga whales by comparing rates of d13C declines across beluga GLGs with published annual d13C declines attributed to the oceanic 13C Suess effect in the North Atlantic.

  1. Reaction factors for photo-electrochemical deposition of metal silver on polypyrrole as conducting polymer

    International Nuclear Information System (INIS)

    Kawakita, Jin; Boter, Jelmer M.; Shova, Neupane; Fujihira, Hiroshi; Chikyow, Toyohiro

    2015-01-01

    Composite of metal and conducting polymer is expected for electrical application by the use of their advantages. For improvement of the composite’s characteristics, it is important to control formation rate and structure of the composites obtained by simultaneous metal deposition and polymerization under photo irradiation. The purpose of this research was to reveal the effects of UV irradiation and dopant type for conducting polymer on photo-electrochemical deposition of metal. Cathodic polarization curves for silver deposition on polypyrrole doped with different types of anion at different intensity of the UV light were compared. Deposited particles were evaluated by the statistical analysis. The experimental results showed that silver deposition on polypyrrole was enhanced by UV introduction and depended on the dopant type.

  2. Enhanced energy deposition symmetry by hot electron transport

    International Nuclear Information System (INIS)

    Wilson, D.; Mack, J.; Stover, E.; VanHulsteyn, D.; McCall, G.; Hauer, A.

    1981-01-01

    High energy electrons produced by resonance absorption carry the CO 2 laser energy absorbed in a laser fusion pellet. The symmetrization that can be achieved by lateral transport of the hot electrons as they deposit their energy is discussed. A K/sub α/ experiment shows a surprising symmetrization of energy deposition achieved by adding a thin layer of plastic to a copper sphere. Efforts to numerically model this effect are described

  3. Mechanisms and rates of atmospheric deposition of selected trace elements and sulfate to a deciduous forest watershed. [Roles of dry and wet deposition concentrations measured in Walker Branch Watershed

    Energy Technology Data Exchange (ETDEWEB)

    Lindberg, S.E.; Harriss, R.C.; Turner, R.R.; Shriner, D.S.; Huff, D.D.

    1979-06-01

    The critical links between anthropogenic emissions to the atmosphere and their effects on ecosystems are the mechanisms and rates of atmospheric deposition. The atmospheric input of several trace elements and sulfate to a deciduous forest canopy is quantified and the major mechanisms of deposition are determined. The study area was Walker Branch Watershed (WBW) in eastern Tennessee. The presence of a significant quantity of fly ash and dispersed soil particles on upward-facing leaf and flat surfaces suggested sedimentation to be a major mechanism of dry deposition to upper canopy elements. The agreement for deposition rates measured to inert, flat surfaces and to leaves was good for Cd, SO/sub 4//sup =/, Zn, and Mn but poor for Pb. The precipitation concentrations of H/sup +/, Pb, Mn, and SO/sub 4//sup =/ reached maximum values during the summer months. About 90% of the wet deposition of Pb and SO/sub 4//sup =/ was attributed to scavenging by in-cloud processes while for Cd and Mn, removal by in-cloud scavenging accounted for 60 to 70% of the deposition. The interception of incoming rain by the forest canopy resulted in a net increase in the concentrations of Cd, Mn, Pb, Zn, and SO/sub 4//sup =/ but a net decrease in the concentration of H/sup +/. The source of these elements in the forest canopy was primarily dry deposited aerosols for Pb, primarily internal plant leaching for Mn, Cd, and Zn, and an approximately equal combination of the two for SO/sub 4//sup =/. Significant fractions of the total annual elemental flux to the forest floor in a representative chestnut oak stand were attributable to external sources for Pb (99%), Zn (44%), Cd (42%), SO/sub 4//sup =/ (39%), and Mn (14%), the remainder being related to internal element cycling mechanisms. On an annual scale the dry deposition process constituted a significant fraction of the total atmospheric input. (ERB)

  4. Reducing tube bundle deposition with alternative amines

    International Nuclear Information System (INIS)

    Turner, C.W.; Klimas, S.J.; Frattini, P.L.

    1998-01-01

    Particle deposition rates have been measured in a high-temperature loop for magnetite and hematite depositing onto Inconel-600 under flow-boiling conditions with pH controlled using one of the following amines: morpholine, ammonia, ethanolamine, or dimethylamine. Hematite particles deposited at rates an order of magnitude greater than those measured for magnetite, although the hematite deposition rate dropped when the loop was operated under reducing conditions. The magnetite deposition rate was influenced by the amine used to control the pH, with the relative rate decreasing in the following series: morpholine (1) : ethanolamine (0.72) ammonia (0.51) : dimethylamine (0.25). These trends in deposition rate are discussed in terms of the surface chemistry of the corrosion products. Deposition rates for both magnetite and hematite increased significantly once the mixture quality exceeded about 0.3, which may be related to a change in the heat transfer mechanism from nucleate boiling to two-phase forced convection through a thin film. (author)

  5. Reducing tube bundle deposition using alternative amines

    International Nuclear Information System (INIS)

    Turner, C.W.; Klimas, S.J.; Frattini, P.L.

    1999-07-01

    Particle deposition rates were measured in a high-temperature loop for magnetite and hematite depositing onto Inconel-600 under flow-boiling conditions with pH controlled, using one of the following amines: morpholine, ammonia, ethanolamine, or dimethylamine. Hematite particles deposited at rates 10 times greater than those measured for magnetite although the hematite deposition rate dropped when the loop was operated under reducing conditions. The magnetite deposition rate was influenced by the amine used to control the pH, with the relative rate decreasing in the following series: morpholine (1) : ethanolamine (0.72) : ammonia (0.51) dimethylamine (0.25). These trends in deposition rate are discussed in terms of the surface chemistry of the corrosion products. Deposition rates for both magnetite and hematite increased significantly once the mixture quality exceeded about 0.3, which may be related to a change in the heat-transfer mechanism from nucleate boiling to 2-phase forced-convection through a thin film. (author)

  6. Diatomite-immobilized BiOI hybrid photocatalyst: Facile deposition synthesis and enhanced photocatalytic activity

    Science.gov (United States)

    Li, Baoying; Huang, Hongwei; Guo, Yuxi; Zhang, Yihe

    2015-10-01

    A novel diatomite-immobilized BiOI hybrid photocatalyst has been prepared by a facile one-step deposition process for the first time. The structure, morphology and optical property of the products were characterized by X-ray powder diffraction (XRD), scanning electron microscopy (SEM) and UV-vis diffuse reflectance spectroscopy (DRS). The photocatalytic performance of the as-prepared BiOI/diatomite photocatalysts was studied by photodegradation of Rhodamine B (RhB) and methylene blue (MB) and monitoring photocurrent generation under visible light (λ > 420 nm). The results revealed that BiOI/diatomite composites exhibit enhanced photocatalytic activity compared to the pristine BiOI sample. This enhancement should be attributed to that diatomite can play as an excellent carrier platform to increase the reactive sites and promote the separation of photogenerated electron-hole pairs. In addition, the corresponding photocatalytic mechanism was proposed based on the active species trapping experiments. This work shed new light on facile fabrication of novel composite photocatalyst based on natural mineral.

  7. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    Science.gov (United States)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  8. Sedimentation rates in eastern North America reveal strong links between regional climate, depositional environments, and sediment accumulation

    Science.gov (United States)

    Goring, S. J.; McLachlan, J. S.; Jackson, S. T.; Blaauw, M.; Christen, J.; Marlon, J.; Blois, J.; Williams, J. W.

    2011-12-01

    PalEON is a multidisciplinary project that combines paleo and modern ecological data with state-of-the-art statistical and modelling tools to examine the interactions between climate, fire and vegetation during the past two millennia in the northeastern United States. A fundamental challenge for PalEON (and paleo research more broadly) is to improve age modelling to yield more accurate sediment-core chronologies. To address this challenge, we assessed sedimentation rates and their controls for 218 lakes and mires in the northeastern U.S. Sedimentation rates (yr/cm) were calculated from age-depth models, which were obtained from the Neotoma database (www.neotomadb.org) and other contributed pollen records. The age models were recalibrated to IntCal09 and augmented in some cases using biostratigraphic markers (Picea decline, 16 kcal BP - 10.5 kcal BP; Quercus rise, 12 - 9.1 kcal BP; and Alnus decline, 11.5 - 10.6 kcal BP) as described in Blois et al. (2011). Relationships between sedimentation rates and sediment age, site longitude, and depositional environment (lacustrine or mire) are significant but weak. There are clear and significant links between variations in the NGRIP record of δ18O and sedimentation in mires across the PalEON region, but no links to lacustrine sedimentation rates. This result indicates that super-regional climatic control of primary productivity, and thus autochthonic sediment deposition, dominates in mires while deposition in lacustrine basins may be driven primarily by local and regional factors including watershed size, surficial materials,and regional vegetation. The shape of the gamma probability functions that best describe sedimentation rate distributions are calculated and presented here for use as priors in Bayesian age modelling applications such as BACON (Blaauw and Christen, in press). Future applications of this research are also discussed.

  9. Covalent assembly of poly(ethyleneimine) via layer-by-layer deposition for enhancing surface density of protein and bacteria attachment

    Energy Technology Data Exchange (ETDEWEB)

    Xia, Bing, E-mail: xiabing@njfu.edu.cn [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Advanced Analysis and Testing Center, Nanjing Forestry University, Nanjing 210037 (China); Shi, Jisen; Dong, Chen; Zhang, Wenyi; Lu, Ye [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Guo, Ping [Nanjing College of Information Technology, Nanjing 210023 (China)

    2014-02-15

    Covalently assembly of low molecular weight poly(ethyleneimine) was introduced to glass surfaces via glutaraldehyde crosslinking, with focus on its application on protein immobilization or bacteria attachment. Characterizations of Fourier transform infrared spectroscopy and ellipsometry measurement revealed a stepwise growth of poly(ethyleneimine) films by layer-by-layer deposition. After fluorescein isothiocyanate labelling, photoluminescence spectroscopy measurement indicated that the amount of surface accessible amine groups had been gradually enhanced with increasing poly(ethyleneimine) layers deposition. As compared with traditional aminosilanized surfaces, the surface density of amine groups was enhanced by ∼11 times after five layers grafting, which resulted in ∼9-time increasing of surface density of immobilized bovine serum albumin. Finally, these as-prepared PEI multi-films with excellent biocompatibility were adopted as culture substrates to improve Escherichia coli adherence, which showed that their surface density had been increased by ∼251 times.

  10. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  11. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  12. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  13. Composition and crystal structure of N doped TiO2 film deposited at different O2 flow rate by direct current sputtering.

    Science.gov (United States)

    Ding, Wanyu; Ju, Dongying; Chai, Weiping

    2011-06-01

    N doped Ti02 films were deposited by direct current pulse magnetron sputtering system at room temperature. The influence of 02 flow rate on the crystal structure of deposited films was studied by Stylus profilometer, X-ray photoelectron spectroscopy, and X-ray diffractometer. The results indicate that the 02 flow rate strongly controls the growth behavior and crystal structure of N doped Ti02 film. It is found that N element mainly exists as substitutional doped state and the chemical stiochiometry is near to TiO1.68±0.06N0.11±0.01 for all film samples. N doped Ti02 film deposited with 2 sccm (standard-state cubic centimeter per minute) 02 flow rate is amorphous structure with high growth rate, which contains both anatase phase and rutile phase crystal nucleuses. In this case, the film displays the mix-phase of anatase and rutile after annealing treatment. While N doped Ti02 film deposited with 12 cm(3)/min 02 flow rate displays anatase phase before and after annealing treatment. And it should be noticed that no TiN phase appears for all samples before and after annealing treatment. Copyright © 2011 The Research Centre for Eco-Environmental Sciences, Chinese Academy of Sciences. Published by Elsevier B.V. All rights reserved.

  14. Deposition and conversion in soil of acids, acid-forming substances and nutrients

    International Nuclear Information System (INIS)

    Mayer, R.

    1990-01-01

    Balancing of material depositions entries is the basis for their evaluation. The acid depositions must be put in relation to the acid neutralization capacity and to the buffer rate of the soil. Every 'excess' in depositons leads to an acid supply into the sub-soil and/or into the groundwater system. On the one hand, the nutrient depositions are interpreted in relation to the nutrient supplies of the soil and their availability to the plants; and on the other hand with a view to the nutrient depletion through the polants. Excesses can also lead to a (non-desirable) pollution of aquatic systems, or else to an enhanced nutrient supply in the soil. Balancing is therefore a necessary aid for the evaluation of material depositions from the atmosphere. (orig./EF) [de

  15. Uniform deposition of water-soluble CdS quantum dots on TiO2 nanotube arrays by cyclic voltammetric electrodeposition: Effectively prevent aggregation and enhance visible-light photocatalytic activity

    International Nuclear Information System (INIS)

    Zhang, Xiaojiao; Lin, Shiwei; Liao, Jianjun; Pan, Nengqian; Li, Danhong; Cao, Xiankun; Li, Jianbao

    2013-01-01

    Highlights: • Water-soluble CdS QDs were deposited on the TNTAs by DC electrodeposition, CV electrodeposition, and SILAR. • The CV method could effectively prevent the aggregation and uniformly deposit CdS QDs onto the TNTAs. • The CTAB/CdS/TNTAs prepared by the CV method exhibited superior photoelectrical properties and photocatalytic activity. -- Abstract: Water-soluble CdS quantum dots (QDs) covered with cationic surfactant-cetyltrimethylammonium bromide (CTAB) were deposited on the highly ordered TiO 2 nanotube arrays (TNTAs) by various methods, such as direct current (DC) electrodeposition, cyclic voltammetric (CV) electrodeposition, and successive ionic layer adsorption reaction (SILAR). The morphology measurements show that CTAB capping could well control the QD size and the CV method could effectively prevent the nanoparticle aggregation and uniformly deposit QDs onto TNTAs. Among all the deposition methods studied, the sample prepared by the CV method possesses superior photoelectrical properties and photocatalytic activity. A maximum photoconversion efficiency of 2.81% is achieved for the CdS/TNTAs prepared by CV electrodeposition, which exhibits about 17 times enhancement over the efficiency of the sample prepared by DC electrodeposition. And the photocatalytic degradation of methyl orange under visible-light irradiation demonstrates that the rate constant of the sample prepared by the CV method is almost seven times of that of the untreated TNTAs. Moreover, the underlying mechanism for the improving properties has been discussed

  16. Skin deposition and permeation of finasteride in vitro: effects of propylene glycol, ethanol and sodium lauryl sulfate.

    Science.gov (United States)

    Limpongsa, Ekapol; Jaipakdee, Napaphak; Pongjanyakul, Thaned

    2014-08-27

    Abstract The objective of this study was to investigate the effects of propylene glycol (PG), ethanol (EtOH) and sodium lauryl sulfate (SLS) on the in vitro deposition and permeation of finasteride (FNS). A side-by-side diffusion cell mounted with a pig ear skin and a saturated solution of FNS in PG (10, 20% v/v), EtOH (10, 20% v/v) or SLS (0.5, 1% w/v) vehicles were used. Incorporation of PG, EtOH or SLS caused a significant increase in FNS solubility both in the solution and on the skin with SLS > EtOH > PG. The results obtained from skin deposition studies showed that the FNS deposition rate and time increased in the same order as that of the solubility. The deposition kinetics of FNS solubilized in PG, EtOH and SLS vehicles followed either zero-order, square-root-of-time or pseudo-first-order kinetic models depending on the type and concentration of the enhancer. The permeation studies demonstrated that FNS permeation fluxes were enhanced only by EtOH vehicles. These results suggest that PG and SLS could be used as deposition enhancers, while EtOH could be the effective permeation enhancer of FNS. The obtained results can be used as the considerable insights for formulating the topical and transdermal products of FNS.

  17. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  18. Market Discipline and Deposit Insurance

    OpenAIRE

    Peresetsky, Anatoly

    2008-01-01

    The paper examines Russian banks’ household deposit interest rates for the transition period of setting up the deposit insurance system. Monthly observations of Russian banks’ interest rates and balance sheets are used in a fixed effects panel data model. It is shown market discipline has been significantly diminished after switching to the deposit insurance.

  19. The rf-power dependences of the deposition rate, the hardness and the corrosion-resistance of the chromium nitride film deposited by using a dual ion beam sputtering system

    International Nuclear Information System (INIS)

    Lim, Jongmin; Lee, Chongmu

    2006-01-01

    The hexavalent chromium used in chromium plating is so toxic that it is very hazardous to human body and possibly causes cancer in humans. Therefore, it is indispensable to develop an alternative deposition technique. Dependences of the deposition rate, the phases, the hardness, the surface roughness and the corrosion-resistance of CrN x deposited on the high speed steel substrate by using a dual ion beam sputtering system on the rf-power were investigated to see the feasibility of sputtering as an alternative technique for chromium plating. The dual ion beam sputtering system used in this study was designed in such a way as the primary argon ion beam and the secondary nitrogen ion beam are injected toward the target and the substrate, respectively so that the chromium atoms at the chromium target surface may not nearly react with nitrogen atoms. The hardness and the surface roughness were measured by a micro-Vicker's hardness tester and an atomic force microscope (AFM), respectively. X-ray diffraction analyses were performed to identify phases in the films. The deposition rate of CrN x depends more strongly upon the rf-power for argon ion beam than that for nitrogen ion beam. The hardness of the CrN x film is highest when the volume percent of the Cr 2 N phase in the film is highest. Amorphous films are obtained when the rf-power for nitrogen ion beam is much higher than that for argon ion beam. The CrN x film deposited by using the sputtering technique under the optimal condition provides corrosion-resistance comparable to that of the electroplated chromium

  20. Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity.

    Science.gov (United States)

    Liao, Shih-Yun; Yang, Ya-Chu; Huang, Sheng-Hsin; Gan, Jon-Yiew

    2017-04-29

    Pt@TiO2@CNTs hierarchical structures were prepared by first functionalizing carbon nanotubes (CNTs) with nitric acid at 140 °C. Coating of TiO2 particles on the CNTs at 300 °C was then conducted by atomic layer deposition (ALD). After the TiO2@CNTs structure was fabricated, Pt particles were deposited on the TiO2 surface as co-catalyst by plasma-enhanced ALD. The saturated deposition rates of TiO2 on a-CNTs were 1.5 Å/cycle and 0.4 Å/cycle for substrate-enhanced process and linear process, respectively. The saturated deposition rate of Pt on TiO2 was 0.39 Å/cycle. The photocatalytic activities of Pt@TiO2@CNTs hierarchical structures were higher than those without Pt co-catalyst. The particle size of Pt on TiO2@CNTs was a key factor to determine the efficiency of methylene blue (MB) degradation. The Pt@TiO2@CNTs of 2.41 ± 0.27 nm exhibited the best efficiency of MB degradation.

  1. Rates of Return on Open-End Debt Investment Funds and Bank Deposits in Poland in the Years 1995–2015 – A Comparative Analysis

    Directory of Open Access Journals (Sweden)

    Dittmann Iwona

    2016-12-01

    Full Text Available This paper presents the results of a comparison of the rates of return on specific open-end debt investment funds in Poland with the rates of return on bank deposits, in light of different time horizons. A comparative analysis was conducted based on the quartiles of the empirical distributions of the rates of return on selected funds and bank deposits. The empirical distributions were obtained using a moving window of observation. The results were largely influenced by very high interest rates on bank deposits in Poland in the years 1995–2001 (in the case of the oldest funds, and by the boom in the bond market in the years 2011–2012 (for the youngest funds. The investment horizon turned out to be significant. The best and worst funds were identified.

  2. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  3. Deposition of superconducting (Cu, C)-Ba-O films by pulsed laser deposition at moderate temperature

    International Nuclear Information System (INIS)

    Yamamoto, Tetsuro; Kikunaga, Kazuya; Obara, Kozo; Terada, Norio; Kikuchi, Naoto; Tanaka, Yasumoto; Tokiwa, Kazuyasu; Watanabe, Tsuneo; Sundaresan, Athinarayanan; Shipra

    2007-01-01

    Superconducting (Cu, C)-Ba-O thin films have been epitaxially grown on (100) SrTiO 3 at a low growth temperature of 500-600 deg. C by pulsed laser deposition. The dependences of their crystallinity and transport properties on preparation conditions have been investigated in order to clarify the dominant parameters for carbon incorporation and the emergence of superconductivity. It has been revealed that the CO 3 content in the films increases with increasing both the parameters of partial pressure of CO 2 during film growth and those of growth rate and enhancement of superconducting properties. The present study has also revealed that the structural and superconducting properties of the (Cu, C)-Ba-O films are seriously deteriorated by the irradiation of energetic particles during deposition. Suppression of the radiation damage is another key for a high and uniform superconducting transition. By these optimizations, a superconducting onset temperature above 50 K and a zero-resistance temperature above 40 K have been realized

  4. Enhancement in (BHmax of PLD-made isotropic Nd-Fe-B thick film magnets deposited on Si substrates

    Directory of Open Access Journals (Sweden)

    M. Nakano

    2017-05-01

    Full Text Available Increase in Nd contents of a PLD-made isotropic Nd-Fe-B thick-film magnet enabled us to enhance the thickness of the film magnet deposited on a Si substrate because the linear expansion coefficient of Nd is an intermediate value between Nd2Fe14B and Si. The large amount of Nd, however, degraded the residual magnetic polarization and (BHmax. In the study, we reduced the Nd contents of each Nd-Fe-B film by inserting a Nd or a Nd-rich Nd-Fe-B buffer layer between a Nd-Fe-B film and a Si substrate in order to suppress the mechanical destruction together with the improvement in magnetic properties. It was found that the mechanical property of a Nd-Fe-B film comprising the Nd-Fe-B buffer layer in the thickness range from 10 to 60 μm was superior than that of a sample with the Nd buffer layer. Resultantly, an average (BHmax value of Nd-Fe-B films with each Nd-Fe-B buffer layer deposited on Si substrates could be enhanced by approximately 15 kJ/m3 compared to that of non-buffer-layered films.

  5. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  6. Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, H.; Detavenier, C.; Straten, O. van der; Rossnagel, S.M.; Kellock, A.J.; Park, D.-G.

    2005-01-01

    TaN x diffusion barriers with good barrier properties at subnanometer thickness were deposited by plasma-enhanced atomic layer deposition (PE-ALD) from pentakis(dimethylamino)Ta. Hydrogen and/or nitrogen plasma was used as reactants to produce TaN x thin films with a different nitrogen content. The film properties including the carbon and oxygen impurity content were affected by the nitrogen flow during the process. The deposited film has nanocrystalline grains with hydrogen-only plasma, while the amorphous structure was obtained for nitrogen plasma. The diffusion barrier properties of deposited TaN films for Cu interconnects have been studied by thermal stress test based on synchrotron x-ray diffraction. The results indicate that the PE-ALD TaN films are good diffusion barriers even at a small thickness as 0.6 nm. Better diffusion barrier properties were obtained for higher nitrogen content. Based on a diffusion kinetics analysis, the nanocrystalline microstructure of the films was responsible for the better diffusion barrier properties compared to polycrystalline PE-ALD TaN films deposited from TaCl 5

  7. Chlorination of bromide-containing waters: enhanced bromate formation in the presence of synthetic metal oxides and deposits formed in drinking water distribution systems.

    Science.gov (United States)

    Liu, Chao; von Gunten, Urs; Croué, Jean-Philippe

    2013-09-15

    Bromate formation from the reaction between chlorine and bromide in homogeneous solution is a slow process. The present study investigated metal oxides enhanced bromate formation during chlorination of bromide-containing waters. Selected metal oxides enhanced the decay of hypobromous acid (HOBr), a requisite intermediate during the oxidation of bromide to bromate, via (i) disproportionation to bromate in the presence of nickel oxide (NiO) and cupric oxide (CuO), (ii) oxidation of a metal to a higher valence state in the presence of cuprous oxide (Cu2O) and (iii) oxygen formation by NiO and CuO. Goethite (α-FeOOH) did not enhance either of these pathways. Non-charged species of metal oxides seem to be responsible for the catalytic disproportionation which shows its highest rate in the pH range near the pKa of HOBr. Due to the ability to catalyze HOBr disproportionation, bromate was formed during chlorination of bromide-containing waters in the presence of CuO and NiO, whereas no bromate was detected in the presence of Cu2O and α-FeOOH for analogous conditions. The inhibition ability of coexisting anions on bromate formation at pH 8.6 follows the sequence of phosphate > sulfate > bicarbonate/carbonate. A black deposit in a water pipe harvested from a drinking water distribution system exerted significant residual oxidant decay and bromate formation during chlorination of bromide-containing waters. Energy dispersive spectroscopy (EDS) analyses showed that the black deposit contained copper (14%, atomic percentage) and nickel (1.8%, atomic percentage). Cupric oxide was further confirmed by X-ray diffraction (XRD). These results indicate that bromate formation may be of concern during chlorination of bromide-containing waters in distribution systems containing CuO and/or NiO. Copyright © 2013 Elsevier Ltd. All rights reserved.

  8. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  9. Thermal post-deposition treatment effects on nanocrystalline hydrogenated silicon prepared by PECVD under different hydrogen flow rates

    Energy Technology Data Exchange (ETDEWEB)

    Amor, Sana Ben, E-mail: sana.benamor1@gmail.com [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia); University of Applied Medical Sciences of Hafr El Baten (Saudi Arabia); Meddeb, Hosny; Daik, Ridha; Othman, Afef Ben; Slama, Sonia Ben; Dimassi, Wissem; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-01-01

    Graphical abstract: At high annealing temperatures, many atoms do not suffer the attraction of surface species due to the thermal agitation and consequently few atoms are adsorbed. As the temperature is lowered the adsorption is more efficient to the point that is no more atoms in the gas phase. Indeed at relatively low temperatures, the atoms have too little energy to escape from the surface or even to vibrate against it. They lost their degree of freedom in the direction perpendicular to the surface. But this does not prevent the atoms to diffuse along the surface. As a result, the layer's thickness decrease with increasing the annealing temperature. - Highlights: The results extracted from this work are: • The post-deposition thermal treatment improves the crystallinity the film at moderate temperature (500 °C). • The higher annealing temperature can lead to decrease the silicon–hydrogen bonds and increase the Si–Si bonds. • Moderate annealing temperature (700 °C) seems to be crucial for obtaining high minority carrier life times. • Hydrogen effusion phenomenon start occurring at 500–550 °C and get worsen at 900 °C. - Abstract: In this paper, hydrogenated nanocrystalline silicon (nc-Si:H) thin films were deposited on mono-crystalline silicon substrate by plasma enhanced chemical vapor deposition (PECVD) under different hydrogen flow rates followed by a thermal treatment in an infrared furnace at different temperature ranging from 300 to 900 °C. The investigated structural, morphological and optoelectronic properties of samples were found to be strongly dependent on the annealing temperature. Raman spectroscopy revealed that nc-Si:H films contain crystalline, amorphous and mixed structures as well. We find that post-deposition thermal treatment may lead to a tendency for structural improvement and a decrease of the disorder in the film network at moderate temperature under 500 °C. As for annealing at higher temperature up to 900

  10. SU-G-TeP3-13: The Role of Nanoscale Energy Deposition in the Development of Gold Nanoparticle-Enhanced Radiotherapy

    International Nuclear Information System (INIS)

    Kirkby, C; Koger, B; Suchowerska, N; McKenzie, D

    2016-01-01

    Purpose: Gold nanoparticles (GNPs) can enhance radiotherapy effects. The high photoelectric cross section of gold relative to tissue, particularly at lower energies, leads to localized dose enhancement. However in a clinical context, photon energies must also be sufficient to reach a target volume at a given depth. These properties must be balanced to optimize such a therapy. Given that nanoscale energy deposition patterns around GNPs play a role in determining biological outcomes, in this work we seek to establish their role in this optimization process. Methods: The PENELOPE Monte Carlo code was used to generate spherical dose deposition kernels in 1000 nm diameter spheres around 50 nm diameter GNPs in response to monoenergetic photons incident on the GNP. Induced “lesions” were estimated by either a local effect model (LEM) or a mean dose model (MDM). The ratio of these estimates was examined for a range of photon energies (10 keV to 2 MeV), for three sets of linear-quadratic parameters. Results: The models produce distinct differences in expected lesion values, the lower the alpha-beta ratio, the greater the difference. The ratio of expected lesion values remained constant within 5% for energies of 40 keV and above across all parameter sets and rose to a difference of 35% for lower energies only for the lowest alpha-beta ratio. Conclusion: Consistent with other work, these calculations suggest nanoscale energy deposition patterns matter in predicting biological response to GNP-enhanced radiotherapy. However the ratio of expected lesions between the different models is largely independent of energy, indicating that GNP-enhanced radiotherapy scenarios can be optimized in photon energy without consideration of the nanoscale patterns. Special attention may be warranted for energies of 20 keV or below and low alpha-beta ratios.

  11. SU-G-TeP3-13: The Role of Nanoscale Energy Deposition in the Development of Gold Nanoparticle-Enhanced Radiotherapy

    Energy Technology Data Exchange (ETDEWEB)

    Kirkby, C [Jack Ady Cancer Centre, Lethbridge, AB (Canada); The University of Calgary, Calgary, AB (Canada); Koger, B [The University of Calgary, Calgary, AB (Canada); Suchowerska, N [Chris O’Brien Lifehouse Camperdown, NSW (Australia); McKenzie, D [University of Sydney, Sydney, NSW (Australia)

    2016-06-15

    Purpose: Gold nanoparticles (GNPs) can enhance radiotherapy effects. The high photoelectric cross section of gold relative to tissue, particularly at lower energies, leads to localized dose enhancement. However in a clinical context, photon energies must also be sufficient to reach a target volume at a given depth. These properties must be balanced to optimize such a therapy. Given that nanoscale energy deposition patterns around GNPs play a role in determining biological outcomes, in this work we seek to establish their role in this optimization process. Methods: The PENELOPE Monte Carlo code was used to generate spherical dose deposition kernels in 1000 nm diameter spheres around 50 nm diameter GNPs in response to monoenergetic photons incident on the GNP. Induced “lesions” were estimated by either a local effect model (LEM) or a mean dose model (MDM). The ratio of these estimates was examined for a range of photon energies (10 keV to 2 MeV), for three sets of linear-quadratic parameters. Results: The models produce distinct differences in expected lesion values, the lower the alpha-beta ratio, the greater the difference. The ratio of expected lesion values remained constant within 5% for energies of 40 keV and above across all parameter sets and rose to a difference of 35% for lower energies only for the lowest alpha-beta ratio. Conclusion: Consistent with other work, these calculations suggest nanoscale energy deposition patterns matter in predicting biological response to GNP-enhanced radiotherapy. However the ratio of expected lesions between the different models is largely independent of energy, indicating that GNP-enhanced radiotherapy scenarios can be optimized in photon energy without consideration of the nanoscale patterns. Special attention may be warranted for energies of 20 keV or below and low alpha-beta ratios.

  12. Evaluation of iron deposits in the reticuloendothelial system using T2-relaxation rate of MRI. Relation with serum ferritin and Fe concentration

    International Nuclear Information System (INIS)

    Ootsuka, Kae; Togami, Izumi; Kitagawa, Takahiro

    1996-01-01

    MR imaging is a useful non-invasive technique to detect iron deposits in many organs, but it is difficult to evaluate quantitatively. This study was performed to determine the possibility whether T2 relaxation rate (1/T2) could quantify iron deposits in the reticuloendothelial system (liver, spleen and bone marrow) of 11 patients and four normal volunteers. A moderate correlation was obtained between T2-relaxation rate and the serum ferritin level. These results suggest that T2-relaxation rate may provide useful information for the repeated quantitative evaluation of patients with iron-overload-syndromes. (author)

  13. Novel texturing method for sputtered zinc oxide films prepared at high deposition rate from ceramic tube targets

    Directory of Open Access Journals (Sweden)

    Hüpkes J.

    2011-10-01

    Full Text Available Sputtered and wet-chemically texture etched zinc oxide (ZnO films on glass substrates are regularly applied as transparent front contact in silicon based thin film solar cells. In this study, chemical wet etching in diluted hydrofluoric acid (HF and subsequently in diluted hydrochloric acid (HCl on aluminum doped zinc oxide (ZnO:Al films deposited by magnetron sputtering from ceramic tube targets at high discharge power (~10 kW/m target length is investigated. Films with thickness of around 800 nm were etched in diluted HCl acid and HF acid to achieve rough surface textures. It is found that the etching of the films in both etchants leads to different surface textures. A two steps etching process, which is especially favorable for films prepared at high deposition rate, was systematically studied. By etching first in diluted hydrofluoric acid (HF and subsequently in diluted hydrochloric acid (HCl these films are furnished with a surface texture which is characterized by craters with typical diameter of around 500 − 1000 nm. The resulting surface structure is comparable to etched films sputtered at low deposition rate, which had been demonstrated to be able to achieve high efficiencies in silicon thin film solar cells.

  14. An enhanced approach for the use of satellite-derived leaf area index values in dry deposition modeling in the Athabasca oil sands region.

    Science.gov (United States)

    Davies, Mervyn; Cho, Sunny; Spink, David; Pauls, Ron; Desilets, Michael; Shen, Yan; Bajwa, Kanwardeep; Person, Reid

    2016-12-15

    In the Athabasca oil sands region (AOSR) of Northern Alberta, the dry deposition of sulphur and nitrogen compounds represents a major fraction of total (wet plus dry) deposition due to oil sands emissions. The leaf area index (LAI) is a critical parameter that affects the dry deposition of these gaseous and particulate compounds to the surrounding boreal forest canopy. For this study, LAI values based on Moderate Resolution Imaging Spectroradiometer satellite imagery were obtained and compared to ground-based measurements, and two limitations with the satellite data were identified. The satellite LAI data firstly represents one-sided LAI values that do not account for the enhanced LAI associated with needle leaf geometry, and secondly, underestimates LAI in winter-time northern latitude regions. An approach for adjusting satellite LAI values for different boreal forest cover types, as a function of time of year, was developed to produce more representative LAI values that can be used by air quality sulphur and nitrogen deposition models. The application of the approach increases the AOSR average LAI for January from 0.19 to 1.40, which represents an increase of 637%. Based on the application of the CALMET/CALPUFF model system, this increases the predicted regional average dry deposition of sulphur and nitrogen compounds for January by factors of 1.40 to 1.30, respectively. The corresponding AOSR average LAI for July increased from 2.8 to 4.0, which represents an increase of 43%. This increases the predicted regional average dry deposition of sulphur and nitrogen compounds for July by factors of 1.28 to 1.22, respectively. These findings reinforce the importance of the LAI metric for predicting the dry deposition of sulphur and nitrogen compounds. While satellite data can provide enhanced spatial and temporal resolution, adjustments are identified to overcome associated limitations. This work is considered to have application for other deposition model studies where

  15. In Situ Denitrification and Biological Nitrogen Fixation Under Enhanced Atmospheric Reactive Nitrogen Deposition in UK Peatlands

    Science.gov (United States)

    Ullah, Sami; Saiz Val, Ernesto; Sgouridis, Fotis; Peichl, Matthias; Nilsson, Mats

    2017-04-01

    Dinitrogen (N2) and nitrous oxide (N2O) losses due to denitrification and biological N2 fixation (BNF) are the most uncertain components of the nitrogen (N) cycle in peatlands under enhanced atmospheric reactive nitrogen (Nr) deposition. This uncertainty hampers our ability to assess the contribution of denitrification to the removal of biologically fixed and/or atmospherically deposited Nr in peatlands. This uncertainty emanates from the difficulty in measuring in situ soil N2 and N2O production and consumption in peatlands. In situ denitrification and its contribution to total N2O flux was measured monthly between April 2013 and October 2014 in peatlands in two UK catchments. An adapted 15N-Gas Flux method1 with low level addition of 15N tracer (0.03 ± 0.005 kg 15N ha-1) was used to measure denitrification and its contribution to net N2O production (DN2O/TN2O). BNF was measured in situ through incubation of selected sphagnum species under 15N2 gas tracer. Denitrification2 varied temporally and averaged 8 kg N-N2 ha-1 y-1. The contribution of denitrification was about 48% to total N2O flux3 of 0.05 kg N ha-1 y-1. Soil moisture, temperature, ecosystem respiration, pH and mineral N content mainly regulated the flux of N2 and N2O. Preliminary results showed suppression of BNF, which was 1.8 to 7 times lower in peatland mosses exposed to ˜15 to 20 kg N ha-1 y-1 Nr deposition in the UK than in peatland mosses in northern Sweden with background Nr deposition. Overall, the contribution of denitrification to Nr removal in the selected peatlands was ˜50% of the annual Nr deposition rates, making these ecosystems vulnerable to chronic N saturation. These results point to a need for a more comprehensive annual BNF measurement to more accurately account for total Nr input into peatlands and its atmospheric loss due to denitrification. References Sgouridis F, Stott A & Ullah S, 2016. Application of the 15N-Gas Flux method for measuring in situ N2 and N2O fluxes due to

  16. Continuous production of nanostructured particles using spatial atomic layer deposition

    International Nuclear Information System (INIS)

    Ommen, J. Ruud van; Kooijman, Dirkjan; Niet, Mark de; Talebi, Mojgan; Goulas, Aristeidis

    2015-01-01

    In this paper, the authors demonstrate a novel spatial atomic layer deposition (ALD) process based on pneumatic transport of nanoparticle agglomerates. Nanoclusters of platinum (Pt) of ∼1 nm diameter are deposited onto titania (TiO 2 ) P25 nanoparticles resulting to a continuous production of an active photocatalyst (0.12–0.31 wt. % of Pt) at a rate of about 1 g min −1 . Tuning the precursor injection velocity (10–40 m s −1 ) enhances the contact between the precursor and the pneumatically transported support flows. Decreasing the chemisorption temperature (from 250 to 100 °C) results in more uniform distribution of the Pt nanoclusters as it decreases the reaction rate as compared to the rate of diffusion into the nanoparticle agglomerates. Utilizing this photocatalyst in the oxidation reaction of Acid Blue 9 showed a factor of five increase of the photocatalytic activity compared to the native P25 nanoparticles. The use of spatial particle ALD can be further expanded to deposition of nanoclusters on porous, micron-sized particles and to the production of core–shell nanoparticles enabling the robust and scalable manufacturing of nanostructured powders for catalysis and other applications

  17. Dry deposition of gaseous oxidized mercury in Western Maryland.

    Science.gov (United States)

    Castro, Mark S; Moore, Chris; Sherwell, John; Brooks, Steve B

    2012-02-15

    The purpose of this study was to directly measure the dry deposition of gaseous oxidized mercury (GOM) in western Maryland. Annual estimates were made using passive ion-exchange surrogate surfaces and a resistance model. Surrogate surfaces were deployed for seventeen weekly sampling periods between September 2009 and October 2010. Dry deposition rates from surrogate surfaces ranged from 80 to 1512 pgm(-2)h(-1). GOM dry deposition rates were strongly correlated (r(2)=0.75) with the weekly average atmospheric GOM concentrations, which ranged from 2.3 to 34.1 pgm(-3). Dry deposition of GOM could be predicted from the ambient air concentrations of GOM using this equation: GOM dry deposition (pgm(-2)h(-1))=43.2 × GOM concentration-80.3. Dry deposition velocities computed using GOM concentrations and surrogate surface GOM dry deposition rates, ranged from 0.2 to 1.7 cms(-1). Modeled dry deposition rates were highly correlated (r(2)=0.80) with surrogate surface dry deposition rates. Using the overall weekly average surrogate surface dry deposition rate (369 ± 340 pg m(-2)h(-1)), we estimated an annual GOM dry deposition rate of 3.2 μg m(-2)year(-1). Using the resistance model, we estimated an annual GOM dry deposition rate of 3.5 μg m(-2)year(-1). Our annual GOM dry deposition rates were similar to the dry deposition (3.3 μg m(-2)h(-1)) of gaseous elemental mercury (GEM) at our site. In addition, annual GOM dry deposition was approximately 1/2 of the average annual wet deposition of total mercury (7.7 ± 1.9 μg m(-2)year(-1)) at our site. Total annual mercury deposition from dry deposition of GOM and GEM and wet deposition was approximately 14.4 μg m(-2)year(-1), which was similar to the average annual litterfall deposition (15 ± 2.1 μg m(-2)year(-1)) of mercury, which was also measured at our site. Copyright © 2012 Elsevier B.V. All rights reserved.

  18. A marine biogeochemical perspective on black shale deposition

    Science.gov (United States)

    Piper, D. Z.; Calvert, S. E.

    2009-06-01

    depletion of O 2 in the bottom water. A high rate of burial of labile organic matter, albeit a low percentage of primary productivity, in turn promoted anoxic conditions in the sediment pore waters that enhanced retention of trace metals deposited from the water column.

  19. Bismuth nanowire growth under low deposition rate and its ohmic contact free of interface damage

    Directory of Open Access Journals (Sweden)

    Ye Tian

    2012-03-01

    Full Text Available High quality bismuth (Bi nanowire and its ohmic contact free of interface damage are quite desired for its research and application. In this paper, we propose one new way to prepare high-quality single crystal Bi nanowires at a low deposition rate, by magnetron sputtering method without the assistance of template or catalyst. The slow deposition growth mechanism of Bi nanowire is successfully explained by an anisotropic corner crossing effect, which is very different from existing explanations. A novel approach free of interface damage to ohmic contact of Bi nanowire is proposed and its good electrical conductivity is confirmed by I-V characteristic measurement. Our method provides a quick and convenient way to produce high-quality Bi nanowires and construct ohmic contact for desirable devices.

  20. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  1. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    Science.gov (United States)

    Wada, Takao; Ueda, Noriaki

    2013-01-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature. PMID:23674843

  2. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    Science.gov (United States)

    Wada, Takao; Ueda, Noriaki

    2013-04-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature.

  3. Adhesion-enhanced thick copper film deposition on aluminum oxide by an ion-beam-mixed Al seed layer

    International Nuclear Information System (INIS)

    Kim, Hyung-Jin; Park, Jae-Won

    2012-01-01

    We report a highly-adherent 30-μm Cu conductive-path coating on an aluminum-oxide layer anodized on an aluminum-alloy substrate for a metal-printed circuit-board application. A 50-nm Al layer was first coated with an e-beam evaporative deposition method on the anodized oxide, followed by ion bombardment to mix the interfacial region. Subsequently, a Cu coating was deposited onto the mixed seed layer to the designed thickness. Adhesions of the interface were tested by using tape adhesion test, and pull-off tests and showed commercially acceptable adhesions for such thick coating layers. The ion beam mixing (IBM) plays the role of fastening the thin seed coating layer to the substrate and enhancing the adhesion of the Cu conductive path on the anodized aluminum surface.

  4. The Reaction of the WIG Stock Market Index to Changes in the Interest Rates on Bank Deposits

    Directory of Open Access Journals (Sweden)

    Grzegorz Przekota

    2010-01-01

    Full Text Available Determination of the relationship between the money market and capital market is particularly important from the point of view of taking a decision on the location of investment capital. It may help to forecast future states. This study seeks to determine the relationship of the interest rate on deposits in zloty with the WIG stock index and the volume of turnover on the Warsaw Stock Exchange. Analysis of correlation and VAR models are used. Analysis of long-term correlation indicates a negative relationship between the interest rate on deposits in banks and the value of the WIG stock-index. However, this may be spurious. The dependence between these variables may be more complex and should rather be seen as short term. It seems that in general the impact of an increase in interest rates on the value of the WIG index is negative in the short term, just as in the long term. In addition, in the short term these variables can move in the same direction. The results obtained in the research are consistent with results obtained for other national markets. This applies in particular to the relatively weak, negative correlation described above. (original abstract

  5. Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2 : Large area, thickness control and tuneable morphology

    NARCIS (Netherlands)

    Sharma, A.; Verheijen, M.A.; Wu, L.; Karwal, S.; Vandalon, V.; Knoops, H.C.M.; Sundaram, R.S.; Hofmann, J.P.; Kessels, W.M.M.; Bol, A.A.

    2018-01-01

    Low-temperature controllable synthesis of monolayer-to-multilayer thick MoS2 with tuneable morphology is demonstrated by using plasma enhanced atomic layer deposition (PEALD). The characteristic self-limiting ALD growth with a growth-per-cycle of 0.1 nm per cycle and digital thickness control down

  6. Deposition behavior of colloid in filtration process through glass beads packed bed

    International Nuclear Information System (INIS)

    Chinju, Hirofumi; Nagasaki, Shinya; Tanaka, Satoru; Tanaka, Tadao; Takebe, Shinichi; Ogawa, Hiromichi

    1999-01-01

    We investigated the deposition behavior in colloid transport through porous media by conducting column experiments and batch experiments using polystyrene latex particles and spherical glass beads. The conclusion of this present work are summarized as follows: (1) The comparison between the results of the batch and the column experiments indicated that the deposition was enhanced in the column experiments compared with the batch experiments due to particles trapped by the effect of slow field. (2) Colloid BTCs showed three different stages of deposition which can be characterized by the different rate of the change in the C/C O . Three stages can be explained by the existence of large area of weak deposition sites and small area of strong deposition sites on the collector surfaces. (3) The amount of deposited particles until the beginning of the third stage was larger for lower flow velocity. (4) The results of the column experiments revealed that breakthrough behavior of colloidal particles of the second run after back wash process is affected by remaining particles on collector surfaces. (J.P.N.)

  7. Increasing the deposition rate of microcrystalline and amorphous silicon thin films for photovoltaic applications - Phase IV: 1997-1999

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-07-01

    This report on behalf of the Swiss Federal Office of Energy (SFOE) describes Phase IV of the project to test the feasibility and usefulness of Very High Frequency (VHF) plasma operation in large-area reactors suitable for the production of solar cell panels using thinly-deposited micro-crystalline silicon films. The report discusses the results of fast-deposition tests and trials using high-current DC arcs and VHF techniques to obtain deposition rates and film quality suitable for industrial processes for the production of thin-film solar cell panels. The effects of alternative plasma chemistry were also studied by adding silicon tetrafluoride to the standard silane/hydrogen mixtures. The report is concluded with calculations for optimum radio-frequency (RF) contact configuration for large area reactors with 1 m{sup 2} electrodes.

  8. Dissolution rate enhancement of piroxicam by ordered mixing.

    Science.gov (United States)

    Saharan, Vikas Anand; Choudhury, Pratim Kumar

    2012-07-01

    Micronized piroxicam was mixed with lactose, mannitol, sorbitol, maltitol and sodium chloride to produce ordered mixture in a glass vial by manual hand shaking method. The effect of excipients, surfactant, superdisintegrant, drug concentration and carrier particle size on dissolution rate was investigated. Dissolution rate studies of the prepared ordered mixtures revealed that all water soluble excipients increased the dissolution rate of piroxicam when compared to the dissolution rate of piroxicam or its suspension. Ordered mixture formulation PLF4, consisting of lactose as water soluble excipient, SSG (8% w/s) and SLS (1% w/w), released piroxcam at a very fast rate so much so that about 90% of the composition had passed into solution within 2 min. The order of the dissolution rate enhancement for ordered mixtures of various water soluble excipients was: lactose > mannitol > maltitol > sorbitol > sodium chloride. Carrier granules of size 355-710 µm were most effective in increasing the dissolution rate of drug from ordered mixtures. Decreasing the carrier particle size reduced drug dissolution from ordered mixtures. The dissolution rate of ordered mixtures consisting of 1-5% w/w piroxicam was superior to dissolution rate of piroxicam suspension. The dissolution data fitting and the resulting regression parameters indicated Hixson Crowell, cube root law, as the best fit to drug release data of ordered mixtures.

  9. Modelling of diamond deposition microwave cavity generated plasmas

    International Nuclear Information System (INIS)

    Hassouni, K; Silva, F; Gicquel, A

    2010-01-01

    Some aspects of the numerical modelling of diamond deposition plasmas generated using microwave cavity systems are discussed. The paper mainly focuses on those models that allow (i) designing microwave cavities in order to optimize the power deposition in the discharge and (ii) estimating the detailed plasma composition in the vicinity of the substrate surface. The development of hydrogen plasma models that may be used for the self-consistent simulation of microwave cavity discharge is first discussed. The use of these models for determining the plasma configuration, composition and temperature is illustrated. Examples showing how to use these models in order to optimize the cavity structure and to obtain stable process operations are also given. A transport model for the highly reactive H 2 /CH 4 moderate pressure discharges is then presented. This model makes possible the determination of the time variation of plasma composition and temperature on a one-dimensional domain located on the plasma axis. The use of this model to analyse the transport phenomena and the chemical process in diamond deposition plasmas is illustrated. The model is also utilized to analyse pulsed mode discharges and the benefit they can bring as far as diamond growth rate and quality enhancement are concerned. We, in particular, show how the model can be employed to optimize the pulse waveform in order to improve the deposition process. Illustrations on how the model can give estimates of the species density at the growing substrate surface over a wide domain of deposition conditions are also given. This brings us to discuss the implication of the model prediction in terms of diamond growth rate and quality. (topical review)

  10. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  11. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  12. Turnover of texture in low rate sputter-deposited nanocrystalline molybdenum films

    International Nuclear Information System (INIS)

    Druesedau, T.P.; Klabunde, F.; Loehmann, M.; Hempel, T.; Blaesing, J.

    1997-01-01

    The crystallite size and orientation in molybdenum films prepared by magnetron sputtering at a low rate of typical 1 (angstrom)s and a pressure of 0.45 Pa was investigated by X-ray diffraction and texture analysis. The surface topography was studied using atomic force microscopy. Increasing the film thickness from 20 nm to 3 microm, the films show a turnover from a (110) fiber texture to a (211) mosaic-like texture. In the early state of growth (20 nm thickness) the development of dome-like structures on the surface is observed. The number of these structures increases with film thickness, whereas their size is weakly influenced. The effect of texture turnover is reduced by increasing the deposition rate by a factor of six, and it is absent for samples mounted above the center of the magnetron source. The effect of texture turnover is related to the bombardment of the films with high energetic argon neutrals resulting from backscattering at the target under oblique angle and causing resputtering. Due to the narrow angular distribution of the reflected argon, bombardment of the substrate plane is inhomogeneous and only significant for regions close to the erosion zone of the magnetron

  13. Colloidal lithography with electrochemical nickel deposition as a unique method for improved silver decorated nanocavities in SERS applications

    Science.gov (United States)

    Petruš, Ondrej; Oriňak, Andrej; Oriňaková, Renáta; Orságová Králová, Zuzana; Múdra, Erika; Kupková, Miriam; Kovaľ, Karol

    2017-11-01

    Two types of metallised nanocavities (single and hybrid) were fabricated by colloid lithography followed by electrochemical deposition of Ni and subsequently Ag layers. Introductory Ni deposition step iniciates more homogenous decoration of nanocavities with Ag nanoparticles. Silver nanocavity decoration has been so performed with lower nucleation rate and with Ag nanoparticles homogeinity increase. By this, two step Ni and Ag deposition trough polystyrene nanospheres (100, 300, 500, 700, 900 nm), the various Ag surfaces were obtained. Ni layer formation in the first step of deposition enabled more precise controlling of Ag film deposition and thus final Ag surface morphology. Prepared substrates were tested as active surfaces in SERS application. The best SERS signal enhancement was observed at 500 nm Ag nanocavities with normalised thickness Ni layer ∼0.5. Enhancement factor has been established at value 1.078 × 1010; time stability was determined within 13 weeks; charge distribution at nanocavity Ag surfaces as well as reflection spectra were calculated by FDTD method. Newly prepared nanocavity surface can be applied in SERS analysis, predominantly.

  14. Study on the effect of deposition rate and concentration of Eu on the fluorescent lifetime of CsI: Tl thin film

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Yijun; Guo, Lina [University of Electronic Science and Technology of China, State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, Chengdu 610054 (China); Liu, Shuang, E-mail: shuangliu@uestc.edu.cn [University of Electronic Science and Technology of China, State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, Chengdu 610054 (China); Wang, Qianfeng; Zhang, Shangjian; Liu, Yong [University of Electronic Science and Technology of China, State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, Chengdu 610054 (China); Zhong, Zhiyong [University of Electronic Science and Technology of China, State Key Laboratory of Electronic Thin Films and Integrated Devices, Chengdu 610054 (China)

    2017-06-21

    Although there are many new scintillators being developed recently, CsI: Tl is still very efficient among them. The fluorescent lifetime is a very important parameter of CsI: Tl thin film and two series of experiments have been conducted to learn about it. Our experiments, however, have demonstrated that the deposition rate and the codoping of Eu{sup 2+} will significantly influence its fluorescent lifetime. In order to increase the efficiency of the imaging system, we intend to obtain a higher fluorescent lifetime for CsI: Tl thin film by controlling these two conditions. - Highlights: • We used vacuum vapor deposition method to grow the high-quality thin films. • The relationship between the deposition rate and the fluorescent lifetime of CsI: Tl thin film was tested. • Concentration of Eu on fluorescent lifetime of the CsI: Tl thin film was studied.

  15. Pentacene thin-film transistors and inverters with plasma-enhanced atomic-layer-deposited Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Koo, Jae Bon; Lim, Jung Wook; Kim, Seong Hyun; Yun, Sun Jin; Ku, Chan Hoe; Lim, Sang Chul; Lee, Jung Hun

    2007-01-01

    The performances of pentacene thin-film transistor with plasma-enhanced atomic-layer-deposited (PEALD) 150 nm thick Al 2 O 3 dielectric are reported. Saturation mobility of 0.38 cm 2 /V s, threshold voltage of 1 V, subthreshold swing of 0.6 V/decade, and on/off current ratio of about 10 8 have been obtained. Both depletion and enhancement mode inverter have been realized with the change of treatment method of hexamethyldisilazane on PEALD Al 2 O 3 gate dielectric. Full swing depletion mode inverter has been demonstrated at input voltages ranging from 5 V to - 5 V at supply voltage of - 5 V

  16. MAPLE deposition of nanomaterials

    International Nuclear Information System (INIS)

    Caricato, A.P.; Arima, V.; Catalano, M.; Cesaria, M.; Cozzoli, P.D.; Martino, M.; Taurino, A.; Rella, R.; Scarfiello, R.; Tunno, T.; Zacheo, A.

    2014-01-01

    The matrix-assisted pulsed laser evaporation (MAPLE) has been recently exploited for depositing films of nanomaterials by combining the advantages of colloidal inorganic nanoparticles and laser-based techniques. MAPLE-deposition of nanomaterials meeting applicative purposes demands their peculiar properties to be taken into account while planning depositions to guarantee a congruent transfer (in terms of crystal structure and geometric features) and explain the deposition outcome. In particular, since nanofluids can enhance thermal conductivity with respect to conventional fluids, laser-induced heating can induce different ablation thermal regimes as compared to the MAPLE-treatment of soft materials. Moreover, nanoparticles exhibit lower melting temperatures and can experience pre-melting phenomena as compared to their bulk counterparts, which could easily induce shape and or crystal phase modification of the material to be deposited even at very low fluences. In this complex scenario, this review paper focuses on examples of MAPLE-depositions of size and shape controlled nanoparticles for different applications highlights advantages and challenges of the MAPLE-technique. The influence of the deposition parameters on the physical mechanisms which govern the deposition process is discussed.

  17. MAPLE deposition of nanomaterials

    Energy Technology Data Exchange (ETDEWEB)

    Caricato, A.P., E-mail: annapaola.caricato@le.infn.it [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Arima, V.; Catalano, M. [National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Cesaria, M. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Cozzoli, P.D. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Martino, M. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Taurino, A.; Rella, R. [Institute for Microelectronics and Microsystems, IMM-CNR, Via Monteroni, I-73100 Lecce (Italy); Scarfiello, R. [National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Tunno, T. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Zacheo, A. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy)

    2014-05-01

    The matrix-assisted pulsed laser evaporation (MAPLE) has been recently exploited for depositing films of nanomaterials by combining the advantages of colloidal inorganic nanoparticles and laser-based techniques. MAPLE-deposition of nanomaterials meeting applicative purposes demands their peculiar properties to be taken into account while planning depositions to guarantee a congruent transfer (in terms of crystal structure and geometric features) and explain the deposition outcome. In particular, since nanofluids can enhance thermal conductivity with respect to conventional fluids, laser-induced heating can induce different ablation thermal regimes as compared to the MAPLE-treatment of soft materials. Moreover, nanoparticles exhibit lower melting temperatures and can experience pre-melting phenomena as compared to their bulk counterparts, which could easily induce shape and or crystal phase modification of the material to be deposited even at very low fluences. In this complex scenario, this review paper focuses on examples of MAPLE-depositions of size and shape controlled nanoparticles for different applications highlights advantages and challenges of the MAPLE-technique. The influence of the deposition parameters on the physical mechanisms which govern the deposition process is discussed.

  18. Effect of diamond-like carbon coating on corrosion rate of machinery steel HQ 805

    Science.gov (United States)

    Slat, Winda Sanni; Malau, Viktor; Iswanto, Priyo Tri; Sujitno, Tjipto; Suprapto

    2018-04-01

    HQ 805 is known as a super strength alloys steel and widely applied in military equipment and, aircraft components, drilling device and so on. It is due to its excellent behavior in wear, fatigue, high temperature and high speed operating conditions. The weakness of this material is the vulnerablality to corrosion when employed in sour environments where hydrogen sulfide and chlorides are present. To overcome the problems, an effort should be made to improve or enhance the surface properties for a longer service life. There are varieties of coatings developed and used to improve surface material properties. There are several kinds of coating methods; chemical vapour deposition (CVD), physical vapour deposition (PVD), thermochemical treatment, oxidation, or plasma spraying. This paper presents the research result of the influence of Diamond-Like Carbon (DLC) coating deposited using DC plasma enhanced chemical vapor deposition (DC-PECVD) on corrosion rate (by potentiodynamic polarization method) of HQ 805 machinery steel. As a carbon sources, a mixture of argon (Ar) and methane (CH4) with ratio 76% : 24% was used in this experiment. The conditions of experiment were 400 °C of temperature, 1.2 mbar, 1.4 mbar, 1.6 mbar and 1.8 mbar of pressure of process. Investigated surface properties were hardness (microhardness tester), roughness (roughness test), chemical composition (Spectrometer), microstructure (SEM) and corrosion rate (potentiodynamic polarization). It has been found that the optimum condition with the lowest corrosion rate is at a pressure of 1.4 mbar with a deposition duration of 4 hours at a constant temperature of 400 °C. In this condition, the corrosion rate decreases from 12.326 mpy to 4.487 mpy.

  19. Films deposited from reactive sputtering of aluminum acetylacetonate under low energy ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Battaglin, Felipe Augusto Darriba; Prado, Eduardo Silva; Cruz, Nilson Cristino da; Rangel, Elidiane Cipriano, E-mail: elidiane@sorocaba.unesp.br [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), Sorocaba, SP (Brazil). Lab. de Plasmas Tecnologicos; Caseli, Luciano [Universidade Federal de Sao Paulo (UNIFESP), Diadema, SP (Brazil). Instituto de Ciencias Ambientais, Quimicas e Farmaceuticas; Silva, Tiago Fiorini da; Tabacniks, Manfredo Harri [Universidade de Sao Paulo (USP), SP (Brazil). Instituto de Fisica

    2017-07-15

    Films were deposited from aluminum acetylacetonate (Al(acac)3 ) using a methodology involving reactive sputtering and low energy ion bombardment. The plasma was generated by the application of radiofrequency power to the powder containing electrode and simultaneously, negative pulses were supplied to the electrode where the substrates were attached. It was investigated the effect of the duty cycle of the pulses (Δ) on the properties of the coatings. Association of ion bombardment to the deposition process increased film thickness, structure reticulation and organic content. Ions from the deposition environment were implanted at the film-air interface or underneath it. Morphology and topography were altered depending on Δ. Considering the enhancement of Δ, it affected the flux of ions reaching the depositing interface and then the deposition rate, H content, crosslinking degree and surface microstructure. Alumina groups were detected in the infrared spectra, whereas the precipitation of amorphous alumina was confirmed by X-ray diffraction. (author)

  20. Deposition of particles and iodine to outdoor surfaces and in the respiratory tract

    International Nuclear Information System (INIS)

    Garland, J.A.

    1988-01-01

    Dry deposition of particles depends strongly on particle size, and is also influenced by the geometry of the surface and weather parameters. Precipitation scavenging is also influenced to some degree by particle size, but hygroscopic properties of soluble particles are also likely to enhance deposition in precipitation. Similar comments apply in the respiratory tract, where particle size and solubility may influence the extent and site of deposition: the site is important for insoluble particles at least since it determines retention time in the body. Thus measurement of particle size and investigation of solubility would be valuable in interpreting deposition inhalation and air concentration observations. Iodine has several chemical forms in the air. It is valuable to sample in such a way that different forms are partitioned, although there is some uncertainty in their identification. The rate of deposition to vegetation depends strongly on the chemical form of the iodine, but the vapour forms of iodine that occur in the atmosphere may all be retained efficiently on inhalation

  1. Particle deposition in ventilation ducts

    Energy Technology Data Exchange (ETDEWEB)

    Sippola, Mark Raymond [Univ. of California, Berkeley, CA (United States)

    2002-09-01

    Exposure to airborne particles is detrimental to human health and indoor exposures dominate total exposures for most people. The accidental or intentional release of aerosolized chemical and biological agents within or near a building can lead to exposures of building occupants to hazardous agents and costly building remediation. Particle deposition in heating, ventilation and air-conditioning (HVAC) systems may significantly influence exposures to particles indoors, diminish HVAC performance and lead to secondary pollutant release within buildings. This dissertation advances the understanding of particle behavior in HVAC systems and the fates of indoor particles by means of experiments and modeling. Laboratory experiments were conducted to quantify particle deposition rates in horizontal ventilation ducts using real HVAC materials. Particle deposition experiments were conducted in steel and internally insulated ducts at air speeds typically found in ventilation ducts, 2-9 m/s. Behaviors of monodisperse particles with diameters in the size range 1-16 μm were investigated. Deposition rates were measured in straight ducts with a fully developed turbulent flow profile, straight ducts with a developing turbulent flow profile, in duct bends and at S-connector pieces located at duct junctions. In straight ducts with fully developed turbulence, experiments showed deposition rates to be highest at duct floors, intermediate at duct walls, and lowest at duct ceilings. Deposition rates to a given surface increased with an increase in particle size or air speed. Deposition was much higher in internally insulated ducts than in uninsulated steel ducts. In most cases, deposition in straight ducts with developing turbulence, in duct bends and at S-connectors at duct junctions was higher than in straight ducts with fully developed turbulence. Measured deposition rates were generally higher than predicted by published models. A model incorporating empirical equations based on the

  2. High-energy high-rate pulsed-power processing of materials by powder consolidation and by railgun deposition. Technical report (Final), 10 April 1985-10 February 1987

    Energy Technology Data Exchange (ETDEWEB)

    Persad, C.; Marcus, H.L.; Weldon, W.F.

    1987-03-31

    This exploratory research program was initiated to investigate the potential of using pulse power sources for powder consolidation, deposition and other high-energy high-rate processing. The characteristics of the high-energy-high-rate (1MJ/s) powder consolidation using megampere current pulses from a homopolar generator, were defined. Molybdenum Alloy TZM, a nickel-based metallic glass, copper/graphite composites, and P/M aluminum alloy X7091 were investigated. The powder-consolidation process produced high densification rates. Density values of 80% to 99% could be obtained with subsecond high-temperature exposure. Specific energy input and applied pressure were controlling process parameters. Time temperature transformation (TTT) concepts underpin a fundamental understanding of pulsed power processing. Inherent control of energy input, and time-to-peak processing temperature developed to be held to short times. Deposition experiments were conducted using an exploding-foil device (EFD) providing an armature feed to railgun mounted in a vacuum chamber. The material to be deposited - in plasma, gas, liquid, or solid state - was accelerated electromagnetically in the railgun and deposited on a substrate. Deposits of a wide variety of single- and multi-specie materials were produced on several types of substrates. In a series of ancillary experiments, pulsed-skin-effect heating and self quenching of metallic conductors was discovered to be a new means of surface modification by high-energy high-rate-processing.

  3. Evaluating the rate of migration of an uranium deposition front within the Uitenhage Aquifer

    CSIR Research Space (South Africa)

    Vogel

    1999-07-01

    Full Text Available of Geochemical Exploration 66 (1999) 269?276 www.elsevier.com/locate/jgeoexp Evaluating the rate of migration of an uranium deposition front within the Uitenhage Aquifer J.C. Vogel a,A.S.Talmaa, T.H.E. Heaton b, J. Kronfeld c,* a Quaternary Dating Research Unit... stream_source_info vogel_1999.pdf.txt stream_content_type text/plain stream_size 18078 Content-Encoding ISO-8859-1 stream_name vogel_1999.pdf.txt Content-Type text/plain; charset=ISO-8859-1 ELSEVIER Journal...

  4. Field investigation of surface-deposited radon progeny as a possible predictor of the airborne radon progeny dose rate.

    Science.gov (United States)

    Sun, Kainan; Steck, Daniel J; Field, R William

    2009-08-01

    The quantitative relationships between radon gas concentration, the surface-deposited activities of various radon progeny, the airborne radon progeny dose rate, and various residential environmental factors were investigated through actual field measurements in 38 selected Iowa houses occupied by either smokers or nonsmokers. Airborne dose rate was calculated from unattached and attached potential alpha energy concentrations (PAECs) using two dosimetric models with different activity-size weighting factors. These models are labeled Pdose and Jdose, respectively. Surface-deposited 218Po and 214Po were found significantly correlated to radon, unattached PAEC, and both airborne dose rates (p fireplace, or usage of a ceiling fan significantly, or marginally significantly, reduced the Pdose to 0.65 (90% CI 0.42-0.996), 0.54 (90% CI 0.28-1.02), and 0.66 (90% CI 0.45-0.96), respectively. For Jdose, only the usage of a ceiling fan significantly reduced the dose rate to 0.57 (90% CI 0.39-0.85). In smoking environments, deposited 218Po was a significant negative predictor for Pdose (RR 0.68, 90% CI 0.55-0.84) after adjusting for long-term 222Rn and environmental factors. A significant decrease of 0.72 (90% CI 0.64-0.83) in the mean Pdose was noted, after adjusting for the radon and radon progeny effects and other environmental factors, for every 10 additional cigarettes smoked in the room. A significant increase of 1.71 in the mean Pdose was found for large room size relative to small room size (90% CI 1.08-2.79) after adjusting for the radon and radon progeny effects as well as other environmental factors. Fireplace usage was found to significantly increase the mean Pdose to 1.71 (90% CI 1.20-2.45) after adjusting for other factors.

  5. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  6. Ion beam deposition of DLC and nitrogen doped DLC thin films for enhanced haemocompatibility on PTFE

    International Nuclear Information System (INIS)

    Srinivasan, S.; Tang, Y.; Li, Y.S.; Yang, Q.; Hirose, A.

    2012-01-01

    Diamond-like carbon (DLC) and N-doped DLC (DLC:N) thin films have been synthesized on polytetrafluroethylene (PTFE) and silicon wafers using ion beam deposition. Raman spectroscopy, atomic force microscopy, X-ray photoelectron spectroscopy and scanning electron microscopy were used to study the structural and morphological properties of the coated surface. The results show that the ion beam deposited DLC thin films exhibit high hardness and Young's modulus, low coefficient of friction and high adhesion to the substrate. Low concentration of nitrogen doping in DLC improves the mechanical properties and reduces the surface roughness. DLC coating decreases the surface energy and improves the wettability of PTFE. The platelet adhesion results show that the haemocompatibility of DLC coated PTFE, especially DLC:N coated PTFE, has been significantly enhanced as compared with uncoated PTFE. SEM observations show that the platelet reaction on the DLC and DLC:N coated PTFE was minimized as the platelets were much less aggregated and activated.

  7. Atomic force microscopy indentation of fluorocarbon thin films fabricated by plasma enhanced chemical deposition at low radio frequency power

    International Nuclear Information System (INIS)

    Sirghi, L.; Ruiz, A.; Colpo, P.; Rossi, F.

    2009-01-01

    Atomic force microscopy (AFM) indentation technique is used for characterization of mechanical properties of fluorocarbon (CF x ) thin films obtained from C 4 F 8 gas by plasma enhanced chemical vapour deposition at low r.f. power (5-30 W) and d.c. bias potential (10-80 V). This particular deposition method renders films with good hydrophobic property and high plastic compliance. Commercially available AFM probes with stiff cantilevers (10-20 N/m) and silicon sharpened tips (tip radius < 10 nm) are used for indentations and imaging of the resulted indentation imprints. Force depth curves and imprint characteristics are used for determination of film hardness, elasticity modulus and plasticity index. The measurements show that the decrease of the discharge power results in deposition of films with decreased hardness and stiffness and increased plasticity index. Nanolithography based on AFM indentation is demonstrated on thin films (thickness of 40 nm) with good plastic compliance.

  8. Asynchronous beating of cilia enhances particle capture rate

    Science.gov (United States)

    Ding, Yang; Kanso, Eva

    2014-11-01

    Many aquatic micro-organisms use beating cilia to generate feeding currents and capture particles in surrounding fluids. One of the capture strategies is to ``catch up'' with particles when a cilium is beating towards the overall flow direction (effective stroke) and intercept particles on the downstream side of the cilium. Here, we developed a 3D computational model of a cilia band with prescribed motion in a viscous fluid and calculated the trajectories of the particles with different sizes in the fluid. We found an optimal particle diameter that maximizes the capture rate. The flow field and particle motion indicate that the low capture rate of smaller particles is due to the laminar flow in the neighbor of the cilia, whereas larger particles have to move above the cilia tips to get advected downstream which decreases their capture rate. We then analyzed the effect of beating coordination between neighboring cilia on the capture rate. Interestingly, we found that asynchrony of the beating of the cilia can enhance the relative motion between a cilium and the particles near it and hence increase the capture rate.

  9. On the existence of and mechanism for microwave-specific reaction rate enhancement.

    Science.gov (United States)

    Dudley, Gregory B; Richert, Ranko; Stiegman, A E

    2015-04-01

    The use of microwave radiation to drive chemical reactions has become ubiquitous in almost all fields of chemistry. In all of these areas it is principally due to rapid and convenient heating resulting in significantly higher rates of reaction, with other advantages including enhanced product selectivity and control of materials properties. Although microwave heating continues to grow as an enabling technology, fundamental research into the nature of microwave heating has not grown at the same rate. In the case of chemical reactions run in homogeneous solution, particularly synthetic organic reactions, there is considerable controversy over the origins of rate enhancement, with a fundamental question being whether there exist microwave-specific effects, distinct from what can be attained under conventional convective heating, that can accelerate a reaction rate. In this Perspective, we discuss unique aspects of microwave heating of molecules in solution and discuss the origin and nature of microwave-specific effects arising from the process of "selective heating" of reactants in solution. Integral to this discussion is work from the field of dielectric relaxation spectroscopy, which provides a model for selective heating by Debye relaxation processes. The Perspective also includes a critical discussion of hypotheses of non-thermal effects (alternatively classified here as resonant processes) and an outline of specific reaction parameters for chemical systems in which microwave-specific Debye relaxation processes can result in observable reaction rate enhancement.

  10. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  11. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  12. Cobalt deposition studies in the primary circuit under BWR conditions (Phase 1 and 2)

    International Nuclear Information System (INIS)

    Bennett, Peter

    1996-04-01

    This report presents the results from the first 2 phases of an experiment performed to investigate the effects of water chemistry on cobalt transport and deposition in the primary circuit under BWR conditions. Two high pressure water loops have been used to compare the incorporation of cobalt into the oxide films on coupons of various LWR primary circuit constructional materials, with several pretreatments, under Hydrogen Water Chemistry (HWC) and Normal Water Chemistry (NWC) conditions. Cobalt-60 deposition rates onto samples that had been pre-oxidised in air were lower than on samples that had been exposed previously in a water loop or had untreated surfaces. In NWC, oxide layers were thicker, normalised Co-60 deposition rates were higher and Co-60 activities per unit volume of oxide were greater. Some evidence has been produced to support the conclusions of other workers that a chromium-rich outer oxide layer is responsible for enhanced cobalt incorporation. (author)

  13. Formation, Sintering and Removal of Biomass Ash Deposits

    DEFF Research Database (Denmark)

    Laxminarayan, Yashasvi

    conditions in laboratory-scale setups. Deposit formation was simulated in an Entrained Flow Reactor, to investigate the effect of operating conditions and ash chemistry on the rate of deposit formation. Experiments were performed using model biomass fly ash, prepared from mixtures of K2Si4O9, KCl, K2SO4, Ca....... Moreover, biomass ash deposits may cause severe corrosion of boiler surfaces. Therefore, reducing deposit formation and timely deposit removal are essential for optimal boiler operation. The formation, sintering and removal of boiler deposits has been investigated in this PhD project, by simulating boiler...... temperature increased the sticking probability of the fly ash particles/deposit surface, thereby increasing the rate of deposit formation. However, increasing flue gas velocity resulted in a decrease in the deposit formation rate, due to increased particle rebound. Furthermore, it was observed...

  14. Spatial variation in deposition rate coefficients of an adhesion-deficient bacterial strain in quartz sand.

    Science.gov (United States)

    Tong, Meiping; Camesano, Terri A; Johnson, William P

    2005-05-15

    The transport of bacterial strain DA001 was examined in packed quartz sand under a variety of environmentally relevant ionic strength and flow conditions. Under all conditions, the retained bacterial concentrations decreased with distance from the column inlet at a rate that was faster than loglinear, indicating that the deposition rate coefficient decreased with increasing transport distance. The hyperexponential retained profile contrasted againstthe nonmonotonic retained profiles that had been previously observed for this same bacterial strain in glass bead porous media, demonstrating that the form of deviation from log-linear behavior is highly sensitive to system conditions. The deposition rate constants in quartz sand were orders of magnitude below those expected from filtration theory, even in the absence of electrostatic energy barriers. The degree of hyperexponential deviation of the retained profiles from loglinear behavior did not decrease with increasing ionic strength in quartz sand. These observations demonstrate thatthe observed low adhesion and deviation from log-linear behavior was not driven by electrostatic repulsion. Measurements of the interaction forces between DA001 cells and the silicon nitride tip of an atomic force microscope (AFM) showed that the bacterium possesses surface polymers with an average equilibrium length of 59.8 nm. AFM adhesion force measurements revealed low adhesion affinities between silicon nitride and DA001 polymers with approximately 95% of adhesion forces having magnitudes responsible for the low adhesion to silicon nitride, indicating that steric interactions from extracellular polymers controlled DA001 adhesion deficiency and deviation from log-linear behavior on quartz sand.

  15. Hydroxyapatite/poly(epsilon-caprolactone) double coating on magnesium for enhanced corrosion resistance and coating flexibility.

    Science.gov (United States)

    Jo, Ji-Hoon; Li, Yuanlong; Kim, Sae-Mi; Kim, Hyoun-Ee; Koh, Young-Hag

    2013-11-01

    Hydroxyapatite was deposited on pure magnesium (Mg) with a flexible poly(ε-caprolactone) interlayer to reduce the corrosion rate of Mg and enhance coating flexibility. The poly(ε-caprolactone) interlayer was uniformly coated on Mg by a spraying method, followed by hydroxyapatite deposition on the poly(ε-caprolactone) using an aerosol deposition method. In scanning electron microscopy observations, inorganic/organic composite-like structure was observed between the hydroxyapatite and poly(ε-caprolactone) layers, resulting from the collisions of hydroxyapatite particles into the poly(ε-caprolactone) matrix at the initial stage of the aerosol deposition. The corrosion resistance of the coated Mg was examined using potentiodynamic polarization tests. The hydroxyapatite/poly(ε-caprolactone) double coating remarkably improved the corrosion resistance of Mg in Hank's solution. In the in vitro cell tests, the coated Mg showed better cell adhesion compared with the bare Mg due to the reduced corrosion rate and enhanced biocompatibility. The stability and flexibility of hydroxyapatite/poly(ε-caprolactone) double coating was investigated by scanning electron microscopy inspections after the coated Mg was deformed. The hydroxyapatite coating on the poly(ε-caprolactone) interlayer revealed enhanced coating stability and flexibility without cracking or delamination during bending and stretching compared with the hydroxyapatite single coating. These results demonstrated that the hydroxyapatite/poly(ε-caprolactone) double coating significantly improved the surface corrosion resistance of Mg and enhanced coating flexibility for use of Mg as a biodegradable implant.

  16. Enhancing DNA binding rate using optical trapping of high-density gold nanodisks

    International Nuclear Information System (INIS)

    Lin, En-Hung; Pan, Ming-Yang; Lee, Ming-Chang; Wei, Pei-Kuen

    2014-01-01

    We present the dynamic study of optical trapping of fluorescent molecules using high-density gold nanodisk arrays. The gold nanodisks were fabricated by electron beam lithography with a diameter of 500 nm and a period of 1 μm. Dark-field illumination showed ∼15 times enhancement of fluorescence near edges of nanodisks. Such enhanced near-field generated an optical trapping force of ∼10 fN under 3.58 × 10 3 W/m 2 illumination intensity as calculated from the Brownian motions of 590 nm polystyrene beads. Kinetic observation of thiolated DNA modified with Cy5 dye showed different binding rates of DNA under different illumination intensity. The binding rate increased from 2.14 × 10 3 s −1 (I = 0.7 × 10 3 W/m 2 ) to 1.15 × 10 5 s −1 (I = 3.58 × 10 3 W/m 2 ). Both enhanced fluorescence and binding rate indicate that gold nanodisks efficiently improve both detection limit and interaction time for microarrays

  17. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  18. Effect of PECVD deposition parameters on structural and optoelectronics properties of hydrogenated polymorphous silicon thin films deposited by dichlorosilane for implementation in solar cells

    International Nuclear Information System (INIS)

    Álvarez-Macías, C.; Hernández González, Oscar Daniel; Barrera Calva, Enrique; Gómez González, L.; Santana, G.

    2015-01-01

    Hydrogenated polymorphous silicon (pm-Si: H) thin films were deposited at room temperature by plasma enhanced chemical vapor deposition (PECVD) using SiH2Cl2 as precursor gas. We examine the effect of deposition pressure (250 y 500 mTorr) and H2 dilution (flow rates 25, 50, 75 y 100 sccm) on the structural and optoelectronics properties. The nano-structural properties was confirmed by Raman spectroscopy studies in terms of the changes in crystallite sizes and their volume fractions. On the other hand, by FTIR analysis we notice bond configurations associated to photostability of the nanostructures, which was confirmed by Light soaking experiments during 250h. We found a tunable band gap and important behaviors on the electronic transport properties measurements for samples with high and low incorporation of oxygen whose compositions were determined by XPS measurements. Understanding structural and chemical properties of pm- Si: H thin films is key towards optimizing their electrical and optical properties for applications in solar cells. (full text)

  19. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  20. Electrochemical evaluation of under-deposit corrosion and its inhibition using the wire beam electrode method

    Energy Technology Data Exchange (ETDEWEB)

    Tan Yongjun, E-mail: yj.tan@curtin.edu.a [Western Australian Corrosion Research Group, Department of Chemistry, Curtin University, GPO Box U1987, Perth (Australia); Fwu, Young; Bhardwaj, Kriti [Western Australian Corrosion Research Group, Department of Chemistry, Curtin University, GPO Box U1987, Perth (Australia)

    2011-04-15

    Research highlights: A new experiment method for evaluating under-deposit corrosion and its inhibitors. Under-deposit corrosion did not occur in a CO{sub 2} saturated pure brine solution. Inhibitor imidazoline addition and O{sub 2} contamination initiated under-deposit corrosion. Inhibitor imidazoline reduced general corrosion but enhanced localised corrosion. - Abstract: A new experimental method has been applied to evaluate under-deposit corrosion and its inhibition by means of an electrochemically integrated multi-electrode array, namely the wire beam electrode (WBE). Maps showing galvanic current and corrosion potential distributions were measured from a WBE surface that was partially covered by sand. Under-deposit corrosion did not occur during the exposure of the WBE to carbon dioxide saturated brine under ambient temperature. The introduction of corrosion inhibitor imidazoline and oxygen into the brine was found to significantly affect the patterns and rates of corrosion, leading to the initiation of under-deposit corrosion over the WBE.

  1. Extreme nitrogen deposition can change methane oxidation rate in moist acidic tundra soil in Arctic regions

    Science.gov (United States)

    Lee, J.; Kim, J.; Kang, H.

    2017-12-01

    Recently, extreme nitrogen(N) deposition events are observed in Arctic regions where over 90% of the annual N deposition occurred in just a few days. Since Arctic ecosystems are typically N-limited, input of extremely high amount of N could substantially affect ecosystem processes. CH4 is a potent greenhouse gas that has 25 times greater global warming potential than CO2 over a 100-year time frame. Ammonium is known as an inhibitor of methane oxidation and nitrate also shows inhibitory effect on it in temperate ecosystems. However, effects of N addition on Arctic ecosystems are still elusive. We conducted a lab-scale incubation experiment with moist acidic tundra (MAT) soil from Council, Alaska to investigate the effect of extreme N deposition events on methane oxidation. Zero point five % methane was added to the head space to determine the potential methane oxidation rate of MAT soil. Three treatments (NH4NO3-AN, (NH4)2SO4-AS, KNO3-PN) were used to compare effects of ammonium, nitrate and salts. All treatments were added in 3 levels: 10μg N gd.w-1(10), 50μg N gd.w-1(50) and 100μg N gd.w-1(100). AN10 and AN50 increased methane oxidation rate 1.7, 6% respectively. However, AN100 shows -8.5% of inhibitory effect. In AS added samples, all 3 concentrations (AN10, AN50, AN100) stimulated methane oxidation rate with 4.7, 8.9, 4%, respectively. On the contrary, PN50 (-9%) and PN100 (-59.5%) exhibited a significant inhibitory effect. We also analyzed the microbial gene abundance and community structures of methane oxidizing bacteria using a DNA-based fingerprinting method (T-RFLP) Our study results suggest that NH4+ can stimulate methane oxidation in Arctic MAT soil, while NO3- can inhibit methane oxidation significantly.

  2. The influence of cyclic deposition and anneal on growth of isolated and well-dispersed Au nanoisland arrays

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Xin; Li, Hao, E-mail: liha@missouri.edu

    2015-12-01

    Highlights: • Isolated and well-dispersed Au nanoislands were prepared by cyclical deposition and anneal. • The morphology of Au nanoislands were shown to be influenced by the number of growth cycles. • SERS sensing application was shown to be viable with as-prepared Au nanoislands. - Abstract: Isolated and well-dispersed Au nanoisland arrays were prepared with sputter deposition and post-deposition anneal in a cyclic fashion. Scanning electron microscopy (SEM) characterization and associated image analysis in aspects of nanoisland size, coverage, circularity, and density were performed to investigate the influence of cyclic deposition and anneal (DAA) on the morphology of as-prepared Au nanoisland arrays. This study revealed that, given a fixed amount of Au deposition per cycle, increasing the number of DAA cycles drove Au nanoislands’ gradual growth that was interpreted with the increase of their size and coverage and decrease of their density and circularity. In addition, given a fixed amount of total Au deposition, dividing the growth process into more cycles was shown to lower the growth rate of Au nanoislands. Moreover, the as-prepared Au nanoisland arrays were demonstrated to be a viable option as surface-enhanced Raman spectroscopy (SERS) sensing platform whose SERS enhancement was found to be highly dependent upon the size of nanoislands.

  3. The influence of cyclic deposition and anneal on growth of isolated and well-dispersed Au nanoisland arrays

    International Nuclear Information System (INIS)

    Sun, Xin; Li, Hao

    2015-01-01

    Highlights: • Isolated and well-dispersed Au nanoislands were prepared by cyclical deposition and anneal. • The morphology of Au nanoislands were shown to be influenced by the number of growth cycles. • SERS sensing application was shown to be viable with as-prepared Au nanoislands. - Abstract: Isolated and well-dispersed Au nanoisland arrays were prepared with sputter deposition and post-deposition anneal in a cyclic fashion. Scanning electron microscopy (SEM) characterization and associated image analysis in aspects of nanoisland size, coverage, circularity, and density were performed to investigate the influence of cyclic deposition and anneal (DAA) on the morphology of as-prepared Au nanoisland arrays. This study revealed that, given a fixed amount of Au deposition per cycle, increasing the number of DAA cycles drove Au nanoislands’ gradual growth that was interpreted with the increase of their size and coverage and decrease of their density and circularity. In addition, given a fixed amount of total Au deposition, dividing the growth process into more cycles was shown to lower the growth rate of Au nanoislands. Moreover, the as-prepared Au nanoisland arrays were demonstrated to be a viable option as surface-enhanced Raman spectroscopy (SERS) sensing platform whose SERS enhancement was found to be highly dependent upon the size of nanoislands.

  4. On-chip plasmonic cavity-enhanced spontaneous emission rate at the zero-phonon line

    DEFF Research Database (Denmark)

    Siampour, Hamidreza; Kumar, Shailesh; Bozhevolnyi, Sergey I.

    Highly confined surface plasmon polariton (SPP) modes can be utilized to enhance light-matter interaction at the single emitter level of quantum optical systems [1-4]. Dielectric-loaded SPP waveguides (DLSPPWs) confine SPPs laterally with relatively low propagation loss, enabling to benefit both ...... and an up to 42-fold spontaneous emission rate enhancement at the zero-phonon line (a ∼7-fold resonance enhancement in addition to a ∼6-fold broadband enhancement) is achieved, revealing the potential of our approach for on-chip realization of quantum-optical networks....... from a large Purcell factor and from a large radiative efficiency (low quenching rates) [1, 2]. In this work, we present a DLSPPW-based Bragg cavity resonator to direct emission from a single diamond nitrogen vacancy (NV) center into the zero-phonon line (Fig. 1). A quality factor of ∼70 for the cavity...

  5. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  6. Phenazopyridine-phthalimide nano-cocrystal: Release rate and oral bioavailability enhancement.

    Science.gov (United States)

    Huang, Yu; Li, Jin-Mei; Lai, Zhi-Hui; Wu, Jun; Lu, Tong-Bu; Chen, Jia-Mei

    2017-11-15

    Both cocrystal and nanocrystal technologies have been widely used in the pharmaceutical development for poorly soluble drugs. However, the synergistic effects due to the integration of these two technologies have not been well investigated. The aim of this study is to develop a nano-sized cocrystal of phenazopyridine (PAP) with phthalimide (PI) to enhance the release rate and oral bioavailability of PAP. A PAP-PI nano-cocrystal with particle diameter of 21.4±0.1nm was successfully prepared via a sonochemical approach and characterized by powder X-ray diffraction (PXRD), differential scanning calorimetry (DSC), scanning electron microscopy (SEM) and dynamic light scattering (DLS) analysis. An in vitro release study revealed a significant release rate enhancement for PAP-PI nano-cocrystal as compared to PAP-PI cocrystal and PAP hydrochloride salt. Further, a comparative oral bioavailability study in rats indicated significant improvement in C max and oral bioavailability (AUC 0-∞ ) by 1.39- and 2.44-fold, respectively. This study demonstrated that this novel nano-cocrystal technology can be a new promising option to improve release rate and absorption of poorly soluble compounds in the pharmaceutical industry. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Monetary determinants of deposit euroization in European post-transition countries

    Directory of Open Access Journals (Sweden)

    Tkalec Marina

    2013-01-01

    Full Text Available This paper investigates the long-run and short-run relationship between deposit euroization in twelve European post-transition economies and two determinants of deposit euroization that are under the influence of monetary policy: the exchange rate and the interest rate differential. The link between deposit euroization, exchange rates and interest rate differentials is investigated using Johansen cointegration and error correction models for each country separately. The results suggest that changes in both monetary drivers have significant effects on deposit euroization and are therefore important for explaining and fighting deposit euroization. Differences between exchange rate regimes, fixed and managed vs. floating, seem to matter for deposit euroization.

  8. Electronic properties of p-GaAs deposited on n-Si with pulsed-laser deposition

    International Nuclear Information System (INIS)

    Ullrich, B; Erlacher, A; Smith, H E; Mitchel, W C; Brown, G J

    2008-01-01

    By means of nanosecond laser pulses at 355, 532, and 1064 nm, p(Zn)-type GaAs was ablated and deposited on n-type Si. The samples showed rectification and Hall measurements established that the deposited material was p-type, but the active-doping concentration was six orders of magnitude below the target value. Because secondary-ion mass spectroscopy results indicated stoichiometric material transfer, we concluded that most of the Zn atoms do not act as acceptors because of the amorphous film texture. The work further showed indications that pulsed-laser deposition at 355 nm causes enhanced Si diffusion into the deposited film, compared to the ablations done at 532 and 1064 nm

  9. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  10. Friction and wear study of diamond-like carbon gradient coatings on Ti6Al4V substrate prepared by plasma source ion implant-ion beam enhanced deposition

    International Nuclear Information System (INIS)

    Jiang, Shuwen; Jiang Bin; Li Yan; Li Yanrong; Yin Guangfu; Zheng Changqiong

    2004-01-01

    DLC gradient coatings had been deposited on Ti6Al4V alloy substrate by plasma source ion implantation-ion beam enhanced deposition method and their friction and wear behavior sliding against ultra high molecular weight polyethylene counterpart were investigated. The results showed that DLC gradient coated Ti6Al4V had low friction coefficient, which reduced 24, 14 and 10% compared with non-coated Ti6Al4V alloy under dry sliding, lubrication of bovine serum and 0.9% NaCl solution, respectively. DLC gradient coated Ti6Al4V showed significantly improved wear resistance, the wear rate was about half of non-coated Ti6Al4V alloy. The wear of ultra high molecular weight polyethylene counterpart was also reduced. High adhesion to Ti6Al4V substrate of DLC gradient coatings and surface structure played important roles in improved tribological performance, serious oxidative wear was eliminated when DLC gradient coating was applied to the Ti6Al4V alloy

  11. Factors controlling present-day tufa dynamics in the Monasterio de Piedra Natural Park (Iberian Range, Spain): depositional environmental settings, sedimentation rates and hydrochemistry

    Science.gov (United States)

    Vázquez-Urbez, M.; Arenas, C.; Sancho, C.; Osácar, C.; Auqué, L.; Pardo, G.

    2010-07-01

    The tufa record and hydrochemical characteristics of the River Piedra in the Monasterio de Piedra Natural Park (NE Spain) were studied for 6 years. The mean discharge of this river was 1.22 m3/s. The water was supersaturated with calcium carbonate. The HCO3 -, Ca2+ and TDIC concentrations decreased along the 0.5-km-long studied stretch, whereas the calcite SI showed no systematic downstream or seasonal variation over the same stretch. Several sedimentary subenvironments exist in which four broad types of tufa facies form: (1) Dense laminated tufa (stromatolites), (2) Dense to porous, massive tufa, (3) Porous, coarsely laminated tufa with bryophytes and algae, and (4) Dense, hard, laminated deposits in caves. The half-yearly period thickness and weight of sediment accumulated on 14 tablets installed in several subenvironments showed that the deposition rate was greater in fast flowing river areas and in stepped waterfalls, and lower in slow flowing or standing river areas and in spray and splash areas. Mechanical CO2 outgassing is the main factor controlling calcite precipitation on the river bed and in waterfalls, but this process does not explain the seasonal changes in depositional rates. The deposition rates showed a half-yearly period pattern recorded in all fluvial subenvironments persistent over time (5.26 mm, 0.86 g/cm2 in warm periods; 2.26 mm, 0.13 g/cm2 in cool periods). Mass balance calculations showed higher calcite mass values in warm (21.58 mg/L) than in cool (13.68 mg/L) periods. This biannual variation is mainly attributed to the seasonal differences in temperature that caused changes in inorganic calcite precipitation rate and in biomass and the correlative photosynthetic activity. Tufa sedimentation was therefore controlled by both physicochemical and biological processes. The results of this study may help test depositional rates and their environmental controls and thus assess the climatic and hydrological significance of ancient tufas in semi

  12. Regional aerosol deposition in human upper airways

    International Nuclear Information System (INIS)

    Swift, D.L.

    1989-01-01

    During the report period significant progress on the quantitative understanding of regional upper airway deposition of airborne particle has been realized. Replicate models of the human upper airways obtained from post-mortem casting of the nasal, oral, pharyngeal, laryngeal and upper tracheal regions and in vivo magnetic resonance imaging (MRI) of the same regions of adults and children have been employed to determine the overall and local deposition characteristics of aerosols in the ultrafine (1--100 μm diameter) and fine (0.8--12 μm diameter) region. Studies have been carried out for both nasal and oral breathing during inspiratory and expiratory flow at constant flow rates representative of rest and states of exercise. The results of these investigations indicate that particles in the size range of ''unattached'' radon progeny (1--3 nm) are deposited in both the nasal and oral passages with high efficiency (60--80%) for both inspiration and expiration, with the nasal deposition being somewhat greater (5--10%) than oral deposition. The effect of flow rate on upper airway deposition for both pathways is not great; data analysis indicates that the deposition for all flow rates from 4--50 liters/minute can be grouped by plotting deposition vs Q- 1/8 , where Q is flow rate, a far weaker dependency than observed for inertial deposition. Diffusional transport is the primary mechanism of deposition, and size dependence can be accounted for by plotting, deposition percent vs D n where D is particle diffusion coefficient and n ranges from 0.5--0.66. 2 refs

  13. Novel Geochemical Techniques Integrated In Exploration for Uranium Deposits at Depth

    International Nuclear Information System (INIS)

    Kyser, Kurt

    2014-01-01

    Recent results in the use of geochemistry in detecting deep uranium deposits: (1) Map element distributions in and around deposits to assess the total chemical environment associated with the deposit, (2) Use element tracing with isotopic compositions in surface media to detect specific components from uranium deposits at depth, (3) Capitalize on element mobility across the geosphere-biosphere interface to enhance exploration using select media, (4) Geochemical data from drill core or surface media can enhance target identification when integrated with geophysical data.

  14. Enhanced Self-Biased Magnetoelectric Coupling in Laser-Annealed Pb(Zr,Ti)O3 Thick Film Deposited on Ni Foil.

    Science.gov (United States)

    Palneedi, Haribabu; Maurya, Deepam; Geng, Liwei D; Song, Hyun-Cheol; Hwang, Geon-Tae; Peddigari, Mahesh; Annapureddy, Venkateswarlu; Song, Kyung; Oh, Yoon Seok; Yang, Su-Chul; Wang, Yu U; Priya, Shashank; Ryu, Jungho

    2018-04-04

    Enhanced and self-biased magnetoelectric (ME) coupling is demonstrated in a laminate heterostructure comprising 4 μm-thick Pb(Zr,Ti)O 3 (PZT) film deposited on 50 μm-thick flexible nickel (Ni) foil. A unique fabrication approach, combining room temperature deposition of PZT film by granule spray in vacuum (GSV) process and localized thermal treatment of the film by laser radiation, is utilized. This approach addresses the challenges in integrating ceramic films on metal substrates, which is often limited by the interfacial chemical reactions occurring at high processing temperatures. Laser-induced crystallinity improvement in the PZT thick film led to enhanced dielectric, ferroelectric, and magnetoelectric properties of the PZT/Ni composite. A high self-biased ME response on the order of 3.15 V/cm·Oe was obtained from the laser-annealed PZT/Ni film heterostructure. This value corresponds to a ∼2000% increment from the ME response (0.16 V/cm·Oe) measured from the as-deposited PZT/Ni sample. This result is also one of the highest reported values among similar ME composite systems. The tunability of self-biased ME coupling in PZT/Ni composite has been found to be related to the demagnetization field in Ni, strain mismatch between PZT and Ni, and flexural moment of the laminate structure. The phase-field model provides quantitative insight into these factors and illustrates their contributions toward the observed self-biased ME response. The results present a viable pathway toward designing and integrating ME components for a new generation of miniaturized tunable electronic devices.

  15. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  16. Enhanced photocatalytic performance of mesoporous TiO{sub 2} coated SBA-15 nanocomposites fabricated through a novel approach: supercritical deposition aided by liquid-crystal template

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Chen; Lin, Xiao; Li, Youji, E-mail: bcclyj@163.com; Xu, Peng; Li, Ming; Chen, Feitai

    2016-03-15

    Highlights: • Highly uniform mesoporous TiO{sub 2} nanopartices were coated SBA-15. • MT showed smaller crystallite size, higher hydroxyl content and surface area. • MT/SBA-15 show enhanced photocatalytic activity and high reused activity. • The optimum MT loading rate and calcination temperature were obtained to be 15% and 400 °C, respectively. • Photocatalytic behaviors are discussed in terms of the Langmuir–Hinshelwood model. - Abstract: Mesoporous TiO2 coated SBA-15 (MT@S) nanocomposites were fabricated through supercritical CO{sub 2} deposition aided by liquid-crystal template. The as-prepared samples were characterized by transmission electron microscopy, X-ray photoelectron spectroscopy, diffuse reflectance spectroscopy and so on. The results reveal that MT uniformly deposited onto silica with titania incorporated in SBA-15 channels, showed smaller crystallite size, higher hydroxyl content and surface area than nonporous TiO{sub 2} coated SBA-15 (NT@S) obtained by a similar route without template. With TiO{sub 2} loading ratio of 15 wt% and calcination temperature of 400 °C, 15%MT@S-400 showed the enhanced degradation efficiency for azo dyes (methylene blue, methyl orange, and rhodamine B) and phenol in comparsion with 15%NT@S-400, due to those improved textural and physicochemical properties. Meanwhile, the reused MT@S also showed high photoactivity. Additionally, the effects of MT content and calcination temperature have been examined as operational parameters. Photocatalytic reactions followed pseudo-first-order kinetics and are discussed in terms of the Langmuir–Hinshelwood model.

  17. Field metabolic rate and PCB adipose tissue deposition efficiency in East Greenland polar bears derived from contaminant monitoring data.

    Science.gov (United States)

    Pavlova, Viola; Nabe-Nielsen, Jacob; Dietz, Rune; Svenning, Jens-Christian; Vorkamp, Katrin; Rigét, Frank Farsø; Sonne, Christian; Letcher, Robert J; Grimm, Volker

    2014-01-01

    Climate change will increasingly affect the natural habitat and diet of polar bears (Ursus maritimus). Understanding the energetic needs of polar bears is therefore important. We developed a theoretical method for estimating polar bear food consumption based on using the highly recalcitrant polychlorinated biphenyl (PCB) congener, 2,2',4,4',55-hexaCB (CB153) in bear adipose tissue as an indicator of food intake. By comparing the CB153 tissue concentrations in wild polar bears with estimates from a purposely designed individual-based model, we identified the possible combinations of field metabolic rates (FMR) and CB153 deposition efficiencies in East Greenland polar bears. Our simulations indicate that if 30% of the CB153 consumed by polar bear individuals were deposited into their adipose tissue, the corresponding FMR would be only two times the basal metabolic rate. In contrast, if the modelled CB153 deposition efficiency were 10%, adult polar bears would require six times more energy than that needed to cover basal metabolism. This is considerably higher than what has been assumed for polar bears in previous studies though it is similar to FMRs found in other marine mammals. An implication of this result is that even relatively small reductions in future feeding opportunities could impact the survival of East Greenland polar bears.

  18. Protein and lipid deposition rates in male broiler chickens : separate responses to amino acids and protein-free energy

    NARCIS (Netherlands)

    Eits, R.M.; Kwakkel, R.P.; Verstegen, M.W.A.; Stoutjesdijk, P.; Greef, de K.H.

    2002-01-01

    Two experiments of similar design were conducted with male broiler chickens over two body weight ranges, 200 to 800 g in Experiment 1 and 800 to 1,600 g in Experiment 2. The data were used to test the hypothesis that protein deposition rate increases (linearly) with increasing amino acid intake,

  19. Investigation of erosion and deposition on wall components of TEXTOR-94

    International Nuclear Information System (INIS)

    Wienhold, P.; Esser, H.G.; Kirschner, A.; Philipps, V.; Seggern, J. von; Ohya, K.; Rubel, M.

    1999-01-01

    The paper describes in the first part the formation of carbon flakes up to 10-20 μm thickness (average growth rate 2 nm/s) on the graphite tiles of the toroidal belt limiter. This occurred as a consequence of a slight change of the geometry and turned parts of the surface area from net erosion into net deposition zones. The possible influence of the morphology on this behaviour is discussed in the second part by means of an erosion experiment where the gradual disappearance of a boron substrate could be discriminated from the simultaneous carbon deposition on the surface. The two counter-acting processes co-exist within 10-30 μm distance and lead to an extremely non-uniform carbon deposition even in net erosion zones. The carbon agglomeration coincides with surface imperfections, e.g. grooves, but agglomeration by temperature enhanced mobility is not excluded. The changeover from net deposition to net erosion averaged over larger distances can still be observed and is due to the hydrogen and carbon fluxes in the SOL. This is confirmed by Monte-Carlo code calculations. (orig.)

  20. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  1. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  2. Nanogranular Au films deposited on carbon covered Si substrates for enhanced optical reflectivity and Raman scattering

    International Nuclear Information System (INIS)

    Bhuvana, T; Kumar, G V Pavan; Narayana, Chandrabhas; Kulkarni, G U

    2007-01-01

    Electroless deposition of gold has been carried out on Si(100) surfaces precoated with laser ablated carbon layers of different thicknesses, and the resulting substrates have been characterized by a host of techniques. We first established the porous nature of the amorphous carbon layer by Raman and profilometric measurements. The Au uptake from the plating solution was optimal at a carbon layer thickness of 90 nm, where we observed nanogranules of ∼60-70 nm, well separated from each other in the carbon matrix (mean interparticle spacing ∼7 nm). We believe that the observed nanostructure is a result of Au 3+ electroless reduction on the Si surface through porous channels present in the amorphous carbon matrix. Importantly, this nanostructured substrate exhibited high reflectivity in the near IR region besides being effective as a substrate for surface enhanced Raman scattering (SERS) measurements with enhancement factors up to 10 7

  3. Estimation of illitization rate of smectite from the thermal history of Murakami deposit, Japan

    International Nuclear Information System (INIS)

    Kamei, G.; Arai, T.; Yusa, Y.; Sasaki, N.; Sakuramoto, Y.

    1990-01-01

    The research on illitization of smectite in the natural environment affords information on the long-term durability of bentonite which is the candidate for buffer material for high-level radioactive waste disposal facilities. Murakami bentonite deposit in central Japan, where the bentonite and rhyolitic intrusive rock were distributed, was surveyed and the lateral variation of smectite to illite in the aureole of the rhyolite was studied. The radiometric ages of some minerals from the intrusive rock and the clay deposit were determined. Comparison of the mineral ages with closure temperature estimated for the various isotopic systems allowed the thermal history of the area. The age of the intrusion was 7.1 ± 0.5 Ma, and the cooling rate of the intrusive rock was estimated to be approximately 45C/Ma. Sedimentation ages of the clay bed were mostly within the range from 18 to 14 Ma. However, the fission-track age of zircon in the clay containing illite/smectite mixed layers was 6.4 ± 0.4 Ma, which was close to that of the intrusion. The latter value could be explained as the result of annealing of fission-tracks in zircon. The presence of annealing phenomena and the estimated cooling rate concluded that illitization had occurred in the period of 3.4 Ma at least under the temperature range from above 240 ± 50 to 105C. Illite-smectite mixed layers occurred from smectite in the process. The proportion of illite was about 40%. Approximately, 29 kcal/mol as a value of activation energy was calculated to the illitization

  4. Field Investigation of the Surface-deposited Radon Progeny as a Possible Predictor of the Airborne Radon Progeny Dose Rate

    Science.gov (United States)

    Sun, Kainan; Steck, Daniel J.; Field, R. William

    2009-01-01

    The quantitative relationships between radon gas concentration, the surface-deposited activities of various radon progeny, the airborne radon progeny dose rate, and various residential environmental factors were investigated through actual field measurements in 38 selected Iowa houses occupied by either smokers or nonsmokers. Airborne dose rate was calculated from unattached and attached potential alpha energy concentrations (PAECs) using two dosimetric models with different activity-size weighting factors. These models are labeled Pdose and Jdose, respectively. Surface-deposited 218Po and 214Po were found significantly correlated to radon, unattached PAEC, and both airborne dose rates (p fireplace, or usage of a ceiling fan significantly, or marginal significantly, reduced the Pdose to 0.65 (90% CI 0.42–0.996), 0.54 (90% CI 0.28–1.02) and 0.66 (90% CI 0.45–0.96), respectively. For Jdose, only the usage of a ceiling fan significantly reduced the dose rate to 0.57 (90% CI 0.39–0.85). In smoking environments, deposited 218Po was a significant negative predictor for Pdose (RR 0.68, 90% CI 0.55–0.84) after adjusting for long-term 222Rn and environmental factors. A significant decrease of 0.72 (90% CI 0.64–0.83) in the mean Pdose was noted, after adjusting for the radon and radon progeny effects and other environmental factors, for every 10 increasing cigarettes smoked in the room. A significant increase of 1.71 in the mean Pdose was found for large room size relative to small room size (90% CI 1.08–2.79) after adjusting for the radon and radon progeny effects as well as other environmental factors. Fireplace usage was found to significantly increase the mean Pdose to 1.71 (90% CI 1.20–2.45) after adjusting for other factors. PMID:19590273

  5. ANEMOS: A computer code to estimate air concentrations and ground deposition rates for atmospheric nuclides emitted from multiple operating sources

    Energy Technology Data Exchange (ETDEWEB)

    Miller, C.W.; Sjoreen, A.L.; Begovich, C.L.; Hermann, O.W.

    1986-11-01

    This code estimates concentrations in air and ground deposition rates for Atmospheric Nuclides Emitted from Multiple Operating Sources. ANEMOS is one component of an integrated Computerized Radiological Risk Investigation System (CRRIS) developed for the US Environmental Protection Agency (EPA) for use in performing radiological assessments and in developing radiation standards. The concentrations and deposition rates calculated by ANEMOS are used in subsequent portions of the CRRIS for estimating doses and risks to man. The calculations made in ANEMOS are based on the use of a straight-line Gaussian plume atmospheric dispersion model with both dry and wet deposition parameter options. The code will accommodate a ground-level or elevated point and area source or windblown source. Adjustments may be made during the calculations for surface roughness, building wake effects, terrain height, wind speed at the height of release, the variation in plume rise as a function of downwind distance, and the in-growth and decay of daughter products in the plume as it travels downwind. ANEMOS can also accommodate multiple particle sizes and clearance classes, and it may be used to calculate the dose from a finite plume of gamma-ray-emitting radionuclides passing overhead. The output of this code is presented for 16 sectors of a circular grid. ANEMOS can calculate both the sector-average concentrations and deposition rates at a given set of downwind distances in each sector and the average of these quantities over an area within each sector bounded by two successive downwind distances. ANEMOS is designed to be used primarily for continuous, long-term radionuclide releases. This report describes the models used in the code, their computer implementation, the uncertainty associated with their use, and the use of ANEMOS in conjunction with other codes in the CRRIS. A listing of the code is included in Appendix C.

  6. ANEMOS: A computer code to estimate air concentrations and ground deposition rates for atmospheric nuclides emitted from multiple operating sources

    International Nuclear Information System (INIS)

    Miller, C.W.; Sjoreen, A.L.; Begovich, C.L.; Hermann, O.W.

    1986-11-01

    This code estimates concentrations in air and ground deposition rates for Atmospheric Nuclides Emitted from Multiple Operating Sources. ANEMOS is one component of an integrated Computerized Radiological Risk Investigation System (CRRIS) developed for the US Environmental Protection Agency (EPA) for use in performing radiological assessments and in developing radiation standards. The concentrations and deposition rates calculated by ANEMOS are used in subsequent portions of the CRRIS for estimating doses and risks to man. The calculations made in ANEMOS are based on the use of a straight-line Gaussian plume atmospheric dispersion model with both dry and wet deposition parameter options. The code will accommodate a ground-level or elevated point and area source or windblown source. Adjustments may be made during the calculations for surface roughness, building wake effects, terrain height, wind speed at the height of release, the variation in plume rise as a function of downwind distance, and the in-growth and decay of daughter products in the plume as it travels downwind. ANEMOS can also accommodate multiple particle sizes and clearance classes, and it may be used to calculate the dose from a finite plume of gamma-ray-emitting radionuclides passing overhead. The output of this code is presented for 16 sectors of a circular grid. ANEMOS can calculate both the sector-average concentrations and deposition rates at a given set of downwind distances in each sector and the average of these quantities over an area within each sector bounded by two successive downwind distances. ANEMOS is designed to be used primarily for continuous, long-term radionuclide releases. This report describes the models used in the code, their computer implementation, the uncertainty associated with their use, and the use of ANEMOS in conjunction with other codes in the CRRIS. A listing of the code is included in Appendix C

  7. Binding proteins enhance specific uptake rate by increasing the substrate-transporter encounter rate.

    Science.gov (United States)

    Bosdriesz, Evert; Magnúsdóttir, Stefanía; Bruggeman, Frank J; Teusink, Bas; Molenaar, Douwe

    2015-06-01

    Microorganisms rely on binding-protein assisted, active transport systems to scavenge for scarce nutrients. Several advantages of using binding proteins in such uptake systems have been proposed. However, a systematic, rigorous and quantitative analysis of the function of binding proteins is lacking. By combining knowledge of selection pressure and physiochemical constraints, we derive kinetic, thermodynamic, and stoichiometric properties of binding-protein dependent transport systems that enable a maximal import activity per amount of transporter. Under the hypothesis that this maximal specific activity of the transport complex is the selection objective, binding protein concentrations should exceed the concentration of both the scarce nutrient and the transporter. This increases the encounter rate of transporter with loaded binding protein at low substrate concentrations, thereby enhancing the affinity and specific uptake rate. These predictions are experimentally testable, and a number of observations confirm them. © 2015 FEBS.

  8. High-rate deposition of Ta-doped SnO2 films by reactive magnetron sputtering using a Sn–Ta metal-sintered target

    International Nuclear Information System (INIS)

    Muto, Y.; Nakatomi, S.; Oka, N.; Iwabuchi, Y.; Kotsubo, H.; Shigesato, Y.

    2012-01-01

    Ta-doped SnO 2 films were deposited on glass substrate (either unheated or heated at 200 °C) by reactive magnetron sputtering with a Sn–Ta metal-sintered target using a plasma control unit (PCU) and mid-frequency (mf, 50 kHz) unipolar pulsing. The PCU feedback system precisely controlled the flow of the reactive and sputtering gases (O 2 and Ar, respectively) by monitoring either discharge impedance or the plasma emission of the atomic O* line at 777 nm. The planar target was connected to the switching unit, which was operated in unipolar pulse mode. Power density on the target was maintained at 4.4 W cm −2 during deposition. The lowest obtained resistivity for the films deposited on heated substrate was 6.4 × 10 −3 Ωcm, where the deposition rate was 250 nm min −1 .

  9. Spray deposition using impulse atomization technique

    International Nuclear Information System (INIS)

    Ellendt, N.; Schmidt, R.; Knabe, J.; Henein, H.; Uhlenwinkel, V.

    2004-01-01

    A novel technique, impulse atomization, has been used for spray deposition. This single fluid atomization technique leads to different spray characteristics and impact conditions of the droplets compared to gas atomization technique which is the common technique used for spray deposition. Deposition experiments with a Cu-6Sn alloy were conducted to evaluate the appropriateness of impulse atomization to produce dense material. Based on these experiments, a model has been developed to simulate the thermal history and the local solidification rates of the deposited material. A numerical study shows how different cooling conditions affect the solidification rate of the material

  10. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  11. Simulated dry deposition of nitric acid near forest edges

    NARCIS (Netherlands)

    DeJong, JJM; Klaassen, W; Jong, J.J.M. de

    1997-01-01

    Dry deposition is simulated to understand and generalize observations of enhanced deposition of air pollution near forest edges. Nitric acid is taken as an example as its deposition velocity is often assumed to be determined by turbulent transport only. The simulations are based on the

  12. Carbon monoxide oxidation on a Au(111 surface modified by spontaneously deposited Ru

    Directory of Open Access Journals (Sweden)

    ROLF-JÜRGEN BEHM

    2001-04-01

    Full Text Available The spontaneous deposition of Ru on Au(111 was performed in 10-3 M RuCl3 + 0.5 M H2SO4 solution. The obtained surface was characterized by STM under potential control in 0.5 M H2SO4 solution. The coverage of the Au(111 terraces by deposited Ru was estimated by STM to be 0.02 ML. Step decoration could be noticed in the STM images, which indicates that the steps, as lined defects, are active sites for the nucleation of Ru monolayer islands, while the random distribution of Ru nuclei, observed on the terraces indicates point defects as active sites. The electrocatalytic activity of Au(111 surface modified by spontaneously deposited Ru was studied towards CO oxidation. The significant enhancement in the reaction rate compared to CO oxidation on a pure Au(111 surface, indicated that the edges of the deposited Ru islands were the active sites for the reaction.

  13. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  14. Evolution of radioactive dose rates in fresh sediment deposits along coastal rivers draining Fukushima contamination plume

    OpenAIRE

    Evrard, Olivier; Chartin, Caroline; Onda, Yuichi; Patin, Jeremy; Lepage, Hugo; Lef?vre, Ir?ne; Ayrault, Sophie; Ottl?, Catherine; Bont?, Philippe

    2013-01-01

    Measurement of radioactive dose rates in fine sediment that has recently deposited on channel bed-sand provides a solution to address the lack of continuous river monitoring in Fukushima Prefecture after Fukushima Dai-ichi nuclear power plant (FDNPP) accident. We show that coastal rivers of Eastern Fukushima Prefecture were rapidly supplied with sediment contaminated by radionuclides originating from inland mountain ranges, and that this contaminated material was partly exported by typhoons t...

  15. Surface deposition from radioactive plumes

    International Nuclear Information System (INIS)

    Garland, J.A.

    1980-01-01

    Accidents involving nuclear plants may release radioactive particles and gases to the atmosphere. Dry deposition of particles has been investigated mainly in the laboratory and a general understanding of the transfer mechanisms has been established. However there is apparently a substantial discrepancy between the few field observations of dry deposition of particles and laboratory measurements, particularly for 0.1 - 1 μm particles for which laboratory work shows very small deposition rates. In addition there are few estimates of deposition rates for forest and some other kinds of terrain. The most important gas in the context of a nuclear accident is I-131 and the behaviour of this gas at grass surfaces has received much attention. However smaller quantities of other gases and vapours may be released and the surface absorption of these species may require further investigation. In addition there is little knowledge of the behaviour of gases over many types of surface. The rate of deposition of particles and gases is influenced by many parameters including wind speed and the temperature stratification of the lower atmosphere. Conditions which give poor atmospheric dispersion usually give lower deposition velocities. Transfer to man depends on the availability of deposited materials on crops and grass. A wide range of isotopes including iodine and several metallic fission products are lost with a half life for residence on grass ranging from a few days to a few tens days, depending on climatic conditions

  16. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  17. Cathodic behaviour of stainless steel in coastal Indian seawater: calcareous deposits overwhelm biofilms.

    Science.gov (United States)

    Eashwar, M; Subramanian, G; Palanichamy, S; Rajagopal, G; Madhu, S; Kamaraj, P

    2009-01-01

    Type-316 stainless steel (SS) was investigated as the cathode in galvanic couples in full-strength seawater from the Gulf of Mannar on the southeast coast of India. Tests were devised to examine the impact of SS cathodes on anode materials with or without the accrual of marine biofilms. Biofilmed SS cathodes significantly enhanced the rate of corrosion of nickel, causing noble shifts in the couple potentials. With mild steel and zinc as the anodes, calcareous deposits developed quite rapidly on the SS cathodes and led to a significant reduction of bacterial numbers. The calcareous deposits also caused substantial reduction of galvanic corrosion rates for mild steel, whereas there was no difference for zinc. The deposits were identified by XRD as essentially carbonates, oxides and hydroxides of calcium and magnesium. Potentiodynamic polarization performed on the actual couples after disconnection and equilibration provided reasonable interpretations of the galvanic corrosion trends. Data from this work suggest that a potential of about -0.70 V vs. saturated calomel electrode (SCE) should provide optimum protection of SS in warmer, full-strength seawater that supports the precipitation of calcareous deposits. The criterion commonly recommended for temperate conditions of lower water temperature and estuarine waters of lower alkalinity is -1.0 V (SCE).

  18. Inter- and intra-annual chemical variability during the ice-free season in lakes with different flushing rates and acid deposition histories.

    Science.gov (United States)

    Arnott, Shelley E; Dillon, Peter J; Somers, Keith; Keller, Bill

    2003-01-01

    Quantifying chemical variability in different lake types is important for the assessment of both chemical and biological responses to environmental change. For monitoring programs that emphasize a large number of lakes at the expense of frequent samples, high variability may influence how representative single samples are of the average conditions of individual lakes. Intensive temporal data from long-term research sites provide a unique opportunity to assess chemical variability in lakes with different characteristics. We compared the intra- and inter-annual variability of four acidification related variables (Gran alkalinity, pH, sulphate concentration, and total base cation concentration) in four lakes with different flushing rates and acid deposition histories. Variability was highest in lakes with high flushing rates and was not influenced by historic acid deposition in our study lakes. This has implications for the amount of effort required in monitoring programs. Lakes with high flushing rates will require more frequent sampling intervals than lakes with low flushing rates. Consideration of specific lake types should be included in the design of monitoring programs.

  19. Occurrence and prevention of enhanced oxide deposition in boiler flow control orifices

    International Nuclear Information System (INIS)

    Woolsey, I.S.; Thomas, D.M.; Garbett, K.; Bignold, G.J.

    1989-10-01

    Once-through boilers, such as those of the AGRs, incorporate flow control orifices at the boiler inlet to ensure a satisfactory flow distribution and stability in the parallel flow paths of the boiler. Deposition of corrosion products in the flow control orifice leads to changes in the orifice pressure loss characteristics, which could lead to problems of flow maldistribution within the boiler, and any adverse consequences resulting from this, such as tube overheating. To date, AGR boiler inlet orifices have not suffered significant fouling due to corrosion products in the boiler feedwater. However, oxide deposition in orifices has been observed in other plants, and in experimental loops operating under conditions very similar to those at inlet to AGR boilers. The lack of deposition in AGR flow control orifices is therefore somewhat surprising. This Report describes studies carried out to examine the factors controlling oxide deposition in flow control orifices, the intention of the work being to explain why deposition has not occurred in AGR boilers to date, and to provide means of preventing deposition in the future should this prove necessary. (author)

  20. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    Science.gov (United States)

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  1. GE NANOCLUSTERS IN PLANAR GLASS WAVEGUIDES DEPOSITED BY PECVD

    DEFF Research Database (Denmark)

    Haiyan, Ou; Olsen, Johnny H.; Rottwitt, Karsten

    2004-01-01

    Germanium (Ge) has been widely used as the dopant in the core layer of planar glass waveguides to increase the refractive index because it gives a small propagation loss. Plasma enhanced chemical vapour deposition (PECVD) and flame hydrolysis deposition (FHD) are two main material deposition meth...

  2. High-performance flexible surface-enhanced Raman scattering substrates fabricated by depositing Ag nanoislands on the dragonfly wing

    Science.gov (United States)

    Wang, Yuhong; Wang, Mingli; Shen, Lin; Sun, Xin; Shi, Guochao; Ma, Wanli; Yan, Xiaoya

    2018-04-01

    Natural dragonfly wing (DW), as a template, was deposited on noble metal sliver (Ag) nanoislands by magnetron sputtering to fabricate a flexible, low-cost, large-scale and environment-friendly surface-enhanced Raman scattering (SERS) substrate (Ag/DW substrate). Generally, materials with regular surface nanostructures are chosen for the templates, the selection of our new material with irregular surface nanostructures for substrates provides a new idea for the preparation of high-performance SERS-active substrates and many biomimetic materials. The optimum sputtering time of metal Ag was also investigated at which the prepared SERS-active substrates revealed remarkable SERS activities to 4-aminothiophenol (4-ATP) and crystal violet (CV). Even more surprisingly, the Ag/DW substrate with such an irregular template had reached the enhancement factor (EF) of ∼1.05 × 105 and the detection limit of 10-10 M to 4-ATP. The 3D finite-different time-domain (3D-FDTD) simulation illustrated that the "hot spots" between neighbouring Ag nanoislands at the top of pillars played a most important role in generating electromagnetic (EM) enhancement and strengthening Raman signals.

  3. Growth graphene on silver-copper nanoparticles by chemical vapor deposition for high-performance surface-enhanced Raman scattering

    Science.gov (United States)

    Zhang, Xiumei; Xu, Shicai; Jiang, Shouzhen; Wang, Jihua; Wei, Jie; Xu, Shida; Gao, Shoubao; Liu, Hanping; Qiu, Hengwei; Li, Zhen; Liu, Huilan; Li, Zhenhua; Li, Hongsheng

    2015-10-01

    We present a graphene/silver-copper nanoparticle hybrid system (G/SCNPs) to be used as a high-performance surface-enhanced Raman scattering (SERS) substrate. The silver-copper nanoparticles wrapped by a monolayer graphene layer are directly synthesized on SiO2/Si substrate by chemical vapor deposition in a mixture of methane and hydrogen. The G/SCNPs shows excellent SERS enhancement activity and high reproducibility. The minimum detected concentration of R6G is as low as 10-10 M and the calibration curve shows a good linear response from 10-6 to 10-10 M. The date fluctuations from 20 positions of one SERS substrate are less than 8% and from 20 different substrates are less than 10%. The high reproducibility of the enhanced Raman signals could be due to the presence of an ultrathin graphene layer and uniform morphology of silver-copper nanoparticles. The use of G/SCNPs for detection of nucleosides extracted from human urine demonstrates great potential for the practical applications on a variety of detection in medicine and biotechnology field.

  4. Electron dose-rate conversion factors for external exposure of the skin from uniformly deposited activity on the body surface

    International Nuclear Information System (INIS)

    Kocher, D.C.; Eckerman, K.F.

    1987-01-01

    Dose-rate conversion factors have been calculated for external exposure of the skin from electrons emitted by sources that are deposited uniformly on the body surface. The dose-rate factors are obtained from electron scaled point kernels developed by Berger. The dose-rate factors are calculated at depths of 4, 8, and 40 mg cm-2 below the body surface as recommended by Whitton, and at a depth of 7 mg cm-2 as recommended in ICRP Publication 26 (ICRP77). The dependence of the dose-rate factors at selected depths on the energy of the emitted electrons is displayed. The dose-rate factors for selected radionuclides of potential importance in radiological assessments are tabulated

  5. The influences of anneal temperature and cooling rate on microstructure and tensile properties of laser deposited Ti–4Al–1.5Mn titanium alloy

    International Nuclear Information System (INIS)

    Tian, X.J.; Zhang, S.Q.; Wang, H.M.

    2014-01-01

    Highlights: • We study the heat treatment parameters of laser deposited near-α titanium alloy. • Microstructure/tensile property relationships are demonstrated and discussed. • Higher cooling rate leads to finer microstructure and higher strength. • Higher anneal temperature promotes strength without ductility obviously decreased. - Abstract: As a metal near-net-shape manufacturing technology, direct laser fabrication has a great potential to reduce costs and delivery time and received an intense attention in the field of titanium alloy aerospace components fabrications. However, the laser deposited titanium alloys usually have equivalent strength and lower ductility compared to the wrought counterparts due to their lamellar microstructure. To investigate the responses of laser deposit titanium alloy Ti–4Al–1.5Mn to anneal parameters, various anneal temperatures and cooling rates were applied in this study. Microstructures were examined by Optical Microscope (OM) and Scanning Electron Microscope (SEM). Microhardness test and room temperature tensile tests were employed to evaluate the tensile properties of the as-deposited and annealed specimens. Results show that air cooling from the α + β phase region generates a microstructure composed of coarse primary α plates and fine lamellar transformed β, while water quenching produces similar but much finer microstructure. Moreover, higher cooling rate generates more area fraction of fine transformed β. With increasing anneal temperature, the ultimate tensile strength and yield strength increase for both cooling methods. Moreover, higher cooling rate leads to higher strength as expected. It is worth noting that both the strength and ductility of the laser deposited alloy improved by water quenched from the α + β duplex phase region. The improved tensile properties were mainly owing to the fine lamellar transformed β in the special bimodal microstructure

  6. A fast and low-cost spray method for prototyping and depositing surface-enhanced Raman scattering arrays on microfluidic paper based device.

    Science.gov (United States)

    Li, Bowei; Zhang, Wei; Chen, Lingxin; Lin, Bingcheng

    2013-08-01

    In this study, a fast, low-cost, and facile spray method was proposed. This method deposits highly sensitive surface-enhanced Raman scattering (SERS) silver nanoparticles (AgNPs) on the paper-microfluidic scheme. The procedures for substrate preparation were studied including different strategies to synthesize AgNPs and the optimization of spray cycles. In addition, the morphologies of the different kinds of paper substrates were characterized by SEM and investigated by their SERS signals. The established method was found to be favorable for obtaining good sensitivity and reproducible results. The RSDs of Raman intensity of randomly analyzing 20 spots on the same paper or different filter papers depositing AgNPs are both below 15%. The SERS enhancement factor is approximately 2 × 10(7) . The whole fabrication is very rapid, robust, and does not require specific instruments. Furthermore, the total cost for 1000 pieces of chip is less than $20. These advantages demonstrated the potential for growing SERS applications in the area of environmental monitoring, food safety, and bioanalysis in the future. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Urban acid deposition

    Energy Technology Data Exchange (ETDEWEB)

    Conlan, D.E.; Longhurst, J.W.S.; Gee, D.R.; Hare, S.E.

    1991-07-01

    In this document results from the Greater Manchester Acid Deposition Survey (GMADS), an urban precipitation chemistry network, for 1990 are presented. Full analytical methods are described along with the precision and accuracy of the methods used. The spatial variability of precipitation chemistry and deposition over this urban region was investigated using a network of twenty collectors. Concentrations of non marine sulphate, ammonium, calcium and hydrogen, and nitrogen dioxide gas concentrations all show significant spatial variability. The spatial variability of the deposition rates of non marine sulphate, nitrate, ammonium, hydrogen and calcium were significant. (Author).

  8. The Pulsed Cylindrical Magnetron for Deposition

    Science.gov (United States)

    Korenev, Sergey

    2012-10-01

    The magnetron sputtering deposition of films and coatings broadly uses in microelectronics, material science, environmental applications and etc. The rate of target evaporation and time for deposition of films and coatings depends on magnetic field. These parameters link with efficiency of gas molecules ionization by electrons. The cylindrical magnetrons use for deposition of films and coatings on inside of pipes for different protective films and coatings in oil, chemical, environmental applications. The classical forming of magnetic field by permanent magnets or coils for big and long cylindrical magnetrons is complicated. The new concept of pulsed cylindrical magnetron for high rate deposition of films and coating for big and long pipes is presented in this paper. The proposed cylindrical magnetron has azimuthally pulsed high magnetic field, which allows forming the high ionized plasma and receiving high rate of evaporation material of target (central electrode). The structure of proposed pulsed cylindrical magnetron sputtering system is given. The main requirements to deposition system are presented. The preliminary data for forming of plasma and deposition of Ta films and coatings on the metal pipers are discussed. The comparison of classical and proposed cylindrical magnetrons is given. The analysis of potential applications is considered.

  9. Spatial variations of wet deposition rates in an extended region of complex topography deduced from measurements of 210Pb soil inventories

    International Nuclear Information System (INIS)

    Branford, D.; Mourne, R.W.; Fowler, D.

    1998-01-01

    The radionuclide 210 Pb derived from gaseous 222 Rn present in the atmosphere becomes attached to the same aerosols as the bulk of the main pollutants sulphur and nitrogen. When scavenged from the atmosphere by precipitation, the 210 Pb is readily attached to organic matter in the surface horizons of the soil. Inventories of 210 Pb in soil can thus be used to measure the spatial variations in wet (or cloud) deposition due to orography averaged over many precipitation events (half-life of 210 Pb is 22·3 year). Measurements of soil 210 Pb inventories were made along a transect through complex terrain in the Scottish Highlands to quantify the orographic enhancement of wet deposition near the summits of the three mountains Ben Cruachan, Beinn Dorain and Ben Lawers, which, respectively, lie at distances of approximately 30, 55 and 80 km from the coast in the direction of the prevailing wind. The inventory of 210 Pb on the wind-facing slopes of Ben Cruachan shows an increase with altitude that rises faster than the precipitation rate, which is indicative of seeder-feeder scavenging of orographic cloud occurring around the summit. Results for Beinn Dorain show a smaller rise with altitude whereas those for Ben Lawers give no indication of a rise. It is concluded that the seeder-feeder mechanism in regions of complex topology decreases in effectiveness as a function of distance inland along the direction of the prevailing wind. (Copyright (c) 1998 Elsevier Science B.V., Amsterdam. All rights reserved.)

  10. Enhancement on field emission characteristics of pulsed laser deposited diamondlike carbon films using Au precoatings

    International Nuclear Information System (INIS)

    Chuang, F.Y.; Sun, C.Y.; Cheng, H.F.; Lin, I.N.

    1997-01-01

    Using Au precoatings has been observed to significantly enhance the field emission properties of diamondlike carbon (DLC) films deposited on Si substrates. The electron emission can be turned on at a low field as 7 V/μm and a large emission current density as 2000 μA/cm 2 can be obtained at 20 V/μm applied field. However, preannealing the Au-coated Si substrates at 500 degree C for 30 min is necessary to achieve such a performance. Microscopic examination on surface and cross-sectional morphologies of the DLC/Au/Si films using atomic force microscopy and scanning electron microscopy, respectively, in conjunction with the elemental depth profile examination of these films using secondary ion mass spectroscopy, indicated that substantial interdiffusion between DLC, Au, and Si layers has occurred. Such kind of reaction is proposed to lower the resistance for electrons to transport across the interfaces and, thereafter, enhances the field emission properties of the DLC/Au/Si films. copyright 1997 American Institute of Physics

  11. Enhancement of C/C-LAS joint using aligned carbon nanotubes prepared by injection chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Feng-Ling; Fu, Qian-Gang, E-mail: fuqiangang@nwpu.edu.cn; Feng, Lei; Shen, Qing-Liang

    2016-01-05

    Carbon nanotubes (CNTs) enhanced carbon/carbon-lithium aluminum silicate (C/C-LAS) joint was prepared by a three-step technique of pack cementation, injection chemical vapor deposition (ICVD) and hot-pressing. A layer of aligned CNTs was grown on the surface of SiC coated C/C composites by ICVD method, and the joint was obtained by hot-pressing with magnesium aluminum silicate (MAS) as the interlayer. SEM observation reveals that the introduced CNTs result in the formation of a dense and crack-free CNT/MAS nanocomposite interface between SiC and MAS. Compared with the joints without CNTs, the average shear strength of the joints reinforced by CNTs was improved by 48% accompanied by an obvious change in failure mode from brittle fracture without CNTs to plastic fracture with CNTs. The pulling-out and bridging of CNTs on the fracture surfaces had a positive effect on the strength enhancement of the C/C-LAS joint.

  12. Measurement of deposition rate and ion energy distribution in a pulsed dc magnetron sputtering system using a retarding field analyzer with embedded quartz crystal microbalance.

    Science.gov (United States)

    Sharma, Shailesh; Gahan, David; Scullin, Paul; Doyle, James; Lennon, Jj; Vijayaraghavan, Rajani K; Daniels, Stephen; Hopkins, M B

    2016-04-01

    A compact retarding field analyzer with embedded quartz crystal microbalance has been developed to measure deposition rate, ionized flux fraction, and ion energy distribution arriving at the substrate location. The sensor can be placed on grounded, electrically floating, or radio frequency (rf) biased electrodes. A calibration method is presented to compensate for temperature effects in the quartz crystal. The metal deposition rate, metal ionization fraction, and energy distribution of the ions arriving at the substrate location are investigated in an asymmetric bipolar pulsed dc magnetron sputtering reactor under grounded, floating, and rf biased conditions. The diagnostic presented in this research work does not suffer from complications caused by water cooling arrangements to maintain constant temperature and is an attractive technique for characterizing a thin film deposition system.

  13. Measurement of deposition rate and ion energy distribution in a pulsed dc magnetron sputtering system using a retarding field analyzer with embedded quartz crystal microbalance

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Shailesh, E-mail: shailesh.sharma6@mail.dcu.ie [Dublin City University, Glasnevin, Dublin 9 (Ireland); Impedans Limited, Chase House, City Junction Business Park, Northern Cross, D17 AK63, Dublin 17 (Ireland); Gahan, David, E-mail: david.gahan@impedans.com; Scullin, Paul; Doyle, James; Lennon, Jj; Hopkins, M. B. [Impedans Limited, Chase House, City Junction Business Park, Northern Cross, D17 AK63, Dublin 17 (Ireland); Vijayaraghavan, Rajani K.; Daniels, Stephen [Dublin City University, Glasnevin, Dublin 9 (Ireland)

    2016-04-15

    A compact retarding field analyzer with embedded quartz crystal microbalance has been developed to measure deposition rate, ionized flux fraction, and ion energy distribution arriving at the substrate location. The sensor can be placed on grounded, electrically floating, or radio frequency (rf) biased electrodes. A calibration method is presented to compensate for temperature effects in the quartz crystal. The metal deposition rate, metal ionization fraction, and energy distribution of the ions arriving at the substrate location are investigated in an asymmetric bipolar pulsed dc magnetron sputtering reactor under grounded, floating, and rf biased conditions. The diagnostic presented in this research work does not suffer from complications caused by water cooling arrangements to maintain constant temperature and is an attractive technique for characterizing a thin film deposition system.

  14. Spatiotemporal Changes in Atmospheric Deposition Rates Across The Czech Republic Estimated in The Selected Biomonitoring Campaigns. Examples of Results Available For Landscape Ecology and Land Use Planning

    Directory of Open Access Journals (Sweden)

    Suchara Ivan

    2015-11-01

    Full Text Available Several large-scale and fine-scale biomonitoring surveys were carried out in the Czech Republic to estimate current and long-term accumulated atmospheric deposition rates using moss, spruce bark and forest floor humus as bioindicators since the end of 1980s. The results of the bioindicator analyses significantly correlated with available figures of deposition rates detected at the EMEP or Czech national measurement stations.

  15. Enhanced photoelectrocatalytic degradation of 2,4-dichlorophenoxyacetic acid by CuInS2 nanoparticles deposition onto TiO2 nanotube arrays

    International Nuclear Information System (INIS)

    Liu Ronghua; Liu Yutang; Liu Chengbin; Luo Shenglian; Teng Yarong; Yang Lixia; Yang Renbin; Cai Qingyun

    2011-01-01

    Research highlights: → The photocatalytic application of CuInS 2 with a direct band gap of about 1.5 eV and a high absorption coefficient remains unknown. → We describe an impulse electrodeposition approach to deposit CuInS 2 nanoparticles in uniform size of about 20 nm onto the top surface of the highly oriented TiO 2 NT arrays while minimizing the clogging of the tube entrances. → The novel photocatalyst exhibits a highly visible-light photocatalytic degradation activity for the target organic pollutant. → Moreover, the stability of the modified TiO 2 NT is good. → Therefore, CuInS 2 nanoparticles modified TiO 2 NT photocatalysts have potential utility in practical purification of organic wastewater. - Abstract: Surface modification of TiO 2 nanotube (NT) arrays with CuInS 2 nanoparticles (NPs) for photocatalytic degradation of 2,4-dichlorophenoxyacetic acid (2,4-D) was reported. A pulse electrodeposition technique was used to prepare the CuInS 2 NPs, and the resulted CuInS 2 NPs, with a uniform size of about 20 nm, were found to deposit on the top surface of the highly oriented TiO 2 NT while without clogging the tube entrances. Compared with the unmodified TiO 2 NT, the CuInS 2 NPs modified TiO 2 NT (CuInS 2 -TiO 2 NT) showed significantly enhanced photocatalytic activity towards 2,4-D under visible light. After 160 min irradiation, the removal rate of 2,4-D is 100% by using CuInS 2 -TiO 2 NT, much higher than 65.2% by using the unmodified TiO 2 NT in photoelectrocatalytic process. The increased photodegradation efficiency mainly results from the improved photocurrent density as results of enhanced visible-light absorption and decreased hole-electron recombination due to the presence of narrow-band-gap p-type semiconductor CuInS 2 .

  16. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    Science.gov (United States)

    Setti, Grazielle O.; Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J.; Joanni, Ednan; Jesus, Dosil P.

    2015-08-01

    Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor-liquid-solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets.

  17. Effect of deposition rate on melting point of copper film catalyst substrate at atomic scale

    Science.gov (United States)

    Marimpul, Rinaldo; Syuhada, Ibnu; Rosikhin, Ahmad; Winata, Toto

    2018-03-01

    Annealing process of copper film catalyst substrate was studied by molcular dynamics simulation. This copper film catalyst substrate was produced using thermal evaporation method. The annealing process was limited in nanosecond order to observe the mechanism at atomic scale. We found that deposition rate parameter affected the melting point of catalyst substrate. The change of crystalline structure of copper atoms was observed before it had been already at melting point. The optimum annealing temperature was obtained to get the highest percentage of fcc structure on copper film catalyst substrate.

  18. Pass-through of Change in Policy Interest Rate to Market Rates

    OpenAIRE

    M. Idrees Khawaja; Sajawal Khan

    2008-01-01

    This paper examines the pass through of the change in policy interest rate of the central bank of Pakistan to market interest rates. The market rates examined include KIBOR, six month deposit rate and weighted average lending rate. More or less complete pass-through of the change in policy rate to KIBOR is observed within one month. However, the magnitude of change in policy rate to deposit and lending rate is not only low but is slow as well. The pass-through to the weighted average lending ...

  19. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  20. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  1. Field metabolic rate and PCB adipose tissue deposition efficiency in East Greenland polar bears derived from contaminant monitoring data.

    Directory of Open Access Journals (Sweden)

    Viola Pavlova

    Full Text Available Climate change will increasingly affect the natural habitat and diet of polar bears (Ursus maritimus. Understanding the energetic needs of polar bears is therefore important. We developed a theoretical method for estimating polar bear food consumption based on using the highly recalcitrant polychlorinated biphenyl (PCB congener, 2,2',4,4',55-hexaCB (CB153 in bear adipose tissue as an indicator of food intake. By comparing the CB153 tissue concentrations in wild polar bears with estimates from a purposely designed individual-based model, we identified the possible combinations of field metabolic rates (FMR and CB153 deposition efficiencies in East Greenland polar bears. Our simulations indicate that if 30% of the CB153 consumed by polar bear individuals were deposited into their adipose tissue, the corresponding FMR would be only two times the basal metabolic rate. In contrast, if the modelled CB153 deposition efficiency were 10%, adult polar bears would require six times more energy than that needed to cover basal metabolism. This is considerably higher than what has been assumed for polar bears in previous studies though it is similar to FMRs found in other marine mammals. An implication of this result is that even relatively small reductions in future feeding opportunities could impact the survival of East Greenland polar bears.

  2. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  3. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  4. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  5. {sup 210}Pb-Excess and Sediment Accumulation Rates at the Iberian Continental Margin

    Energy Technology Data Exchange (ETDEWEB)

    Carvalho, F. P.; Oliveira, J. M.; Soares, A. M. [Nuclear and Technological Institute, Sacavem (Portugal)

    2013-07-15

    Sediments from the continental shelf, slope, and rise at the continental margin of northern Portugal and the adjacent Iberian abyssal basin were analysed for 210Pb, {sup 226}Ra, {sup 137}Cs and {sup 14}C. Pb-210 derived sedimentation rates at the continental shelf off the Portuguese coast were 0.2-0.6 cm/a. In some cores from fine sediment deposits at the outer shelf, the {sup 210}Pb excess continuum was interrupted and sediment layers were missing, suggesting that events such as sediment slides could have occurred. Higher sedimentation rates were determined in locations at the rise of the continental slope, confirming enhanced deposition by sediment slides. In the deeper Iberian Abyssal Basin, using the {sup 14}C age of sediment layers the sedimentation rate was determined at 3.2 cm/ka, thus four orders of magnitude lower than at the continental shelf. The spatial distribution of sedimentation rates determined by radionuclide based chronologies, suggested that fine sediments from river discharges are deposited mainly at the outer continental shelf. These deposits may became unstable with time and, occasionally, originate sediment slides that are drained by the canyons and reach the deep sea. The Iberian abyssal basin receives some advective contribution of these sediment slides and the sedimentation rate is one order of magnitude higher than in other abyssal basins of the NE Atlantic Ocean. (author)

  6. Study of the effect of the deposition parameters on the structural, electric and optical characteristics of polymorphous silicon films prepared by low frequency PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, M., E-mail: mmoreno@inaoep.mx [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico); Torres, A. [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico); Ambrosio, R. [Universidad Autonoma de Ciudad Juarez, Electrical Deparment, Chihuahua (Mexico); Zuniga, C.; Torres-Rios, A.; Monfil, K.; Rosales, P.; Itzmoyotl, A. [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico)

    2011-10-25

    In this work we present our results on the deposition and characterization of polymorphous silicon (pm-Si:H) films prepared by low frequency plasma enhanced chemical vapor deposition (LF-PECVD). We have studied the effect of the plasma deposition parameters (as the chamber pressure and gas flow rates of SiH{sub 4} and H{sub 2}) on the structural, electric, and optical characteristics of the films. The temperature dependence of conductivity ({sigma}(T)), activation energy (E{sub a}), optical band gap (E{sub g}) and deposition rate (V{sub d}) were extracted for pm-Si:H films deposited at different pressure values and different gas flow rates. We observed that the chamber pressure is an important parameter that has a significant effect on the electric characteristics, and as well on the morphology of the pm-Si:H films (deduced from atomic force microscopy). It was found an optimal pressure range, in order to produce pm-Si:H films with high E{sub a} and room temperature conductivity, {sigma}{sub RT}, which are key parameters for thermal detection applications.

  7. Study of the effect of the deposition parameters on the structural, electric and optical characteristics of polymorphous silicon films prepared by low frequency PECVD

    International Nuclear Information System (INIS)

    Moreno, M.; Torres, A.; Ambrosio, R.; Zuniga, C.; Torres-Rios, A.; Monfil, K.; Rosales, P.; Itzmoyotl, A.

    2011-01-01

    In this work we present our results on the deposition and characterization of polymorphous silicon (pm-Si:H) films prepared by low frequency plasma enhanced chemical vapor deposition (LF-PECVD). We have studied the effect of the plasma deposition parameters (as the chamber pressure and gas flow rates of SiH 4 and H 2 ) on the structural, electric, and optical characteristics of the films. The temperature dependence of conductivity (σ(T)), activation energy (E a ), optical band gap (E g ) and deposition rate (V d ) were extracted for pm-Si:H films deposited at different pressure values and different gas flow rates. We observed that the chamber pressure is an important parameter that has a significant effect on the electric characteristics, and as well on the morphology of the pm-Si:H films (deduced from atomic force microscopy). It was found an optimal pressure range, in order to produce pm-Si:H films with high E a and room temperature conductivity, σ RT , which are key parameters for thermal detection applications.

  8. Inhalation of nanoplatelets - Theoretical deposition simulations.

    Science.gov (United States)

    Sturm, Robert

    2017-12-01

    Primary objective of the contribution was the theoretical prediction of nanoplatelet deposition in the human respiratory tract. Modeling was founded on the hypothetical inhalation of graphene nanoplatelets (GNP) measuring 0.01 and 0.1μm in thickness and adopting a projected area diameter of 1-30μm. Particle uptake was assumed to take place with inhalation flow rates of 250, 500, 750, and 1000cm 3 s -1 , respectively. For an appropriate description of pulmonary particle behavior, transport of GNP in a stochastic lung structure and deposition formulae based on analytical and numerical studies were presupposed. The results obtained from the theoretical approach clearly demonstrate that GNP with a thickness of 0.01μm deposit in the respiratory tract by 20-50%, whereas GNP with a thickness of 0.1μm exhibit a deposition of 20-90%. Larger platelets deposit with higher probability than small ones. Increase of inhalation flow rate is accompanied by decreased deposition in the case of thin GNP, whilst thicker GNP are preferably accumulated in the extrathoracic region. Generation-specific deposition ranges from 0.05 to 7% (0.01μm) and from 0.05 to 9%, with maximum values being obtained in airway generation 20. In proximal airway generations (0-10), deposition is increased with inhalation flow rate, whereas in intermediate to distal generations a reverse effect may be observed. Health consequences of GNP deposition in different lung compartments are subjected to an intense debate. Copyright © 2017. Published by Elsevier GmbH.

  9. Influence of deposition parameters on morphological properties of biomedical calcium phosphate coatings prepared using electrostatic spray deposition

    International Nuclear Information System (INIS)

    Leeuwenburgh, S.C.G.; Wolke, J.G.C.; Schoonman, J.; Jansen, J.A.

    2005-01-01

    In order to deposit biomedical calcium phosphate (CaP) coatings with a defined surface morphology, the electrostatic spray deposition (ESD) technique was used since this technique offers the possibility to deposit ceramic coatings with a variety of surface morphologies. A scanning electron microscopical study was performed in order to investigate the influence of several deposition parameters on the final morphology of the deposited coatings. The chemical characteristics of the coatings were studied by means of X-ray diffraction and Fourier-transform infrared spectroscopy. Regarding the chemical coating properties, the results showed that the coatings can be described as crystalline carbonate apatite coatings, a crystal phase which is similar to the mineral phase of bone and teeth. The morphology of CaP coatings, deposited using the ESD technique, was strongly dependent on the deposition parameters. By changing the nozzle-to-substrate distance, the precursor liquid flow rate and the deposition temperature, coating morphologies were deposited, which varied from dense to highly porous, reticular morphologies. The formation of various morphologies was the result of an equilibrium between the relative rates of CaP solute precipitation/reaction, solvent evaporation and droplet spreading onto the substrate surface

  10. Details of regional particle deposition and airflow structures in a realistic model of human tracheobronchial airways: two-phase flow simulation.

    Science.gov (United States)

    Rahimi-Gorji, Mohammad; Gorji, Tahereh B; Gorji-Bandpy, Mofid

    2016-07-01

    In the present investigation, detailed two-phase flow modeling of airflow, transport and deposition of micro-particles (1-10µm) in a realistic tracheobronchial airway geometry based on CT scan images under various breathing conditions (i.e. 10-60l/min) was considered. Lagrangian particle tracking has been used to investigate the particle deposition patterns in a model comprising mouth up to generation G6 of tracheobronchial airways. The results demonstrated that during all breathing patterns, the maximum velocity change occurred in the narrow throat region (Larynx). Due to implementing a realistic geometry for simulations, many irregularities and bending deflections exist in the airways model. Thereby, at higher inhalation rates, these areas are prone to vortical effects which tend to entrap the inhaled particles. According to the results, deposition fraction has a direct relationship with particle aerodynamic diameter (for dp=1-10µm). Enhancing inhalation flow rate and particle size will largely increase the inertial force and consequently, more particle deposition is evident suggesting that inertial impaction is the dominant deposition mechanism in tracheobronchial airways. Copyright © 2016 Elsevier Ltd. All rights reserved.

  11. Full coverage of perovskite layer onto ZnO nanorods via a modified sequential two-step deposition method for efficiency enhancement in perovskite solar cells

    Science.gov (United States)

    Ruankham, Pipat; Wongratanaphisan, Duangmanee; Gardchareon, Atcharawon; Phadungdhitidhada, Surachet; Choopun, Supab; Sagawa, Takashi

    2017-07-01

    Full coverage of perovskite layer onto ZnO nanorod substrates with less pinholes is crucial for achieving high-efficiency perovskite solar cells. In this work, a two-step sequential deposition method is modified to achieve an appropriate property of perovskite (MAPbI3) film. Surface treatment of perovskite layer and its precursor have been systematically performed and their morphologies have been investigated. By pre-wetting of lead iodide (PbI2) and letting it dry before reacting with methylammonium iodide (MAI) provide better coverage of perovskite film onto ZnO nanorod substrate than one without any treatment. An additional MAI deposition followed with toluene drop-casting technique on the perovskite film is also found to increase the coverage and enhance the transformation of PbI2 to MAPbI3. These lead to longer charge carrier lifetime, resulting in an enhanced power conversion efficiency (PCE) from 1.21% to 3.05%. The modified method could been applied to a complex ZnO nanorods/TiO2 nanoparticles substrate. The enhancement in PCE to 3.41% is observed. These imply that our introduced method provides a simple way to obtain the full coverage and better transformation to MAPbI3 phase for enhancement in performances of perovskite solar cells.

  12. Effects of thermal annealing on the structural, mechanical, and tribological properties of hard fluorinated carbon films deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Maia da Costa, M. E. H.; Baumvol, I. J. R.; Radke, C.; Jacobsohn, L. G.; Zamora, R. R. M.; Freire, F. L.

    2004-11-01

    Hard amorphous fluorinated carbon films (a-C:F) deposited by plasma enhanced chemical vapor deposition were annealed in vacuum for 30 min in the temperature range of 200-600 °C. The structural and compositional modifications were followed by several analytical techniques: Rutherford backscattering spectrometry (RBS), elastic recoil detection analysis (ERDA), x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Nanoidentation measurements and lateral force microscopy experiments were carried out in order to provide the film hardness and the friction coefficient, respectively. The internal stress and contact angle were also measured. RBS, ERDA, and XPS results indicate that both fluorine and hydrogen losses occur for annealing temperatures higher than 300 °C. Raman spectroscopy shows a progressive graphitization upon annealing, while the surface became slightly more hydrophobic as revealed by the increase of the contact angle. Following the surface wettability reduction, a decrease of the friction coefficient was observed. These results highlight the influence of the capillary condensation on the nanoscale friction. The film hardness and the internal stress are constant up to 300 °C and decrease for higher annealing temperatures, showing a direct correlation with the atomic density of the films. Since the thickness variation is negligible, the mass loss upon thermal treatment results in amorphous structures with a lower degree of cross-linking, explaining the deterioration of the mechanical properties of the a-C:F films.

  13. Synthesis and Electrochemical Evaluation of Carbon Supported Pt-Co Bimetallic Catalysts Prepared by Electroless Deposition and Modified Charge Enhanced Dry Impregnation

    Directory of Open Access Journals (Sweden)

    John Meynard M. Tengco

    2016-06-01

    Full Text Available Carbon-supported bimetallic Pt-Co cathode catalysts have been previously identified as higher activity alternatives to conventional Pt/C catalysts for fuel cells. In this work, a series of Pt-Co/C catalysts were synthesized using electroless deposition (ED of Pt on a Co/C catalyst prepared by modified charge enhanced dry impregnation. X-ray diffraction (XRD and scanning transmission electron microscopy (STEM characterization of the base catalyst showed highly dispersed particles. A basic ED bath containing PtCl62− as the Pt precursor, dimethylamine borane as reducing agent, and ethylenediamine as stabilizing agent successfully targeted deposition of Pt on Co particles. Simultaneous action of galvanic displacement and ED resulted in Pt-Co alloy formation observed in XRD and energy dispersive X-ray spectroscopy (XEDS mapping. In addition, fast deposition kinetics resulted in hollow shell Pt-Co alloy particles while particles with Pt-rich shell and Co-rich cores formed with controlled Pt deposition. Electrochemical evaluation of the Pt-Co/C catalysts showed lower active surface but much higher mass and surface activities for oxygen reduction reaction compared to a commercial Pt/C fuel cell catalyst.

  14. Low temperature rf sputtering deposition of (Ba, Sr) TiO3 thin film with crystallization enhancement by rf power supplied to the substrate

    International Nuclear Information System (INIS)

    Yoshimaru, Masaki; Takehiro, Shinobu; Abe, Kazuhide; Onoda, Hiroshi

    2005-01-01

    The (Ba, Sr) TiO 3 thin film deposited by radio frequency (rf) sputtering requires a high deposition temperature near 500 deg. C to realize a high relative dielectric constant over of 300. For example, the film deposited at 330 deg. C contains an amorphous phase and shows a low relative dielectric constant of less than 100. We found that rf power supplied not only to the (Ba, Sr) TiO 3 sputtering target, but also to the substrate during the initial step of film deposition, enhanced the crystallization of the (Ba, Sr) TiO 3 film drastically and realized a high dielectric constant of the film even at low deposition temperatures near 300 deg. C. The 50-nm-thick film with only a 10 nm initial layer deposited with the substrate rf biasing is crystallized completely and shows a high relative dielectric constant of 380 at the deposition temperature of 330 deg. C. The (Ba, Sr) TiO 3 film deposited at higher temperatures (upwards of 400 deg. C) shows preferred orientation, while the film deposited at 330 deg. C with the 10 nm initial layer shows a preferred orientation on a -oriented ruthenium electrode. The unit cell of (Ba, Sr) TiO 3 (111) plane is similar to that of ruthenium (001) plane. We conclude that the rf power supplied to the substrate causes ion bombardments on the (Ba, Sr) TiO 3 film surface, which assists the quasiepitaxial growth of (Ba, Sr) TiO 3 film on the ruthenium electrode at low temperatures of less than 400 deg. C

  15. Low temperature rf sputtering deposition of (Ba, Sr) TiO3 thin film with crystallization enhancement by rf power supplied to the substrate

    Science.gov (United States)

    Yoshimaru, Masaki; Takehiro, Shinobu; Abe, Kazuhide; Onoda, Hiroshi

    2005-05-01

    The (Ba, Sr) TiO3 thin film deposited by radio frequency (rf) sputtering requires a high deposition temperature near 500 °C to realize a high relative dielectric constant over of 300. For example, the film deposited at 330 °C contains an amorphous phase and shows a low relative dielectric constant of less than 100. We found that rf power supplied not only to the (Ba, Sr) TiO3 sputtering target, but also to the substrate during the initial step of film deposition, enhanced the crystallization of the (Ba, Sr) TiO3 film drastically and realized a high dielectric constant of the film even at low deposition temperatures near 300 °C. The 50-nm-thick film with only a 10 nm initial layer deposited with the substrate rf biasing is crystallized completely and shows a high relative dielectric constant of 380 at the deposition temperature of 330 °C. The (Ba, Sr) TiO3 film deposited at higher temperatures (upwards of 400 °C) shows preferred orientation, while the film deposited at 330 °C with the 10 nm initial layer shows a preferred orientation on a -oriented ruthenium electrode. The unit cell of (Ba, Sr) TiO3 (111) plane is similar to that of ruthenium (001) plane. We conclude that the rf power supplied to the substrate causes ion bombardments on the (Ba, Sr) TiO3 film surface, which assists the quasiepitaxial growth of (Ba, Sr) TiO3 film on the ruthenium electrode at low temperatures of less than 400 °C.

  16. Quantum theory of enhanced unimolecular reaction rates below the ergodicity threshold

    International Nuclear Information System (INIS)

    Leitner, David M.; Wolynes, Peter G.

    2006-01-01

    A variety of unimolecular reactions exhibit measured rates that exceed Rice-Ramsperger-Kassel-Marcus (RRKM) predictions. We show using the local random matrix theory (LRMT) of vibrational energy flow how the quantum localization of the vibrational states of a molecule, by violating the ergodicity assumption, can give rise to such an enhancement of the apparent reaction rate. We present an illustrative calculation using LRMT for a model 12-vibrational mode organic molecule to show that below the ergodicity threshold the reaction rate may exceed many times the RRKM prediction due to quantum localization of vibrational states

  17. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  18. Controlled precipitation for enhanced dissolution rate of flurbiprofen: development of rapidly disintegrating tablets.

    Science.gov (United States)

    Essa, Ebtessam A; Elmarakby, Amira O; Donia, Ahmed M A; El Maghraby, Gamal M

    2017-09-01

    The aim of this work was to investigate the potential of controlled precipitation of flurbiprofen on solid surface, in the presence or absence of hydrophilic polymers, as a tool for enhanced dissolution rate of the drug. The work was extended to develop rapidly disintegrated tablets. This strategy provides simple technique for dissolution enhancement of slowly dissolving drugs with high scaling up potential. Aerosil was dispersed in ethanolic solution of flurbiprofen in the presence and absence of hydrophilic polymers. Acidified water was added as antisolvent to produce controlled precipitation. The resultant particles were centrifuged and dried at ambient temperature before monitoring the dissolution pattern. The particles were also subjected to FTIR spectroscopic, X-ray diffraction and thermal analyses. The FTIR spectroscopy excluded any interaction between flurbiprofen and excipients. The thermal analysis reflected possible change in the crystalline structure and or crystal size of the drug after controlled precipitation in the presence of hydrophilic polymers. This was further confirmed by X-ray diffraction. The modulation in the crystalline structure and size was associated with a significant enhancement in the dissolution rate of flurbiprofen. Optimum formulations were successfully formulated as rapidly disintegrating tablet with subsequent fast dissolution. Precipitation on a large solid surface area is a promising strategy for enhanced dissolution rate with the presence of hydrophilic polymers during precipitation process improving the efficiency.

  19. The role of transverse speed on deposition height and material efficiency in laser deposited titanium alloy

    CSIR Research Space (South Africa)

    Mahamood, RM

    2013-03-01

    Full Text Available The most commonly used aerospace titanium alloy, Ti6Al4V, was deposited on Ti6Al4V plate of dimension 72 x 72 x5mm. The laser power of 3 kW, powder flow rate of 1.44 g/min and gas flow rate of 4 l/min were used throughout the deposition process...

  20. Physical mechanisms contributing to enhanced bipolar gain degradation at low dose rates

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Reber, R.A. Jr.; Winokur, P.S.; Kosier, S.L.; Schrimpf, R.D.; Wei, A.; DeLaus, M.; Combs, W.E.; Pease, R.L.

    1994-01-01

    The authors have performed capacitance-voltage (C-V) and thermally-stimulated-current (TSC) measurements on non-radiation-hard MOS capacitors simulating screen oxides of modern bipolar technologies. For 0-V irradiation of ∼25 C, the net trapped-positive-charge density (N ox ) inferred from midgap C-V shifts is ∼25--40% greater for low-dose-rate ( 2 )/s) than for high-dose-rate (> 100 rad(SiO 2 )/s) exposure. Device modeling shows that such a difference in screen-oxide N ox is enough to account for the enhanced low-rate gain degradation often observed in bipolar devices, due to the ∼ exp(N ox 2 ) dependence of the excess base current. At the higher rates, TSC measurements reveal a ∼10% decrease in trapped-hole density over low rates. Also, at high rates, up to ∼2.5-times as many trapped holes are compensated by electrons in border traps than at low rates for these devices and irradiation conditions. Both the reduction in trapped-hole density and increased charge compensation reduce the high-rate midgap shift. A physical model is developed which suggests that both effects are caused by time-dependent space charge in the bulk of these soft oxides associated with slowly transporting and/or metastably trapped holes (e.g., in Eδ' centers). On the basis of this model, bipolar transistors and screen-oxide capacitors were irradiated at 60 C at 200 rad(SiO 2 )/s in a successful effort to match low-rate damage. these surprising results provide insight into enhanced low-rate bipolar gain degradation and suggest potentially promising new approaches to bipolar and BiCMOS hardness assurance for space applications