WorldWideScience

Sample records for ecr plasma device

  1. ECR Plasma Photos

    International Nuclear Information System (INIS)

    Racz, R.; Biri, S.; Palinkas, J.

    2009-01-01

    Complete text of publication follows. In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from He, methane, N, O, Ne, Ar, Kr, Xe gases and from their mixtures. The effects of the main external setting parameters (gas pressure, gas composition, magnetic field, microwave power, microwave frequency) were studied to the shape, color and structure of the plasma. The double frequency mode (9+14 GHz) was also realized and photos of this special 'star-in-star' shape plasma were recorded. A study was performed to analyze and understand the color of the ECR plasmas. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas. To our best knowledge our work is the first systematic study of ECR plasmas in the visible light region. When looking in the plasma chamber of an ECRIS we can see an axial image of the plasma (figure 1) in conformity with experimental setup. Most of the quantitative information was obtained through the summarised values of the Analogue Digital Unit (ADU) of pixels. By decreasing the strength of the magnetic trap we clearly observed that the brightness of the central part of the plasma gradually decreases, i.e. the plasma becomes more and more 'empty'. Figure 2 shows a photo series of ECR plasma at decreasing axial magnetic field. The radial size of the plasma increased because of the ascendant resonant zone. By increasing the power of the injected microwave an optimum (or at least saturation) was found in the brightness of the plasma. We found correlation between the gas dosing rates and plasma intensities. When sweeping the frequency of the microwave in a wide region

  2. An ECR table plasma generator

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Bin, S.

    2012-01-01

    A compact ECR plasma device was built in our lab using the 'spare parts' of the ATOMKI ECR ion source. We call it 'ECR Table Plasma Generator'. It consists of a relatively big plasma chamber (ID=10 cm, L=40 cm) in a thin NdFeB hexapole magnet with independent vacuum and gas dosing systems. For microwave coupling two low power TWTAs (Travelling Wave tube amplifier) can be applied individually or simultaneously, operating in the 6-18 GHz range. There is no axial magnetic trap and there is no extraction. The technical details of the plasma generator and preliminary plasma photo study results are shown. This paper is followed by the associated poster. (authors)

  3. ECR plasma photographs as a plasma diagnostic

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R; Biri, S; Palinkas, J [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary)

    2011-04-15

    Low, medium or highly charged ions delivered by electron cyclotron resonance (ECR) ion sources all are produced in the ECR plasma. In order to study such plasmas, high-resolution visible light plasma photographs were taken at the ATOMKI ECR ion source. An 8 megapixel digital camera was used to photograph plasmas made from He, methane, N, O, Ne, Ar, Kr, Xe gases and from their mixtures. The analysis of the photo series gave many qualitative and some valuable physical information on the nature of ECR plasmas. A comparison was made between the plasma photos and computer simulations, and conclusions were drawn regarding the cold electron component of the plasma. The warm electron component of similar simulation was compared with x-ray photos emitted by plasma ions. While the simulations are in good agreement with the photos, a significant difference was found between the spatial distribution of the cold and warm electrons.

  4. Plasma heating due to X-B mode conversion in a cylindrical ECR plasma system

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, V.K.; Bora, D. [Institute for Plasma Research, Bhat, Gandhinagar, Gujarat (India)

    2004-07-01

    Extra Ordinary (X) mode conversion to Bernstein wave near Upper Hybrid Resonance (UHR) layer plays an important role in plasma heating through cyclotron resonance. Wave generation at UHR and parametric decay at high power has been observed during Electron Cyclotron Resonance (ECR) heating experiments in toroidal magnetic fusion devices. A small linear system with ECR and UHR layer within the system has been used to conduct experiments on X-B conversion and parametric decay process as a function of system parameters. Direct probing in situ is conducted and plasma heating is evidenced by soft x-ray emission measurement. Experiments are performed with hydrogen plasma produced with 160-800 W microwave power at 2.45 GHz of operating frequency at 10{sup -3} mbar pressure. The axial magnetic field required for ECR is such that the resonant surface (B = 875 G) is situated at the geometrical axis of the plasma system. Experimental results will be presented in the paper. (authors)

  5. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  6. Broadband frequency ECR ion source concepts with large resonant plasma volumes

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    New techniques are proposed for enhancing the performances of ECR ion sources. The techniques are based on the use of high-power, variable-frequency, multiple-discrete-frequency, or broadband microwave radiation, derived from standard TWT technology, to effect large resonant ''volume'' ECR sources. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, the effect of which is to produce higher charge state distributions and much higher intensities within a particular charge state than possible in present forms of the ECR ion source. If successful, these developments could significantly impact future accelerator designs and accelerator-based, heavy-ion-research programs by providing multiply-charged ion beams with the energies and intensities required for nuclear physics research from existing ECR ion sources. The methods described in this article can be used to retrofit any ECR ion source predicated on B-minimum plasma confinement techniques

  7. Statistical properties of turbulence in a toroidal magnetized ECR plasma

    International Nuclear Information System (INIS)

    Yu Yi; Lu Ronghua; Wang Zhijiang; Wen Yizhi; Yu Changxuan; Wan Shude; Liu, Wandong

    2008-01-01

    The statistical analyses of fluctuation data measured by electrostatic-probe arrays clearly show that the self-organized criticality (SOC) avalanches are not the dominant behaviors in a toroidal ECR plasma in the SMT (Simple Magnetic Torus) mode of KT-5D device. The f -1 index region in the auto-correlation spectra of the floating potential V f and the ion saturation current I s , which is a fingerprint of a SOC system, ranges only in a narrow frequency band. By investigating the Hurst exponents at increasingly coarse grained time series, we find that at a time scale of τ>100 μs, there exists no or a very weak long-range correlation over two decades in τ. The difference between the PDFs of I s and V f clearly shows a more global nature of the latter. The transport flux induced by the turbulence suggests that the natural intermittency of turbulent transport maybe independent of the avalanche induced by near criticality. The drift instability is dominant in a SMT plasma generated by means of ECR discharges

  8. Proceedings of the 10th international workshop on ECR ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, F W; Kirkpatrick, M I [eds.

    1991-01-01

    This report contains papers on the following topics: Recent Developments and Future Projects on ECR Ion Sources; Operation of the New KVI ECR Ion Source at 10 GHz; Operational Experience and Status of the INS SF-ECR Ion Source; Results of the New ECR4'' 14.5 GHz ECRIS; Preliminary Performance of the AECR; Experimental Study of the Parallel and Perpendicular Particle Losses from an ECRIS Plasma; Plasma Instability in Electron Cyclotron Resonance Heated Ion Sources; The Hyperbolic Energy Analyzer; Status of ECR Source Development; The New 10 GHz CAPRICE Source; First Operation of the Texas A M ECR Ion Source; Recent Developments of the RIKEN ECR Ion Sources; The 14 GHz CAPRICE Source; Characteristics and Potential Applications of an ORNL Microwave ECR Multicusp Plasma Ion Source; ECRIPAC: The Production and Acceleration of Multiply Charged Ions Using an ECR Plasma; ECR Source for the HHIRF Tandem Accelerator; Feasibility Studies for an ECR-Generated Plasma Stripper; Production of Ion Beams by using the ECR Plasmas Cathode; A Single Stage ECR Source for Efficient Production of Radioactive Ion Beams; The Single Staged ECR Source at the TRIUMF Isotope Separator TISOL; The Continuous Wave, Optically Pumped H{sup {minus}} Source; The H{sup +} ECR Source for the LAMPF Optically Pumped Polarized Ion Source; Present Status of the Warsaw CUSP ECR Ion Source; An ECR Source for Negative Ion Production; GYRAC-D: A Device for a 200 keV ECR Plasma Production and Accumulation; Status Report of the 14.4 GHZ ECR in Legnaro; Status of JYFL-ECRIS; Report on the Uppsala ECRIS Facility and Its Planned Use for Atomic Physics; A 10 GHz ECR Ion Source for Ion-Electron and Ion-Atom Collision Studies; and Status of the ORNL ECR Source Facility for Multicharged Ion Collision Research.

  9. Proceedings of the 10th international workshop on ECR ion sources

    International Nuclear Information System (INIS)

    Meyer, F.W.; Kirkpatrick, M.I.

    1991-01-01

    This report contains papers on the following topics: Recent Developments and Future Projects on ECR Ion Sources; Operation of the New KVI ECR Ion Source at 10 GHz; Operational Experience and Status of the INS SF-ECR Ion Source; Results of the New ''ECR4'' 14.5 GHz ECRIS; Preliminary Performance of the AECR; Experimental Study of the Parallel and Perpendicular Particle Losses from an ECRIS Plasma; Plasma Instability in Electron Cyclotron Resonance Heated Ion Sources; The Hyperbolic Energy Analyzer; Status of ECR Source Development; The New 10 GHz CAPRICE Source; First Operation of the Texas A ampersand M ECR Ion Source; Recent Developments of the RIKEN ECR Ion Sources; The 14 GHz CAPRICE Source; Characteristics and Potential Applications of an ORNL Microwave ECR Multicusp Plasma Ion Source; ECRIPAC: The Production and Acceleration of Multiply Charged Ions Using an ECR Plasma; ECR Source for the HHIRF Tandem Accelerator; Feasibility Studies for an ECR-Generated Plasma Stripper; Production of Ion Beams by using the ECR Plasmas Cathode; A Single Stage ECR Source for Efficient Production of Radioactive Ion Beams; The Single Staged ECR Source at the TRIUMF Isotope Separator TISOL; The Continuous Wave, Optically Pumped H - Source; The H + ECR Source for the LAMPF Optically Pumped Polarized Ion Source; Present Status of the Warsaw CUSP ECR Ion Source; An ECR Source for Negative Ion Production; GYRAC-D: A Device for a 200 keV ECR Plasma Production and Accumulation; Status Report of the 14.4 GHZ ECR in Legnaro; Status of JYFL-ECRIS; Report on the Uppsala ECRIS Facility and Its Planned Use for Atomic Physics; A 10 GHz ECR Ion Source for Ion-Electron and Ion-Atom Collision Studies; and Status of the ORNL ECR Source Facility for Multicharged Ion Collision Research

  10. Properties of hydrogenated amorphous silicon (a-Si:H) deposited using a microwave Ecr plasma

    International Nuclear Information System (INIS)

    Mejia H, J.A.

    1996-01-01

    Hydrogenated amorphous silicon (a-Si:H) films have been widely applied to semiconductor devices, such as thin film transistors, solar cells and photosensitive devices. In this work, the first Si-H-Cl alloys (obtained at the National Institute for Nuclear Research of Mexico) were formed by a microwave electron cyclotron resonance (Ecr) plasma CVD method. Gaseous mixtures of silicon tetrachloride (Si Cl 4 ), hydrogen and argon were used. The Ecr plasma was generated by microwaves at 2.45 GHz and a magnetic field of 670 G was applied to maintain the discharge after resonance condition (occurring at 875 G). Si and Cl contents were analyzed by Rutherford Backscattering Spectrometry (RBS). It was found that, increasing proportion of Si Cl 4 in the mixture or decreasing pressure, the silicon and chlorine percentages decrease. Optical gaps were obtained by spectrophotometry. Decreasing temperature, optical gap values increase from 1.4 to 1.5 eV. (Author)

  11. Characterization of atomic oxygen from an ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, M; Bhoraskar, V N; Mandale, A B; Sainkar, S R; Bhoraskar, S V

    2002-01-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ∼1x10 20 to ∼10x10 20 atom m -3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe

  12. Characterization of atomic oxygen from an ECR plasma source

    Science.gov (United States)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  13. Characterization of atomic oxygen from an ECR plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Bhoraskar, V N [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Mandale, A B [National Chemical Laboratory, Pashan, Pune 411008 (India); Sainkar, S R [National Chemical Laboratory, Pashan, Pune 411008 (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from {approx}1x10{sup 20} to {approx}10x10{sup 20} atom m{sup -3} as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  14. ECR plasma diagnostics with Langmuir probe

    International Nuclear Information System (INIS)

    Kenez, L.; Biri, S.; Valek, A.

    2000-01-01

    Complete text of publication follows. An Electron Cyclotron Resonance (ECR) Ion Source is a tool to generate highly charged ions. The ion beam is extracted from the plasma chamber of the ECRIS. Higher charge states and beam intensities are the main objectives of ECR research. The heart of an ion source is the confined plasma which should be well known to reach those objectives. Information about the plasma can be obtained by plasma diagnostics methods. Langmuir probes were successfully used in case of other plasmas, e.g. TOKAMAK. Until last year plasma diagnostics at the ATOMKI ECRIS was performed by X-ray and visible light measurements. While X-ray measurements give global information, the Langmuir probe method can give information on the local plasma parameters. This is an advantage because the local parameters are not known in detail. By Langmuir probe measurements it is possible to get information on plasma density, plasma potential and partly on the electron temperature. From the experimental point of view a Langmuir probe is very simple. However, the precise positioning of the probe in the plasma chamber (HV platform, strong magnetic field, RF waves) is a difficult task. Also the theory of probes is complicated: the ECR plasma is a special one because the confining magnetic field is inhomogeneous, beside hot electrons it contains cold ions with different charge states and it is heated with high frequency EM waves. What can be measured with a probe is a voltage-current (U-I) characteristics. Figure 1 shows a typical U-I curve measured in our lab. As it can be seen in the figure the diagram has three main parts. An ion saturation current region (I.), an electron saturation current region (III.) and a transition region (II.) between them. These measurements were performed using two different power supplies to bias the probe to positive and negative voltage. To perform more precise U-I measurements we need a special power supply which is presently being built in

  15. The producing of an ECR plasma using 2450MHz Whistler Wave and the investigating of its parameters

    International Nuclear Information System (INIS)

    Fang Yude; Zhang Jiande; Fu Keming; Lu Xiangyu; Liu Dengcheng; Wang Xianyu; Xie Weidong; Bao Dinghua; Yin Xiejin

    1988-12-01

    A stable ECR plasma was produced and sustained in HER mirror using 2450MHz Whistler wave. The parameters of the ECR plasma and their chaining characters were studied in detail and were compared with those of the DC discharge plasmas. The conclusion is that the ECR plasma is a high ionizability, low temperature, middle density plasma, its peak density may much exceed the cutoff density of the pump wave (when ω = ω pe ) and arrive at the order of 10 12 cm -3 . The ECR plasma includes some high energy hot electrons (20Kev-200Kev) and middle energy warm electrons (< 20Kev). Those two kinds of electron created some strong X-ray emissions in a wide frequency range. The ECR plasma has higher edge density and can strongly interact with the wall. (author). 9 refs, 17 figs

  16. ECR plasma cleaning for superconducting cavities

    Energy Technology Data Exchange (ETDEWEB)

    Takeuchi, Suehiro [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    2000-02-01

    A superconducting linac has been operating well as a heavy ion energy booster of the tandem accelerator at JAERI since 1994. Forty superconducting quarter wave resonators are used in the linac. They have high performances in average. Some of them are, however, suffering from 'Q-disease' that has been caused by hydrogen absorption into niobium during electro-polishing and the precipitation of niobium-hydrides on the surface at the vicinity of about 120K during precooling. A method of electron cyclotron resonance (ECR) plasma cleaning was applied to spare resonator in order to investigate if it is useful as a curing method of Q-disease. ECR plasma was excited in the resonator by 2.45 GHz microwave in a magnetic field of about 87.5 mT. In the first preliminary experiments, hydrogen, helium, water and oxigen gases were investigated. Every case was done at a pressure of about 3x10{sup -3} Pa. The results show that apparent recovery from Q-disease was found with helium and oxigen gases. (author)

  17. Particle behavior in an ECR plasma etch tool

    International Nuclear Information System (INIS)

    Blain, M.G.; Tipton, G.D.; Holber, W.M.; Westerfield, P.L.; Maxwell, K.L.

    1993-01-01

    Sources of particles in a close-coupled electron cyclotron resonance (ECR) polysilicon plasma etch source include flaking of films deposited on chamber surfaces, and shedding of material from electrostatic wafer chucks. A large, episodic increase in the number of particles added to a wafer in a clean system is observed more frequently for a plasma-on than for a gas-only source condition. For polymer forming process conditions, particles were added to wafers by a polymer film which was observed to fracture and flake away from chamber surfaces. The presence of a plasma, especially when rf bias is applied to the wafer, caused more particles to be ejected from the walls and added to wafers than the gas-only condition; however, no significant influence was observed with different microwave powers. A study of effect of electrode temperatures on particles added showed that thermophoretic forces are not significant for this ECR configuration. Particles originating from the electrostatic chuck were observed to be deposited on wafers in much larger numbers in the presence of the plasma as compared to gas-only conditions

  18. Properties of hydrogenated amorphous silicon (a-Si:H) deposited using a microwave Ecr plasma; Propiedades del a-Si:H depositado utilizando un plasma de microondas

    Energy Technology Data Exchange (ETDEWEB)

    Mejia H, J A

    1997-12-31

    Hydrogenated amorphous silicon (a-Si:H) films have been widely applied to semiconductor devices, such as thin film transistors, solar cells and photosensitive devices. In this work, the first Si-H-Cl alloys (obtained at the National Institute for Nuclear Research of Mexico) were formed by a microwave electron cyclotron resonance (Ecr) plasma CVD method. Gaseous mixtures of silicon tetrachloride (Si Cl{sub 4}), hydrogen and argon were used. The Ecr plasma was generated by microwaves at 2.45 GHz and a magnetic field of 670 G was applied to maintain the discharge after resonance condition (occurring at 875 G). Si and Cl contents were analyzed by Rutherford Backscattering Spectrometry (RBS). It was found that, increasing proportion of Si Cl{sub 4} in the mixture or decreasing pressure, the silicon and chlorine percentages decrease. Optical gaps were obtained by spectrophotometry. Decreasing temperature, optical gap values increase from 1.4 to 1.5 eV. (Author).

  19. Effects of magnetic configuration on hot electrons in highly charged ECR plasma

    International Nuclear Information System (INIS)

    Zhao, H Y; Zhao, H W; Sun, L T; Wang, H; Ma, B H; Zhang, X Zh; Li, X X; Ma, X W; Zhu, Y H; Lu, W; Shang, Y; Xie, D Z

    2009-01-01

    To investigate the hot electrons in highly charged electron cyclotron resonance (ECR) plasma, Bremsstrahlung radiations were measured on two ECR ion sources at the Institute of Modern Physics. Used as a comparative index of the mean energy of the hot electrons, a spectral temperature, T spe , is derived through a linear fitting of the spectra in a semi-logarithmic representation. The influences of the external source parameters, especially the magnetic configuration, on the hot electrons are studied systematically. This study has experimentally demonstrated the importance of high microwave frequency and high magnetic field in the electron resonance heating to produce a high density of hot electrons, which is consistent with the empirical ECR scaling laws. The experimental results have again shown that a good compromise is needed between the ion extraction and the plasma confinement for an efficient production of highly charged ion beams. In addition, this investigation has shown that the correlation between the mean energy of the hot electrons and the magnetic field gradient at the ECR is well in agreement with the theoretical models.

  20. Hydrogen Recovery by ECR Plasma Pyrolysis of Methane, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Development of a microgravity and hypogravity compatible Electron Cyclotron Resonance (ECR) Plasma Methane Pyrolysis Reactor is proposed to recover hydrogen which is...

  1. ECR plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Kolchin, Pavel; Davidson, Ronald C.; Yu, Simon; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being considered as a medium for charge neutralizing heavy ion beams in order to focus beyond the space-charge limit. Calculations suggest that plasma at a density of 1 100 times the ion beam density and at a length [similar]0.1 2 m would be suitable for achieving a high level of charge neutralization. An Electron Cyclotron Resonance (ECR) source has been built at the Princeton Plasma Physics Laboratory (PPPL) to support a joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 1 10 gauss. The goal is to operate the source at pressures [similar]10[minus sign]6 Torr at full ionization. The initial operation of the source has been at pressures of 10[minus sign]4 10[minus sign]1 Torr. Electron densities in the range of 108 to 1011 cm[minus sign]3 have been achieved. Low-pressure operation is important to reduce ion beam ionization. A cusp magnetic field has been installed to improve radial confinement and reduce the field strength on the beam axis. In addition, axial confinement is believed to be important to achieve lower-pressure operation. To further improve breakdown at low pressure, a weak electron source will be placed near the end of the ECR source. This article also describes the wave damping mechanisms. At moderate pressures (> 1 mTorr), the wave damping is collisional, and at low pressures (< 1 mTorr) there is a distinct electron cyclotron resonance.

  2. Spectroscopic Investigation of Nitrogen Loaded ECR Plasmas

    CERN Document Server

    Ullmann, F; Zschornack, G; Küchler, D; Ovsyannikov, V P

    1999-01-01

    Energy dispersive X-ray spectroscopy on ions in the plasma and magnetic q/A-analysis of the extracted ions were used to determine the plasmaproperties of nitrogen loaded ECR plasmas.As the beam expands from a limited plasma region and the ion extraction process alters the plasma properties in the extraction meniscus thebeam composition does not correspond to the bulk plasma composition. The analysis of measured spectra of characteristic X-rays delivers a method to determine the ion charge state distribution and the electron energy distribution inside the plasma and does not alter the plasma anddoes not depend on the extraction and transmission properties of the ion extraction and transport system. Hence this method seems to be moreaccurate than the traditional magnetic analysis and allows to analyse different plasma regions.A comparison between ion charge state distributions determined from X-ray spectra and such from q/A-analysis shows significant differencesfor the mean ion charge states in the source plasm...

  3. Fast mega pixels video imaging of a toroidal plasma in KT5D device

    International Nuclear Information System (INIS)

    Xu Min; Wang Zhijiang; Lu Ronghua; Sun Xiang; Wen Yizhi; Yu Changxuan; Wan Shude; Liu Wandong; Wang Jun; Xiao Delong; Yu Yi; Zhu Zhenghua; Hu Linyin

    2005-01-01

    A direct imaging system, viewing visible light emission from plasmas tangentially or perpendicularly, has been set up on the KT5D toroidal device to monitor the real two-dimensional profiles of purely ECR generated plasmas. This system has a typical spatial resolution of 0.2 mm (1280x1024 pixels) when imaging the whole cross section. Interesting features of ECR plasmas have been found. Different from what classical theories have expected, a resonance layer with two or three bright spots, rather than an even vertical band, has been observed. In addition, images also indicate an intermittent splitting and drifting character of the plasmas

  4. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  5. [Optical emission analyses of N2/TMG ECR plasma for deposition of GaN film].

    Science.gov (United States)

    Fu, Si-Lie; Wang, Chun-An; Chen, Jun-Fang

    2013-04-01

    The optical emission spectroscopy of hybrid N2/trimethylgallium (TMG) plasma in an ECR-PECVD system was investigated. The results indicate that the TMG gas is strongly dissociated into Ga*, CH and H even under self-heating condition. Ga species and nitrogen molecule in metastable state are dominant in hybrid ECR plasma. The concentration of metastable nitrogen molecule increases with the microwave power. On the other hand, the concentration of excited nitrogen molecules and of nitrogen ion decreases when the microwave power is higher than 400 W.

  6. Influence of ECR-RF plasma modification on surface and thermal properties of polyester copolymer

    Directory of Open Access Journals (Sweden)

    Fray Miroslawa El

    2015-12-01

    Full Text Available In this paper we report a study on influence of radio-frequency (RF plasma induced with electron cyclotron resonance (ECR on multiblock copolymer containing butylene terephthalate hard segments (PBT and butylene dilinoleate (BDLA soft segments. The changes in thermal properties were studied by DSC. The changes in wettability of PBT-BDLA surfaces were studied by water contact angle (WCA. We found that ECR-RF plasma surface treatment for 60 s led to decrease of WCA, while prolonged exposure of plasma led to increase of WCA after N2 and N2O2 treatment up to 70°–80°. The O2 reduced the WCA to 50°–56°. IR measurements confirmed that the N2O2 plasma led to formation of polar groups. SEM investigations showed that plasma treatment led to minor surfaces changes. Collectively, plasma treatment, especially O2, induced surface hydrophilicity what could be beneficial for increased cell adhesion in future biomedical applications of these materials.

  7. Ion beam extraction from a matrix ECR plasma source by discrete ion-focusing effect

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, Mihai

    2010-01-01

    -ECR plasma source [3] with transversal magnetic filter for electron temperature control. 12 ECR plasma cells are placed 7.5 cm apart on the top of a cubic chamber 40x40x40 cm3. Each cell can be controlled independently by tuning the injected microwave power. The discharge is operated at pressures below 1 m......Positive or negative ion beams extracted from plasma are used in a large variety of surface functionalization techniques such as implantation, etching, surface activation, passivation or oxidation. Of particular importance is the surface treatment of materials sensitive to direct plasma exposure...... due to high heath fluxes, the controllability of the ion incidence angle, and charge accumulation when treating insulating materials. Despite of a large variety of plasma sources available for ion beam extraction, there is a clear need for new extraction mechanisms that can make available ion beams...

  8. Production of a large diameter ECR plasma with low electron temperature

    International Nuclear Information System (INIS)

    Koga, Mayuko; Hishikawa, Yasuhiro; Tsuchiya, Hayato; Kawai, Yoshinobu

    2006-01-01

    A large diameter plasma over 300 mm in diameter is produced by electron cyclotron resonance (ECR) discharges using a cylindrical vacuum chamber of 400 mm in inner diameter. It is found that the plasma uniformity is improved by adding the nitrogen gas to pure Ar plasma. The electron temperature is decreased by adding the nitrogen gas. It is considered that the electron energy is absorbed in the vibrational energy of nitrogen molecules and the electron temperature decreases. Therefore, the adjunction of the nitrogen gas is considered to be effective for producing uniform and low electron temperature plasma

  9. Electron cloud simulation of the ECR plasma

    International Nuclear Information System (INIS)

    Racz, R.; Biri, S.; Palinkas, J.

    2011-01-01

    Complete text of publication follows. The plasma of the Electron Cyclotron Resonance Ion Source (ECRIS) of ATOMKI is being continuously investigated by different diagnostic methods: using small-sized probes or taking X-ray and visible light photographs. In 2011 three articles were published by our team in a special edition of the IEEE Transactions on Plasma Science (Special Issue on Images in Plasma Science) describing our X-ray and visible light measurements and plasma modeling and simulating studies. Simulation is in many cases the base for the analysis of the photographs. The outcomes of the X-ray and visible light experiments were presented already in earlier issues of the Atomki Annual Report, therefore in this year we concentrate on the results of the simulating studies. The spatial distribution of the three main electron components (cold, warm and hot electron clouds) of the ECR plasmas was simulated by TrapCAD code. TrapCAD is a 'limited' plasma simulation code. The spatial and energy evolution of a large number of electrons can be realistically followed; however, these particles are independent, and no particle interactions are included. In ECRISs, the magnetic trap confines the electrons which keep together the ion component by their space charge. The electrons gain high energies while the ions remain very cold throughout the whole process. Thus, the spatial and energy simulation of the electron component gives much important and numerical information even for the ions. The electron components of ECRISs can artificially be grouped into three populations: cold, warm, and hot electrons. Cold electrons (1-200 eV) have not been heated by the microwave; they are mainly responsible for the visible light emission of the plasma. The energized warm electrons (several kiloelectronvolts) are able to ionize atoms and ions and they are mainly responsible for the characteristic Xray photons emitted by the plasma. Electrons having much higher energy than necessary for

  10. Plasma polarization spectroscopy on the ECR helium plasma in a cusp magnetic field

    International Nuclear Information System (INIS)

    Sato, T.; Iwamae, A.; Fujimoto, T.; Uchida, M.; Maekawa, T.

    2004-01-01

    Helium emission lines have been observed on the ECR plasma in a cusp field with the polarized components resolved. The polarization map is constructed for the 501.6 nm (2 1 S-3 1 P) line emission. Lines from n 1 P and n 1 D levels are strongly polarized and those from n 3 D levels are weakly polarized. As the helium pressure increases the polarization degree decreases. (author)

  11. X-ray pinhole camera setups used in the Atomki ECR Laboratory for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Rácz, R., E-mail: rracz@atomki.hu; Biri, S.; Pálinkás, J. [Institute for Nuclear Research (Atomki), Hungarian Academy of Sciences, Bem tér 18/C, H-4026 Debrecen (Hungary); Mascali, D.; Castro, G.; Caliri, C.; Gammino, S. [Instituto Nazionale di Fisica Nucleare—Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Romano, F. P. [Instituto Nazionale di Fisica Nucleare—Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CNR, Istituto per i Beni Archeologici e Monumentali, Via Biblioteca 4, 95124 Catania (Italy)

    2016-02-15

    Imaging of the electron cyclotron resonance (ECR) plasmas by using CCD camera in combination with a pinhole is a non-destructive diagnostics method to record the strongly inhomogeneous spatial density distribution of the X-ray emitted by the plasma and by the chamber walls. This method can provide information on the location of the collisions between warm electrons and multiple charged ions/atoms, opening the possibility to investigate the direct effect of the ion source tuning parameters to the plasma structure. The first successful experiment with a pinhole X-ray camera was carried out in the Atomki ECR Laboratory more than 10 years ago. The goal of that experiment was to make the first ECR X-ray photos and to carry out simple studies on the effect of some setting parameters (magnetic field, extraction, disc voltage, gas mixing, etc.). Recently, intensive efforts were taken to investigate now the effect of different RF resonant modes to the plasma structure. Comparing to the 2002 experiment, this campaign used wider instrumental stock: CCD camera with a lead pinhole was placed at the injection side allowing X-ray imaging and beam extraction simultaneously. Additionally, Silicon Drift Detector (SDD) and High Purity Germanium (HPGe) detectors were installed to characterize the volumetric X-ray emission rate caused by the warm and hot electron domains. In this paper, detailed comparison study on the two X-ray camera and detector setups and also on the technical and scientific goals of the experiments is presented.

  12. X-ray pinhole camera setups used in the Atomki ECR Laboratory for plasma diagnostics.

    Science.gov (United States)

    Rácz, R; Biri, S; Pálinkás, J; Mascali, D; Castro, G; Caliri, C; Romano, F P; Gammino, S

    2016-02-01

    Imaging of the electron cyclotron resonance (ECR) plasmas by using CCD camera in combination with a pinhole is a non-destructive diagnostics method to record the strongly inhomogeneous spatial density distribution of the X-ray emitted by the plasma and by the chamber walls. This method can provide information on the location of the collisions between warm electrons and multiple charged ions/atoms, opening the possibility to investigate the direct effect of the ion source tuning parameters to the plasma structure. The first successful experiment with a pinhole X-ray camera was carried out in the Atomki ECR Laboratory more than 10 years ago. The goal of that experiment was to make the first ECR X-ray photos and to carry out simple studies on the effect of some setting parameters (magnetic field, extraction, disc voltage, gas mixing, etc.). Recently, intensive efforts were taken to investigate now the effect of different RF resonant modes to the plasma structure. Comparing to the 2002 experiment, this campaign used wider instrumental stock: CCD camera with a lead pinhole was placed at the injection side allowing X-ray imaging and beam extraction simultaneously. Additionally, Silicon Drift Detector (SDD) and High Purity Germanium (HPGe) detectors were installed to characterize the volumetric X-ray emission rate caused by the warm and hot electron domains. In this paper, detailed comparison study on the two X-ray camera and detector setups and also on the technical and scientific goals of the experiments is presented.

  13. Electron cyclotron resonance plasma photos

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R.; Palinkas, J. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary); Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary)

    2010-02-15

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  14. Electron cyclotron resonance plasma photos

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Biri, S.

    2010-01-01

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  15. Observation of spatial resolution of ECR plasma on the MM-2 magnetic mirror

    International Nuclear Information System (INIS)

    Duan Shuyun; Gu Biao; Guan Weishu; Cheng Shiqing; Liu Rong; Chen Kangwei; Shang Zhenkui

    1991-04-01

    The measuring method and results of the ECR plasma properties taken from hard X-ray pinhole camera on the MM-2 magnetic mirror are presented. This non-destructive imaging method can directly display the spatial distribution of hot electron plasma. A frame of clear picture could be taken at one shot of discharge. The relationships between emission intensity and discharge parameters are also shown by experimental pictures

  16. Kinetic plasma simulation of ion beam extraction from an ECR ion source

    International Nuclear Information System (INIS)

    Elliott, S.M.; White, E.K.; Simkin, J.

    2012-01-01

    Designing optimized ECR (electron cyclotron resonance) ion beam sources can be streamlined by the accurate simulation of beam optical properties in order to predict ion extraction behavior. The complexity of these models, however, can make PIC-based simulations time-consuming. In this paper, we first describe a simple kinetic plasma finite element simulation of extraction of a proton beam from a permanent magnet hexapole ECR ion source. Second, we analyze the influence of secondary electrons generated by ion collisions in the residual gas on the space charge of a proton beam of a dual-solenoid ECR ion source. The finite element method (FEM) offers a fast modeling environment, allowing analysis of ion beam behavior under conditions of varying current density, electrode potential, and gas pressure. The new version of SCALA/TOSCA v14 permits the making of simulations in tens of minutes to a few hours on standard computer platforms without the need of particle-in-cell methods. The paper is followed by the slides of the presentation. (authors)

  17. Studies of the ECR plasma in the visible light range

    International Nuclear Information System (INIS)

    Biri, S.; Racz, R.; Palinkas, J.

    2012-01-01

    High resolution visible light (VL) plasma photographs were taken at the ATOMKI-ECRIS by an 8 mega-pixel digital camera. Plasmas were generated from gases of He, methane, N, O, Ne, Ar, Kr, Xe and from their mixtures. The analysis of the photo series gave many qualitative and numerous valuable physical information on the nature of ECR plasmas. VL photos convey information mainly on the cold electron component of the plasma. Cold electrons are confined in the central part of the plasma. It is a further challenging task to understand the colors of this special type of plasmas. The colors can be determined by the VL electron transitions of the plasma atoms and ions combined with the human eye sensitivity. There is a good visual agreement between the calculated normalized color and the real color of the plasmas. Through the examples of He and Xe we analyze the physical processes which affect the characteristic colors of these plasmas. The paper is followed by the slides of the presentation. (authors)

  18. Magnetic properties of Co-N films deposited by ECR nitrogen/argon plasma with DC negative-biased Co target

    Energy Technology Data Exchange (ETDEWEB)

    Li, H.; Zhang, Y.C.; Yang, K.; Liu, H.X.; Zhu, X.D., E-mail: xdzhu@ustc.edu.cn; Zhou, H.Y.

    2017-06-01

    Highlights: • A new method of synthesizing Co-N films containing Co{sub 4}N phase. • Tunable magnetic properties achieved in ECR plasma CVD. • The change of magnetic properties is related to atoms mobility on substrate and the concentration of active species in plasma vapor. - Abstract: By introducing DC negative-biased Co target in the Electron Cyclotron Resonance (ECR) nitrogen/argon plasma, the Co-N films containing Co{sub 4}N phase were synthesized on Si(100) substrate. Effects of processing parameters on magnetic properties of the films are investigated. It is found that magnetic properties of Co-N films vary with N{sub 2}/Ar flow ratio, substrate temperature, and target biasing voltage. The saturation magnetization M{sub s} decreased by increasing the N{sub 2}/Ar gas flow ratio or decreasing target biasing voltage, while the coercive field H{sub c} increased, which is ascribed to the variation of relative concentration for N or Co active species in plasma vapor. The magnetic properties present complex dependency with growth temperature, which is related to the atom mobility on the substrate affected by the growth temperature. This study exhibits a potential of ECR plasma chemical vapor deposition to synthesize the interstitial compounds and tune magnetic properties of films.

  19. Future prospects for ECR ion sources with improved charge state distributions

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    Despite the steady advance in the technology of the ECR ion source, present art forms have not yet reached their full potential in terms of charge state and intensity within a particular charge state, in part, because of the narrow band width. single-frequency microwave radiation used to heat the plasma electrons. This article identifies fundamentally important methods which may enhance the performances of ECR ion sources through the use of: (1) a tailored magnetic field configuration (spatial domain) in combination with single-frequency microwave radiation to create a large uniformly distributed ECR ''volume'' or (2) the use of broadband frequency domain techniques (variable-frequency, broad-band frequency, or multiple-discrete-frequency microwave radiation), derived from standard TWT technology, to transform the resonant plasma ''surfaces'' of traditional ECR ion sources into resonant plasma ''volume''. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, thereby producing higher charge state ions and much higher intensities within a particular charge state than possible in present forms of' the source. The ECR ion source concepts described in this article offer exciting opportunities to significantly advance the-state-of-the-art of ECR technology and as a consequence, open new opportunities in fundamental and applied research and for a variety of industrial applications

  20. Particle flux at the outlet of an Ecr plasma source; Flujos de particulas a la salida de una fuente de plasma ECR

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez T, C.; Gonzalez D, J. [ININ, Departamento de Fisica, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    2000-07-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  1. Hydrogen and deuterium pellet injection into ohmically and additionally ECR-heated TFR plasmas

    International Nuclear Information System (INIS)

    Drawin, H.W.

    1987-01-01

    The ablation clouds of hydrogen and deuterium pellets injected into ohmically and electron cyclotron resonance heated (ECRH) plasmas of the Fontenay-aux-Roses tokamak TFR have been photographed, their emission has been measured photoelectrically. Without ECRH the pellets penetrate deeply into the plasma, the clouds are striated. Injection during ECRH leads to ablation in the outer plasma region. The position of the ECR layer has no influence on the penetration depth which is only a few centimeters. The ablation clouds show no particular structure when ECRH is applied

  2. Manufacture of an experimental platform with ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Hu Yonghong; Li Yan

    2007-12-01

    The working principle and basal configuration and fabricative process of ECR ion source are introdced. Regarding as an experimental and test device, the experimental platform of ECR ion source may expediently regulate every parameter of ion source, and achieve good character of beam current. Through improving on the components, ECR ion source can is modulated in best state. Above results may be used in the running and debugging of neutron generator. Therefore, the experimental platform of ECR ion source is the necessary equipment of large beam current neutron generator. Comparing the experimental platform of ECR ion source with domestic ones and the overseas ones, it mainly be used in the simulation experiments about neutron generator. It is compact and experimental platform mode in structure. It can focus the beam current and measure many parameters on line in function. The problem of lower beam current to discover is resolved in debugging of the device. The measurement results indicate that the technology character of the device have achieved design requirements. (authors)

  3. Mean energy of ions at outlet of a type Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1998-01-01

    In this work it is described the calculations to mean energy of the ions in the extraction zone of a type Ecr plasma source considering the presence of a metallic substrate. This zone is characterized by the existence of a divergent magnetic field. It is showed that mean energy is function as the distance between the outlet and substrate as the value of the external magnetic field. (Author)

  4. Design study of electron cyclotron resonance-ion plasma accelerator for heavy ion cancer therapy

    International Nuclear Information System (INIS)

    Inoue, T.; Sugimoto, S.; Sasai, K.; Hattori, T.

    2014-01-01

    Electron Cyclotron Resonance-Ion Plasma Accelerator (ECR-IPAC) device, which theoretically can accelerate multiple charged ions to several hundred MeV with short acceleration length, has been proposed. The acceleration mechanism is based on the combination of two physical principles, plasma electron ion adiabatic ejection (PLEIADE) and Gyromagnetic Autoresonance (GYRAC). In this study, we have designed the proof of principle machine ECR-IPAC device and simulated the electromagnetic field distribution generating in the resonance cavity. ECR-IPAC device consisted of three parts, ECR ion source section, GYRAC section, and PLEIADE section. ECR ion source section and PLEIADE section were designed using several multi-turn solenoid coils and sextupole magnets, and GYRAC section was designed using 10 turns coil. The structure of ECR-IPAC device was the cylindrical shape, and the total length was 1024 mm and the maximum diameter was 580 mm. The magnetic field distribution, which maintains the stable acceleration of plasma, was generated on the acceleration center axis throughout three sections. In addition, the electric field for efficient acceleration of electrons was generated in the resonance cavity by supplying microwave of 2.45 GHz

  5. Design of a 4D emittance measurement device for high charge state ECR ion sources

    International Nuclear Information System (INIS)

    Zhao Yangyang; Yang Yao; Zhao Hongwei; Sun Liangting; Cao Yun; Wang Yun

    2013-01-01

    For the purpose of on-line beam quality diagnostics and transverse emittance coupling investigation of the ion beams delivered by an Electron Cyclotron Resonance (ECR) ion source, a real-time 4D Pepper Pot type emittance scanner is under development at IMP (Institute of Moden Physics, Chinese Academy of Sciences). The high charge state ECR ion source at IMP could produce CW or pulsed heavy ion beam intensities in the range of 1 eμA∼1 emA with the kinetic energy of 10∼35 keV/q, which needs the design of the Pepper Pot scanner to be optimized accordingly. The Pepper Pot scanner has many features, such as very short response time and wide dynamic working range that the device could be applied. Since intense heavy ion beam bombardment is expected for this device, the structure and the material selection for the device is specially considered during the design, and a feasible solution to analyze the pictures acquired after the data acquisition is also made. (authors)

  6. Particle flux at the outlet of an Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1999-01-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  7. Charge state distribution studies of pure and oxygen mixed krypton ECR plasma - signature of isotope anomaly and gas mixing effect.

    Science.gov (United States)

    Kumar, Pravin; Mal, Kedar; Rodrigues, G

    2016-11-01

    We report the charge state distributions of the pure, 25% and 50% oxygen mixed krypton plasma to shed more light on the understanding of the gas mixing and the isotope anomaly [A. G. Drentje, Rev. Sci. Instrum. 63 (1992) 2875 and Y Kawai, D Meyer, A Nadzeyka, U Wolters and K Wiesemann, Plasma Sources Sci. Technol. 10 (2001) 451] in the electron cyclotron resonance (ECR) plasmas. The krypton plasma was produced using a 10 GHz all-permanent-magnet ECR ion source. The intensities of the highly abundant four isotopes, viz. 82 Kr (~11.58%), 83 Kr (~11.49%), 84 Kr (~57%) and 86 Kr (17.3%) up to ~ +14 charge state have been measured by extracting the ions from the plasma and analysing them in the mass and the energy using a large acceptance analyzer-cum-switching dipole magnet. The influence of the oxygen gas mixing on the isotopic krypton ion intensities is clearly evidenced beyond +9 charge state. With and without oxygen mixing, the charge state distribution of the krypton ECR plasma shows the isotope anomaly with unusual trends. The anomaly in the intensities of the isotopes having quite closer natural abundance, viz. 82 Kr, 86 Kr and 83 Kr, 86 Kr is prominent, whereas the intensity ratio of 86 Kr to 84 Kr shows a weak signature of it. The isotope anomaly tends to disappear with increasing oxygen mixing in the plasma. The observed trends in the intensities of the krypton isotopes do not follow the prediction of linear Landau wave damping in the plasma. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  8. Solid material evaporation into an ECR source by laser ablation

    International Nuclear Information System (INIS)

    Harkewicz, R.; Stacy, J.; Greene, J.; Pardo, R.C.

    1993-01-01

    In an effort to explore new methods of producing ion beams from solid materials, we are attempting to develop a laser-ablation technique for evaporating materials directly into an ECR ion source plasma. A pulsed NdYaG laser with approximately 25 watts average power and peak power density on the order of 10 7 W/cm 2 has been used off-line to measure ablation rates of various materials as a function of peak laser power. The benefits anticipated from the successful demonstration of this technique include the ability to use very small quantities of materials efficiently, improved material efficiency of incorporation into the ECR plasma, and decoupling of the material evaporation process from the ECR source tuning operation. Here we report on the results of these tests and describe the design for incorporating such a system directly with the ATLAS PII-ECR ion source

  9. Commissioning of the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Leitner, Daniela; Abbott, Steve R.; Dwinell, Roger D.; Leitner, Matthaeus; Taylor, Clyde; Lyneis, Claude M.

    2003-01-01

    VENUS (Versatile ECR ion source for NUclear Science) is a next generation superconducting ECR ion source, designed to produce high current, high charge state ions for the 88-Inch Cyclotron at the Lawrence Berkeley National Laboratory. VENUS also serves as the prototype ion source for the RIA (Rare Isotope Accelerator) front end. The magnetic confinement configuration consists of three superconducting axial coils and six superconducting radial coils in a sextupole configuration. The nominal design fields of the axial magnets are 4T at injection and 3T at extraction; the nominal radial design field strength at the plasma chamber wall is 2T, making VENUS the world most powerful ECR plasma confinement structure. The magnetic field strength has been designed for optimum operation at 28 GHz. The four-year VENUS project has recently achieved two major milestones: The first plasma was ignited in June, the first mass-analyzed high charge state ion beam was extracted in September of 2002. The pa per describes the ongoing commissioning. Initial results including first emittance measurements are presented

  10. Plasma heating by radiofrequency in the electron cyclotron resonance (ECR)

    International Nuclear Information System (INIS)

    Cunha Raposo, C. da; Aihara, S.; Universidade Estadual de Campinas

    1982-01-01

    The characteristics of the experimental set-up mounted in the Physical Institute of UFF (Brazil) to produce the gas ionization by radio-frequency are shown and its behaviour when confined by a mirror-geometry magnetic field is studied. The diagnostic is made by a langmuir probe and a prisme spectrogaph is used in order to verify the nature of the ionized helium gas and the degree of purity through its spectral lines. The argon ionization by R.f. is produced in the 'LISA' machine obtain a plasma column of approximatelly 60 cm length and with the Langmuir probe the study of the profile distribution of the plasma parameters such as: electron temperature and density and floating potencial in function of the magnetic field variation is made. The main focus is given to the fundamental electron cyclotron resonance (ECR). A new expression on the ion saturation current (I sub(is)) produced by radiofrequency is developed. (L.C.) [pt

  11. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    Science.gov (United States)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-02-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself.

  12. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    International Nuclear Information System (INIS)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-01-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself

  13. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V.; Mandale, A.B.

    2002-01-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2 . Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping

  14. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    Science.gov (United States)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S. V.; Mandale, A. B.

    2002-07-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2. Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping.

  15. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V. E-mail: svb@physics.unipune.ernet.in; Mandale, A.B

    2002-07-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H{sub 2} and 75% N{sub 2}. Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping.

  16. Modification of sensing properties of metallophthalocyanine by an Ecr plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V.; Mandale, A.B

    2004-01-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2 . Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping. (author)

  17. Accumulation of multicharged ions in plasma with electrostatic well induced by ECR

    International Nuclear Information System (INIS)

    Dougar-Jabon, V.D.; Golovanivsky, K.S.; Schepilov, V.D.

    1978-01-01

    In a magnetic field of mirror configuration supplemented in its central part by a microwave field (lambda=12.6 cm, P=20 W) a steady-state plasma (n=1x10 10 cm -3 , Tsub(ec)=40 eV) was produced. ECR condition was fulfilled in a circular region spaced at 1 cm from the plasma axis. In this 'hot' zone the electron temperature was Tsub(eh) = 1.5 keV. The temperature gradient creates an electrostatic well for ions in the perpendicular plane. The anisotropy of electron temperature in a mirror field caused the formation of an axial electrostatic well for ions. Thus, three-dimensional electrostatic pit was produced and the ion's life-time was as long as their charge was high. With H.F. power absorbed by the plasma 8-10 W the authors obtained comparable quantities of Ar 1+ , Ar 2+ , Ar 3+ , Ar 4+ , Ar 5+ , Ar 6+ and also ions of impurities C + , C 2+ , C 3+ , H + , H + 2 . The total current density of ions extracted from plasma is of 20 mA cm -2 . (Auth.)

  18. High Growth Rate Deposition of Hydrogenated Amorphous Silicon-Germanium Films and Devices Using ECR-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Iowa State Univ., Ames, IA (United States)

    2002-01-01

    Hydrogenated amorphous silicon germanium films (a-SiGe:H) and devices have been extensively studied because of the tunable band gap for matching the solar spectrum and mature the fabrication techniques. a-SiGe:H thin film solar cells have great potential for commercial manufacture because of very low cost and adaptability to large-scale manufacturing. Although it has been demonstrated that a-SiGe:H thin films and devices with good quality can be produced successfully, some issues regarding growth chemistry have remained yet unexplored, such as the hydrogen and inert-gas dilution, bombardment effect, and chemical annealing, to name a few. The alloying of the SiGe introduces above an order-of-magnitude higher defect density, which degrades the performance of the a-SiGe:H thin film solar cells. This degradation becomes worse when high growth-rate deposition is required. Preferential attachment of hydrogen to silicon, clustering of Ge and Si, and columnar structure and buried dihydride radicals make the film intolerably bad. The work presented here uses the Electron-Cyclotron-Resonance Plasma-Enhanced Chemical Vapor Deposition (ECR-PECVD) technique to fabricate a-SiGe:H films and devices with high growth rates. Helium gas, together with a small amount of H2, was used as the plasma species. Thickness, optical band gap, conductivity, Urbach energy, mobility-lifetime product, I-V curve, and quantum efficiency were characterized during the process of pursuing good materials. The microstructure of the a-(Si,Ge):H material was probed by Fourier-Transform Infrared spectroscopy. They found that the advantages of using helium as the main plasma species are: (1) high growth rate--the energetic helium ions break the reactive gas more efficiently than hydrogen ions; (2) homogeneous growth--heavy helium ions impinging on the surface promote the surface mobility of the reactive radicals, so that heteroepitaxy growth as clustering of Ge and Si, columnar structure are

  19. Experiments on cleaning effects of TDC, GDC and ECR-DC in the JFT-2M tokamak

    International Nuclear Information System (INIS)

    Matsuzaki, Y.; Ogawa, H.; Miura, Y.; Ohtsuka, H.; Suzuki, N.; Yamauchi, T.; Tani, T.; Mori, M.

    1987-01-01

    The cleaning effects of Taylor-type discharge cleaning (TDC), glow discharge cleaning (GDC) and ECR discharge cleaning (ECR-DC) were studied in the JFT-2M tokamak by comparing the properties of resulting tokamak plasmas, by observing the surface composition of samples and by residual gas analysis. The operational parameters of the three discharge cleaning techniques were as follows; the plasma current for TDC is 20 kA, the DC current for GDC is 3 A and the RF power for ECR-DC is 2.3 kW. Parameters of the tokamak plasmas such as loop voltages, radiation losses, spectra emission of oxygen, maximum mean electron densities and profiles of electron temperature were improved as the TDC and ECR-DC proceeded. Changes in the surface composition of samples were measured by Auger electron spectrosopy. The results showed that during the TDC and ECR-DC oxygen was reduced, while GDC reduced mainly carbon. Residual gas analysis performed during discharge cleaning corroborated these results. (orig.)

  20. Simulation study on ion extraction from ECR ion sources

    International Nuclear Information System (INIS)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1993-07-01

    In order to study beam optics of NIRS-ECR ion source used in HIMAC, EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1-D and 2-D sheath theories are used respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source (in INS, Univ. of Tokyo) are presented in this paper, exhibiting an agreement with the experimental results. Some preliminary suggestions on the upgrading the extraction systems of these sources are also proposed. (author)

  1. Simulation study on ion extraction from ECR ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1993-07-01

    In order to study beam optics of NIRS-ECR ion source used in HIMAC, EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1-D and 2-D sheath theories are used respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source (in INS, Univ. of Tokyo) are presented in this paper, exhibiting an agreement with the experimental results. Some preliminary suggestions on the upgrading the extraction systems of these sources are also proposed. (author).

  2. Design studies for an advanced ECR ion source for multiply charged ion beam generation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1994-01-01

    An innovative technique: for increasing ion source intensity is described which, in principle, could lead to significant advances in ECR ion source technology for multiply charged ion beam formation. The advanced concept design uses a minimum-B magnetic mirror geometry which consists of a multi-cusp, magnetic field, to assist in confining the plasma radially, a flat central field for tuning to the ECR resonant condition, and specially tailored min-or fields in the end zones to confine the plasma in the axial direction. The magnetic field is designed to achieve an axially symmetric plasma ''volume'' with constant mod-B, which extends over the length of the central field region. This design, which strongly contrasts w h the ECR ''surfaces'' characteristic of conventional ECR ion sources, results in dramatic increases in the absorption of RF power, thereby increasing the electron temperature and ''hot'' electron population within the ionization volume of the source

  3. High Intensity High Charge State ECR Ion Sources

    CERN Document Server

    Leitner, Daniela

    2005-01-01

    The next-generation heavy ion beam accelerators such as the proposed Rare Isotope Accelerator (RIA), the Radioactive Ion Beam Factory at RIKEN, the GSI upgrade project, the LHC-upgrade, and IMP in Lanzhou require a great variety of high charge state ion beams with a magnitude higher beam intensity than currently achievable. High performance Electron Cyclotron Resonance (ECR) ion sources can provide the flexibility since they can routinely produce beams from hydrogen to uranium. Over the last three decades, ECR ion sources have continued improving the available ion beam intensities by increasing the magnetic fields and ECR heating frequencies to enhance the confinement and the plasma density. With advances in superconducting magnet technology, a new generation of high field superconducting sources is now emerging, designed to meet the requirements of these next generation accelerator projects. The talk will briefly review the field of high performance ECR ion sources and the latest developments for high intens...

  4. Study of hot electrons in a ECR ion source

    International Nuclear Information System (INIS)

    Barue, C.

    1992-12-01

    The perfecting of diagnosis connected with hot electrons of plasma, and then the behaviour of measured parameters of plasma according to parameters of source working are the purpose of this thesis. The experimental results obtained give new information on hot electrons of an ECR ion source. This thesis is divided in 4 parts: the first part presents an ECR source and the experimental configuration (ECRIS physics, minimafios GHz, diagnosis used); the second part, the diagnosis (computer code of cyclotron emission and calibration); the third part gives experimental results in continuous regime (emission cyclotron diagnosis, bremsstrahlung); the fourth part, experimental results in pulsed regime (emission cyclotron diagnosis, diamagnetism) calibration)

  5. Electromagnetic diagnostics of ECR-Ion Sources plasmas: optical/X-ray imaging and spectroscopy

    Science.gov (United States)

    Mascali, D.; Castro, G.; Altana, C.; Caliri, C.; Mazzaglia, M.; Romano, F. P.; Leone, F.; Musumarra, A.; Naselli, E.; Reitano, R.; Torrisi, G.; Celona, L.; Cosentino, L. G.; Giarrusso, M.; Gammino, S.

    2017-12-01

    Magnetoplasmas in ECR-Ion Sources are excited from gaseous elements or vapours by microwaves in the range 2.45-28 GHz via Electron Cyclotron Resonance. A B-minimum, magnetohydrodynamic stable configuration is used for trapping the plasma. The values of plasma density, temperature and confinement times are typically ne= 1011-1013 cm-3, 01 eVSilicon Drift detectors with high energy resolution of 125 eV at 5.9 keV have been used for the characterization of plasma emission at 02plasmas have been measured for different values of neutral pressure, microwave power and magnetic field profile (they are critical for high-power proton sources).

  6. Korean plasma-material interaction researches/facilities

    International Nuclear Information System (INIS)

    Chung, K.-S.; Woo, H.-J.; Cho, S.-G.

    2013-01-01

    Various PMI facilities have been developed recently in Korea, such as DiPS, MP2, ECR plasma, a segmented plasma torch system, e-beam accelerator, and the TReD (Transport and Removal experiment of Dust) device. In this paper, these devices are briefly to be explained in terms of objective and specifications along with initial experimental results. (J.P.N.)

  7. Characteristics of 6.5 GHz ECR ion source for polarized H- ion source

    International Nuclear Information System (INIS)

    Ikegami, Kiyoshi; Mori, Yoshiharu; Takagi, Akira; Fukumoto, Sadayoshi.

    1983-04-01

    A 6.5 GHz ECR (electron cyclotron resonance) ion source has been developed for optically pumped polarized H - ion source at KEK. The properties of this ECR ion source such as beam intensities, proton ratios, plasma electron temperatures and beam emittances were measured. (author)

  8. Enhanced TiC/SiC Ohmic contacts by ECR hydrogen plasma pretreatment and low-temperature post-annealing

    International Nuclear Information System (INIS)

    Liu, Bingbing; Qin, Fuwen; Wang, Dejun

    2015-01-01

    Highlights: • Low-temperature ECR microwave hydrogen plasma were pretreated for moderately doped (1 × 10"1"8 cm"−"3) SiC surfaces. • The relationship among Ohmic properties, the SiC surface properties and TiC/SiC interface properties were established. • Interface band structures were analyzed to elucidate the mechanism by which the Ohmic contacts were formed. - Abstract: We proposed an electronic cyclotron resonance (ECR) microwave hydrogen plasma pretreatment (HPT) for moderately doped (1 × 10"1"8 cm"−"3) SiC surfaces and formed ideal TiC/SiC Ohmic contacts with significantly low contact resistivity (1.5 × 10"−"5 Ω cm"2) after low-temperature annealing (600 °C). This is achieved by reducing barrier height at TiC/SiC interface because of the release of pinned Fermi level by surface flattening and SiC surface states reduction after HPT, as well as the generation of donor-type carbon vacancies, which reduced the depletion-layer width for electron tunneling after annealing. Interface band structures were analyzed to elucidate the mechanism of Ohmic contact formations.

  9. Development of the 3rd Generation ECR ion source

    International Nuclear Information System (INIS)

    Lyneis, C.M.; Xie, Z.Q.; Taylor, C.E.

    1997-09-01

    The LBNL 3rd Generation ECR ion source has progressed from a concept to the fabrication of a full scale prototype superconducting magnet structure. This new ECR ion source will combine the recent ECR ion source techniques that significantly enhance the production of high charge state ions. The design includes a plasma chamber made from aluminum to provide additional cold electrons, three separate microwave feeds to allow multiple-frequency plasma heating (at 10, 14 and 18 GHz or at 6, 10 and 14 GHz) and very high magnetic mirror fields. The design calls for mirror fields of 4 T at injection and 3 T at extraction and for a radial field strength at the wall of 2.4 T. The prototype superconducting magnet structure which consists of three solenoid coils and six race track coils with iron poles forming the sextupole has been tested in a vertical dewar. After training, the sextupole magnet reached 105% of its design current with the solenoids off. With the solenoids operating at approximately 70% of their full design field, the sextuple coils operated at 95% of the design value which corresponds to a sextupole field strength at the plasma wall of more than 2.1 T

  10. An enhanced production of highly charged ions in the ECR ion sources

    International Nuclear Information System (INIS)

    Schaechter, L.; Dobrescu, S.; Badescu- Singureanu, Al.I.; Stiebing, K.E.; Runkel, S.; Hohn, O.; Schmidt, L.; Schempp, A.; Schmidt - Boecking, H.

    2000-01-01

    The electron cyclotron resonance (ECR) ion source (ECRIS) are the ideal sources of highly charged heavy ions. Highly charged heavy ions are widely used in atomic physics research where they constitute a very efficient tool due to their very high electric potential of collision. The highly charged ions are also used in fusion plasma physics studies, in solid state surface physics investigations and are very efficient when injected in particle accelerators. More than 50 ECR ion sources are presently working in the whole world. Stable and intense highly charged heavy ions beams are extracted from ECR ion sources, in a wide range of ion species. RECRIS, the Romanian 14 GHz ECR Ion Source, developed in IFIN-HH, designed as a facility for atomic physics and materials studies, has been recently completed. The research field concerning the development of advanced ECRIS and the study of the physical processes of the ECR plasma are presently very dynamical , a fact well proved by the great number of scientific published works and the numerous dedicated international conferences and workshops. It is well established that the performance of ECRIS can substantially be enhanced if special techniques like a 'biased disk' or a special wall coating of the plasma chamber are employed. In the frame of a cooperation project between IFIN-HH ,Bucharest, Romania and the Institut fuer Kernphysik of the J. W. Goethe University, Frankfurt/Main, Germany we developed, on the basis of previous research carried out in IFIN-HH, a new method to strongly increase the intensity of the ion beams extracted from the 14.4 GHz ECRIS in Frankfurt. In our method a special metal-dielectric structure (MD cylinder) was introduced in the ECRIS plasma chamber. In the experiment analyzed beams of Ar 16+ ions were increased in intensity by a factor of 50 as compared to the standard set up with stainless steel chamber. These results have been communicated at the International Conference on Ion Sources held at

  11. Ion mixing and numerical simulation of different ions produced in the ECR ion source

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1996-01-01

    This paper is to continue theoretical investigations and numerical simulations in the physics of ECR ion sources within the CERN program on heavy ion acceleration. The gas (ion) mixing effect in ECR sources is considered here. It is shown that the addition of light ions to the ECR plasma has three different mechanisms to improve highly charged ion production: the increase of confinement time and charge state of highly ions as the result of ion cooling; the concentration of highly charged ions in the central region of the source with high energy and density of electrons; the increase of electron production rate and density of plasma. The numerical simulations of lead ion production in the mixture with different light ions and different heavy and intermediate ions in the mixture with oxygen, are carried out to predict the principal ECR source possibilities for LHC applications. 18 refs., 23 refs

  12. Microwave Coupling to ECR and Alternative Heating Methods

    CERN Document Server

    Celona, L.

    2013-12-16

    The Electron Cyclotron Resonance Ion Source (ECRIS) is nowadays the most effective device that can feed particle accelerators in a continuous and reliable way, providing high-current beams of low- and medium-charge-state ions and relatively intense currents for highly charged ions. The ECRIS is an important tool for research with ion beams (in surface, atomic, and nuclear science) while, on the other hand, it implies plasma under extreme conditions and thus constitutes an object of scientific interest in itself. The fundamental aspect of the coupling between the electromagnetic wave and the plasma is hereinafter treated together with some variations to the classical ECR heating mechanism, with particular attention being paid to the frequency tuning effect and two-frequency heating. Considerations of electron and ion dynamics will be presented together with some recent observations connecting the beam shape with the frequency of the electromagnetic wave feeding the cavity. The future challenges of higher-charg...

  13. Experiment of bias probe method at NIRS-18 GHz ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Jincho, Kaoru; Yamamoto, Mitsugu; Okada, Takanori; Takasugi, Wataru; Sakuma, Tetsuya; Miyoshi, Tomohiro [Accelerator Engineering Corp., Chiba (Japan); Kitagawa, Atsushi; Muramatsu, Masayuki [National Inst. of Radiological Sciences, Chiba (Japan); Biri, Sandor [Institute of Nuclear Research (ATOMKI), Debrecen (Hungary)

    2000-11-01

    An 18 GHz ECR ion source (NIRS-HEC) has been developed to produce highly charged heavy ions from Ar to Xe. In order to increase the beam intensity of highly charged ion, we tried a technique of supplying cold electrons into the ECR plasma. In this paper, enhancement of the beam intensity is discussed in detail. The bias voltage is applied on the probe to repel cold electrons which flow from a plasma. The output beam current is 130 e{mu}A for Ar{sup 11+}. (J.P.N.)

  14. Experiment of bias probe method at NIRS-18 GHz ECR ion source

    International Nuclear Information System (INIS)

    Jincho, Kaoru; Yamamoto, Mitsugu; Okada, Takanori; Takasugi, Wataru; Sakuma, Tetsuya; Miyoshi, Tomohiro; Kitagawa, Atsushi; Muramatsu, Masayuki; Biri, Sandor

    2000-01-01

    An 18 GHz ECR ion source (NIRS-HEC) has been developed to produce highly charged heavy ions from Ar to Xe. In order to increase the beam intensity of highly charged ion, we tried a technique of supplying cold electrons into the ECR plasma. In this paper, enhancement of the beam intensity is discussed in detail. The bias voltage is applied on the probe to repel cold electrons which flow from a plasma. The output beam current is 130 eμA for Ar 11+ . (J.P.N.)

  15. Proceedings of the 'INS workshop on ECR ion sources for multiply-charged heavy ions'

    International Nuclear Information System (INIS)

    1995-02-01

    This workshop was held on December 1 and 2, 1994 at the Institute for Nuclear Study, University of Tokyo. The performance of ion sources is crucial for all researches and applications that use ion beam. The performance of ECR ion sources is strongly dependent on heuristic knowledge and innovation. From these viewpoints, it is useful to exchange information on the status of the existing sources, the performance of the new sources, and the design of the future sources between the source builders and the users. There were unexpected more than 70 participants and 20 contributions. The lectures were given on the present status of NIRS-ECR, SF-ECR, INS ISOL-ECR, RCNP ECR and EBIS ion sources, the production of multiply charged metallic ions with Hyper ECR or by plasma cathode method, the processing of ceramic rods and the ion production with OCTOPUS, the modeling of multi-charged ion production, the design of an advanced minimum B for ECR multi-charged ion source, the design, construction and operation of 18 GHz HiECR ion source, the construction and test operation of JAERI 18 GHz ion source, the design of an ECR ion source for the HIMAC, a 14.5 GHz ECR ion source at RIKEN, TMU 14 GHz ECR ion source, ''NANOGAN'' ECR ion source and its irradiation system, the optimization of the ECR ion source for optically pumped polarized ion source and so on. (K.I.)

  16. Proceedings of the `INS workshop on ECR ion sources for multiply-charged heavy ions`

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-02-01

    This workshop was held on December 1 and 2, 1994 at the Institute for Nuclear Study, University of Tokyo. The performance of ion sources is crucial for all researches and applications that use ion beam. The performance of ECR ion sources is strongly dependent on heuristic knowledge and innovation. From these viewpoints, it is useful to exchange information on the status of the existing sources, the performance of the new sources, and the design of the future sources between the source builders and the users. There were unexpected more than 70 participants and 20 contributions. The lectures were given on the present status of NIRS-ECR, SF-ECR, INS ISOL-ECR, RCNP ECR and EBIS ion sources, the production of multiply charged metallic ions with Hyper ECR or by plasma cathode method, the processing of ceramic rods and the ion production with OCTOPUS, the modeling of multi-charged ion production, the design of an advanced minimum B for ECR multi-charged ion source, the design, construction and operation of 18 GHz HiECR ion source, the construction and test operation of JAERI 18 GHz ion source, the design of an ECR ion source for the HIMAC, a 14.5 GHz ECR ion source at RIKEN, TMU 14 GHz ECR ion source, ``NANOGAN`` ECR ion source and its irradiation system, the optimization of the ECR ion source for optically pumped polarized ion source and so on. (K.I.).

  17. Prospect for a 60 GHz multicharged ECR ion source

    Science.gov (United States)

    Thuillier, T.; Bondoux, D.; Angot, J.; Baylac, M.; Froidefond, E.; Jacob, J.; Lamy, T.; Leduc, A.; Sole, P.; Debray, F.; Trophime, C.; Skalyga, V.; Izotov, I.

    2018-05-01

    The conceptual design of a fourth generation hybrid electron cyclotron resonance (ECR) ion source operated at 60 GHz is proposed. The axial magnetic mirror is generated with a set of three Nb3Sn coils, while the hexapole is made with room temperature (RT) copper coils. The motivations for such a hybrid development are to study further the ECR plasma physics and the intense multicharged ion beams' production and transport at a time when a superconducting (SC) hexapole appears unrealistic at 60 GHz. The RT hexapole coil designed is an evolution of the polyhelix technology developed at the French High Magnetic Field Facility. The axial magnetic field is generated by means of 3 Nb3Sn SC coils operated with a maximum current density of 350 A/mm2 and a maximum coil load line factor of 81%. The ECR plasma chamber resulting from the design features an inner radius of 94 mm and a length of 500 mm. The radial magnetic intensity is 4.1 T at the wall. Characteristic axial mirror peaks are 8 and 4.5 T, with 1.45 T minimum in between.

  18. Hydrogen dissociation in the deposition of GaN films with ECR-PECVD process

    Science.gov (United States)

    Fu, S. L.; Wang, C. A.; Ding, L. C.; Qin, Y. X.

    2018-05-01

    The hydrogen dissociation and its effect on the GaN film growth in the ECR-PECVD process are investigated in this paper. We use N2 and trimethylgallium (TMG) as N and Ga sources respectively in the ECR- PECVD process. The results show that the rate of hydrogen dissociation increases with the microwave power and it becomes higher at high microwave power (> 500 W). However, this population increase of the H species dissociated from the TMG gas in ECR plasma is not enough to change the growth condition from Ga-rich to N-rich.

  19. Production of highly charged ion beams from ECR ion sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1997-09-01

    Electron Cyclotron Resonance (ECR) ion source development has progressed with multiple-frequency plasma heating, higher mirror magnetic fields and better technique to provide extra cold electrons. Such techniques greatly enhance the production of highly charged ions from ECR ion sources. So far at cw mode operation, up to 300 eμA of O 7+ and 1.15 emA of O 6+ , more than 100 eμA of intermediate heavy ions for charge states up to Ar 13+ , Ca 13+ , Fe 13+ , Co 14+ and Kr 18+ , and tens of eμA of heavy ions with charge states to Kr 26+ , Xe 28+ , Au 35+ , Bi 34+ and U 34+ have been produced from ECR ion sources. At an intensity of at least 1 eμA, the maximum charge state available for the heavy ions are Xe 36+ , Au 46+ , Bi 47+ and U 48+ . An order of magnitude enhancement for fully stripped argon ions (I ≥ 60 enA) also has been achieved. This article will review the ECR ion source progress and discuss key requirement for ECR ion sources to produce the highly charged ion beams

  20. Characterization of surface hardening in a nitrated chromium steel by microwave plasma type ECR (Electron Cyclotron Resonance); Caracterizacion del endurecimiento superficial del acero H-12 nitrurado con plasma de microondas tipo ECR

    Energy Technology Data Exchange (ETDEWEB)

    La O C, G de

    1996-12-31

    With this work it is demonstrated the possibility of performing the nitriding process by using a CVD-ECR source, based on the results obtained after treating several samples of AISI H-12 steel. Also, the main operating parameters (time of treatment, sample temperatures and gas mixture) are determined during nitriding of this steel with the mentioned source. Samples used before nitriding were quenched and tempered at 580 Centigrade degrees. Several experiments were done by using a pure nitrogen plasma with exposure times of the samples of 20 minutes at temperatures from 450 to 550 Centigrade degrees, and by using a N{sub 2} - H{sub 2} plasma with exposure times of the samples of 20, 30 and 40 minutes at temperatures from 350 to 550 Centigrade degrees. Metallography, microhardness, EDS and Auger analysis were done to observe changes suffered for the samples after treatment. (Author).

  1. Superconducting ECR ion source: From 24-28 GHz SECRAL to 45 GHz fourth generation ECR

    Science.gov (United States)

    Zhao, H. W.; Sun, L. T.; Guo, J. W.; Zhang, W. H.; Lu, W.; Wu, W.; Wu, B. M.; Sabbi, G.; Juchno, M.; Hafalia, A.; Ravaioli, E.; Xie, D. Z.

    2018-05-01

    The development of superconducting ECR source with higher magnetic fields and higher microwave frequency is the most straight forward path to achieve higher beam intensity and higher charge state performance. SECRAL, a superconducting third generation ECR ion source, is designed for 24-28 GHz microwave frequency operation with an innovative magnet configuration of sextupole coils located outside the three solenoids. SECRAL at 24 GHz has already produced a number of record beam intensities, such as 40Ar12+ 1.4 emA, 129Xe26+ 1.1 emA, 129Xe30+ 0.36 emA, and 209Bi31+ 0.68 emA. SECRAL-II, an upgraded version of SECRAL, was built successfully in less than 3 years and has recently been commissioned at full power of a 28 GHz gyrotron and three-frequency heating (28 + 45 + 18 GHz). New record beam intensities for highly charged ion production have been achieved, such as 620 eμA 40Ar16+, 15 eμA 40Ar18+, 146 eμA 86Kr28+, 0.5 eμA 86Kr33+, 53 eμA 129Xe38+, and 17 eμA 129Xe42+. Recent beam test results at SECRAL and SECRAL II have demonstrated that the production of more intense highly charged heavy ion beams needs higher microwave power and higher frequency, as the scaling law predicted. A 45 GHz superconducting ECR ion source FECR (a first fourth generation ECR ion source) is being built at IMP. FECR will be the world's first Nb3Sn superconducting-magnet-based ECR ion source with 6.5 T axial mirror field, 3.5 T sextupole field on the plasma chamber inner wall, and 20 kW at a 45 GHz microwave coupling system. This paper will focus on SECRAL performance studies at 24-28 GHz and technical design of 45 GHz FECR, which demonstrates a technical path for highly charged ion beam production from 24 to 28 GHz SECRAL to 45 GHz FECR.

  2. Influence of Microwave Power on the Properties of Hydrogenated Diamond-Like Carbon Films Prepared by ECR Plasma Enhanced DC Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ru Lili; Huang Jianjun; Gao Liang; Qi Bing

    2010-01-01

    Electron cyclotron resonance (ECR) plasma was applied to enhance the direct current magnetron sputtering to prepare hydrogenated diamond-like carbon (H-DLC) films. For different microwave powers, both argon and hydrogen gas are introduced separately as the ECR working gas to investigate the influence of microwave power on the microstructure and electrical property of the H-DLC films deposited on P-type silicon substrates. A series of characterization methods including the Raman spectrum and atomic force microscopy are used. Results show that, within a certain range, the increase in microwave power affects the properties of the thin films, namely the sp 3 ratio, the hardness, the nanoparticle size and the resistivity all increase while the roughness decreases with the increase in microwave power. The maximum of resistivity amounts to 1.1 x 10 9 Ω · cm. At the same time it is found that the influence of microwave power on the properties of H-DLC films is more pronounced when argon gas is applied as the ECR working gas, compared to hydrogen gas.

  3. Microwave frequency sweep interferometer for plasma density measurements in ECR ion sources: Design and preliminary results

    Energy Technology Data Exchange (ETDEWEB)

    Torrisi, Giuseppe [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University Mediterranea of Reggio Calabria, Reggio Calabria (Italy); Mascali, David; Neri, Lorenzo; Leonardi, Ornella; Celona, Luigi; Castro, Giuseppe; Agnello, Riccardo; Caruso, Antonio; Passarello, Santi; Longhitano, Alberto; Gammino, Santo [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Sorbello, Gino [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University of Catania, Catania, Italy and INFN-LNS, Catania (Italy); Isernia, Tommaso [University Mediterranea of Reggio Calabria, Reggio Calabria (Italy)

    2016-02-15

    The Electron Cyclotron Resonance Ion Sources (ECRISs) development is strictly related to the availability of new diagnostic tools, as the existing ones are not adequate to such compact machines and to their plasma characteristics. Microwave interferometry is a non-invasive method for plasma diagnostics and represents the best candidate for plasma density measurement in hostile environment. Interferometry in ECRISs is a challenging task mainly due to their compact size. The typical density of ECR plasmas is in the range 10{sup 11}–10{sup 13} cm{sup −3} and it needs a probing beam wavelength of the order of few centimetres, comparable to the chamber radius. The paper describes the design of a microwave interferometer developed at the LNS-INFN laboratories based on the so-called “frequency sweep” method to filter out the multipath contribution in the detected signals. The measurement technique and the preliminary results (calibration) obtained during the experimental tests will be presented.

  4. Production of hot electrons in mirror systems associated with ECR heating with longitudinal input of microwaves

    International Nuclear Information System (INIS)

    Zhil'tsov, V.A.; Skovoroda, A.A.; Timofeev, A.V.; Kharitonov, K.Yu.; Shcherbakov, A.G.

    1991-01-01

    Almost all experiments on ECR plasma heating are accompanied by the formation of hot electrons (i.e., electrons with energy substantially greater than the average of the bulk population). In mirror systems these electrons may determine the basic energy content (β) of the plasma. In this paper, results are presented from experimental measurements of the hot electron population resulting from ECR heating of the plasma in OGRA-4. A theoretical model is developed which describes the hot electron dynamics and the propagation of electromagnetic oscillations in the plasma self-consistently. The results obtained with this model are in agreement with experimental data

  5. Measurements of bremsstrahlung spectra of Lanzhou ECR Ion Source No. 3 (LECR3)

    International Nuclear Information System (INIS)

    Zhao, H.Y.; Zhao, H.W.; Ma, X.W.; Zhang, S.F.; Feng, W.T.; Zhu, X.L.; Zhang, Z.M.; He, W.; Sun, L.T.; Feng, Y.C.; Cao, Y.; Li, J.Y.; Li, X.X.; Wang, H.; Ma, B.H.

    2006-01-01

    In order to diagnose the electron cyclotron resonance (ECR) plasma, electron bremsstrahlung spectra were measured by a HPGe detector on Lanzhou ECR Ion Source No. 3 at IMP. The ion source was operated with argon under various working conditions, including different microwave power, mixing gas, extraction high voltage (HV), and so on. Some of the measured spectra are presented in this article. The dependence of energetic electron population on mixing gas and extraction HV is also described. Additionally, we are looking forward to further measurements on SECRAL (Superconducting ECR Ion Source with Advanced design at Lanzhou)

  6. State of the Art ECR Ion Sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1997-01-01

    Electron Cyclotron Resonance (ECR) ion source which produces highly-charged ions is used in heavy ion accelerators worldwide. Applications also found in atomic physics research and industry ion implantation. ECR ion source performance continues to improve, especially in the last few years with new techniques, such as multiple-frequency plasma heating and better methods to provide extra cold electrons, combined with higher magnetic mirror fields. So far more than 1 emA of multiply-charged ions such as He 2+ and O 6+ , and 30 eμA of Au 32+ , 1.1 eμA of 238 U 48+ , and epA currents of very high charge states such as 86 Kr 35+ and 238 U 60+ have been produced

  7. Simulation and beam line experiments for the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Todd, Damon S.; Leitner, Daniela; Grote, David P.; Lyneis, ClaudeM.

    2007-01-01

    The particle-in-cell code Warp has been enhanced to incorporate both two- and three-dimensional sheath extraction models giving Warp the capability of simulating entire ion beam transport systems including the extraction of beams from plasma sources. In this article we describe a method of producing initial ion distributions for plasma extraction simulations in electron cyclotron resonance (ECR) ion sources based on experimentally measured sputtering on the source biased disc. Using this initialization method, we present preliminary results for extraction and transport simulations of an oxygen beam and compare them with experimental beam imaging on a quartz viewing plate for the superconducting ECR ion source VENUS

  8. ECRIPAC: A new concept for the production and acceleration to very high energies of multiply charged ions using an ECR plasma

    International Nuclear Information System (INIS)

    Geller, R.; Golovanivsky, K.; Melin, G.

    1991-01-01

    A concept of a new ECR device aimed to produce pulsed beams of ions accelerated up to 0.5 GeV/nucleon without the help of any conventional accelerator is exposed. The main idea is the conjunction of two fundamental physical principles experienced formerly in the PLEIADE and GYRAC devices. With the new concept the authors propose to produce, using a very compact device, high energy ion beams with energies and intensities adequate for particle, nuclear and atomic physics as well as for modern technologies and medicine

  9. Plasma shutdown device

    International Nuclear Information System (INIS)

    Hosogane, Nobuyuki; Nakayama, Takahide.

    1985-01-01

    Purpose: To prevent concentration of plasma currents to the plasma center upon plasma shutdown in a torus type thermonuclear device by the injection of fuels to the plasma center thereby prevent plasma disruption at the plasma center. Constitution: The plasma shutdown device comprises a plasma current measuring device that measures the current distribution of plasmas confined within a vacuum vessel and outputs a control signal for cooling the plasma center when the plasma currents concentrate to the plasma center and a fuel supply device that supplies fuels to the plasma center for cooling the center. The fuels are injected in the form of pellets into the plasmas. The direction and the velocity of the injection are set such that the pellets are ionized at the center of the plasmas. (Horiuchi, T.)

  10. Effects of the plasma-facing materials on the negative ion H ‑ density in an ECR (2.45 GHz) plasma

    Science.gov (United States)

    Bentounes, J.; Béchu, S.; Biggins, F.; Michau, A.; Gavilan, L.; Menu, J.; Bonny, L.; Fombaron, D.; Bès, A.; Lebedev, Yu A.; Shakhatov, V. A.; Svarnas, P.; Hassaine, T.; Lemaire, J. L.; Lacoste, A.

    2018-05-01

    Within the framework of fundamental research, the present work focuses on the role of surface material in the production of H ‑ negative ion, with a potential application of designing cesium-free H ‑ negative ion sources oriented to fusion application. It is widely accepted that the main reaction leading to H ‑ production, in the plasma volume, is the dissociative attachment of low-energy electrons (T e ≤ 1 eV) on highly ro-vibrationally excited hydrogen molecules. In parallel with other mechanisms, the density of these excited molecules may be enhanced by means of the recombinative desorption, i.e. the interaction between surface absorbed atoms with other atoms (surface adsorbed or not) through the path {H}{{ads}}+{H}{{gas}/{{ads}}}\\to {H}2{(v,J)}{{gas}}+{{Δ }}E. Accordingly, a systematic study on the role played by the surface in this reaction, with respect to the production of H ‑ ion in the plasma volume, is here performed. Thus, tantalum and tungsten (already known as H ‑ enhancers) and quartz (inert surface) materials are employed as inner surfaces of a test bench chamber. The plasma inside the chamber is produced by electron cyclotron resonance (ECR) driving and it is characterized with conventional electrostatic probes, laser photodetachment, and emission and absorption spectroscopy. Two different positions (close to and away from the ECR driving zone) are investigated under various conditions of pressure and power. The experimental results are supported by numerical data generated by a 1D model. The latter couples continuity and electron energy balance equations in the presence of magnetic field, and incorporates vibrational kinetics, H2 molecular reactions, H electronically excited states and ground-state species kinetics. In the light of this study, recombinative desorption has been evidenced as the most probable mechanism, among others, responsible for an enhancement by a factor of about 3.4, at 1.6 Pa and 175 W of microwave power, in the

  11. Parametric decay instabilities in ECR heated plasmas

    International Nuclear Information System (INIS)

    Porkolab, M.

    1982-01-01

    The possibility of parametric excitation of electron Bernstein waves and low frequency ion oscillations during ECR heating at omega/sub o/ approx. = l omega/sub ce/, l = 1,2 is examined. In particular, the thresholds for such instabilities are calculated. It is found that Bernstein waves and lower hybrid quasi-modes have relatively low homogeneous where T/sub e/ approx. = T/sub i/. Thus, these processes may lead to nonlinear absorption and/or scattering of the incident pump wave. The resulting Bernstein waves may lead to either more effective heating (especially during the start-up phase) or to loss of microwave energy if the decay waves propagate out of the system before their energy is absorbed by particles. While at omega/sub o/ = omega/sub UH/ the threshold is reduced due to the WKB enhancement of the pump wave, (and this instability may be important in tokamaks) in EBT's and tandem mirrors the instability at omega /sub o/ greater than or equal to 2 omega/sub ce/ may be important. The instability may persist even if omega > 2 omega/sub ce/ and this may be the case during finite beta depression of the magnetic field in which case the decay waves may be trapped in the local magnetic well so that convective losses are minimized. The excited fluctuations may lead to additional scattering of the ring electrons and the incident microwave fields. Application of these calculations to ECR heating of tokamaks, tandem mirrors, and EBT's will be examined

  12. 11th ECR ion source workshop

    International Nuclear Information System (INIS)

    1993-05-01

    This report contains four articles concerning the commissioning of the 14 GHz ECR at the new Unilac injector, the status of the PuMa-ECR, the redesigned 14 GHz ECR ion source and test bench, and the simulation of ion beam extraction from an ECR source. See hints under the relevant topics. (HSI)

  13. Electron cyclotron resonance (E.C.R.) multiply charged ion sources

    International Nuclear Information System (INIS)

    Geller, R.

    1978-01-01

    High charge state ions can be produced by electron bombardment inside targets when the target electron density n (cm -3 ) multiplied by the ion transit time through the target tau (sec) is: n tau > 5.10 9 cm -3 sec. The relative velocity between electrons and ions determines the balance between stripping and capture i.e. the final ion charge state. (In a stripper foil fast ions interact with slow electrons involving typically n approximately 10 24 cm -3 , tau approximately 10 -14 sec). In the E.C.R. source a cold ion plasma created in a first stage diffuses slowly through a second stage containing a hot E.C.R. plasma with n > 3.10 11 cm -3 and tau > 10 -2 sec. Continuous beams of several μA of C 6+ N 7+ Ne 9+ A 11+ are extracted from the second stage with normalized emittances of approximately 0.5 π mm mrad. The absence of cathodes and plasma arcs makes the source very robust, reliable and well-fitted for cyclotron injection. A super conducting source is under development

  14. Development of 16.5 GHz ECR ion source in KEK

    International Nuclear Information System (INIS)

    Mori, Yoshiharu; Kinsho, Michikazu; Ikegami, Kiyoshi; Takagi, Akira

    1992-01-01

    An electron cyclotron resonance (ECR) ion source is useful for generating not only highly charged heavy ions but intense protons. We have developed the 16.5 GHz ECR ion source for the optically pumped polarized ion source (OPPIS). Recently, we have modified it to extract highly charged heavy ions and succeeded in producting highly charged argon ions of which charge-states were from 2 to 8. When we introduced electrons into the plasma with a LaB 6 filament, the argon ion beam whose charge-state up to 11 could be extracted. The intensity was also enhanced in factor 2 to 6 for each charge-state ions. (author)

  15. Commissioning of the superconducting ECR ion source VENUS at 18 GHz

    International Nuclear Information System (INIS)

    Leitner, Daniela; Abbott, Steven R.; Dwinell, Roger D.; Leitner, Matthaeus; Taylor, Clyde E.; Lyneis, Claude M.

    2004-01-01

    During the last year, the VENUS ECR ion source was commissioned at 18 GHz and preparations for 28 GHz operation are now underway. During the commissioning phase with 18 GHz, tests with various gases and metals have been performed with up to 2000 W RF power. The ion source performance is very promising [1,2]. VENUS (Versatile ECR ion source for Nuclear Science) is a next generation superconducting ECR ion source, designed to produce high current, high charge state ions for the 88-Inch Cyclotron at the Lawrence Berkeley National Laboratory. VENUS also serves as the prototype ion source for the RIA (Rare Isotope Accelerator) front end. The goal of the VENUS ECR ion source project as the RIA R and D injector is the production of 240e(micro)A of U 30+ , a high current medium charge state beam. On the other hand, as an injector ion source for the 88-Inch Cyclotron the design objective is the production of 5e(micro)A of U 48+ , a low current, very high charge state beam. To meet these ambitious goals, VENUS has been designed for optimum operation at 28 GHz. This frequency choice has several design consequences. To achieve the required magnetic confinement, superconducting magnets have to be used. The size of the superconducting magnet structure implies a relatively large plasma volume. Consequently, high power microwave coupling becomes necessary to achieve sufficient plasma heating power densities. The 28 GHz power supply has been delivered in April 2004

  16. Fast camera studies at an electron cyclotron resonance table plasma generator.

    Science.gov (United States)

    Rácz, R; Biri, S; Hajdu, P; Pálinkás, J

    2014-02-01

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the "big" ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper.

  17. Fast camera studies at an electron cyclotron resonance table plasma generator

    International Nuclear Information System (INIS)

    Rácz, R.; Biri, S.; Hajdu, P.; Pálinkás, J.

    2014-01-01

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the “big” ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper

  18. Fast camera studies at an electron cyclotron resonance table plasma generator

    Energy Technology Data Exchange (ETDEWEB)

    Rácz, R., E-mail: rracz@atomki.hu [Institute for Nuclear Research (ATOMKI), H-4026 Debrecen, Bem tér 18/c (Hungary); Department of Experimental Physics, University of Debrecen, H-4032 Debrecen, Egyetem tér 1 (Hungary); Biri, S. [Institute for Nuclear Research (ATOMKI), H-4026 Debrecen, Bem tér 18/c (Hungary); Hajdu, P.; Pálinkás, J. [Department of Experimental Physics, University of Debrecen, H-4032 Debrecen, Egyetem tér 1 (Hungary)

    2014-02-15

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the “big” ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper.

  19. PLASMA DEVICE

    Science.gov (United States)

    Gow, J.D.; Wilcox, J.M.

    1961-12-26

    A device is designed for producing and confining highenergy plasma from which neutrons are generated in copious quantities. A rotating sheath of electrons is established in a radial electric field and axial magnetic field produced within the device. The electron sheath serves as a strong ionizing medium to gas introdueed thereto and also functions as an extremely effective heating mechanism to the resulting plasma. In addition, improved confinement of the plasma is obtained by ring magnetic mirror fields produced at the ends of the device. Such ring mirror fields are defined by the magnetic field lines at the ends of the device diverging radially outward from the axis of the device and thereafter converging at spatial annular surfaces disposed concentrically thereabout. (AFC)

  20. Superconducting ECR ion source system

    International Nuclear Information System (INIS)

    Sharma, S.C.; Gore, J.A.; Gupta, A.K.; Saxena, A.

    2017-01-01

    In order to cover the entire mass range of the elements across the periodic table, an ECR based heavy ion accelerator programme, consisting of a superconducting ECR (Electron Cyclotron Resonance) source and a room temperature RFQ (Radio Frequency Quadrupole) followed by low and high beta superconducting resonator cavities has been proposed. The 18 GHz superconducting ECR ion source system has already been commissioned and being operated periodically at FOTIA beam hall. This source is capable of delivering ion beams right from proton to uranium with high currents and high charge states over a wide mass range (1/7 ≤ q/m ≤ 1/2) across the periodic table, including U"3"4"+ (q/m∼1/7) with 100 pna yield. The normalized transverse beam emittance from ECR source is expected to be <1.0 pi mm mrad. ECR ion sources are quite robust, making them suitable for operating for weeks continuously without any interruption

  1. Characterization of surface hardening in a nitrated chromium steel by microwave plasma type ECR (Electron Cyclotron Resonance)

    International Nuclear Information System (INIS)

    La O C, G. de.

    1995-01-01

    With this work it is demonstrated the possibility of performing the nitriding process by using a CVD-ECR source, based on the results obtained after treating several samples of AISI H-12 steel. Also, the main operating parameters (time of treatment, sample temperatures and gas mixture) are determined during nitriding of this steel with the mentioned source. Samples used before nitriding were quenched and tempered at 580 Centigrade degrees. Several experiments were done by using a pure nitrogen plasma with exposure times of the samples of 20 minutes at temperatures from 450 to 550 Centigrade degrees, and by using a N 2 - H 2 plasma with exposure times of the samples of 20, 30 and 40 minutes at temperatures from 350 to 550 Centigrade degrees. Metallography, microhardness, EDS and Auger analysis were done to observe changes suffered for the samples after treatment. (Author)

  2. ECR [electron cyclotron resonance] discharges maintained by radiation in the millimeter wavelength range

    International Nuclear Information System (INIS)

    Bykov, Yu.V.; Golubev, S.V.; Eremeev, A.G.; Zorin, V.G.

    1990-01-01

    It is well known that plasmas formed by microwave breakdown of gases under electron cyclotron resonance (ECR) conditions can serve as an efficient source for ion beams. The major disadvantage of this type of source is relatively low ion beam currents which generally do not exceed 1 A (for an electron density of ∼10 12 cm -3 in the discharge). Raising the current density in the ion beams requires a higher plasma density, which can be obtained by using higher frequencies. Thus, a study has recently been made of the parameters of the plasma formed by ECR breakdown in a linear confinement system employing pulsed radiation at a frequency of 60 GHz. The maximum electron densities obtained in the experiment were 2·10 13 cm -3 at a gas pressure of 3·10 -4 torr. In this paper the authors describe some experiments on the creation of plasmas by means of quasi-cw electromagnetic radiation at a frequency of 100 GHz under electron cyclotron resonance conditions

  3. Enhancement of ECR performances by means of carbon nano-tubes based electron guns

    International Nuclear Information System (INIS)

    Odorici, F.; Cuffiani, M.; Malferrari, L.; Rizzoli, R.; Veronese, G.P.; Celona, L.; Gammino, S.; Mascali, D.; Miracoli, R.; Romano, F.P.; Gambino, N.; Castro, G.; Ciavola, G.; Serafino, T.

    2012-01-01

    The CANTES experiment at INFN-LNS tested the use of carbon nano-tubes (CNTs) to emit electrons by field emission effect, in order to provide additional electrons to the plasma core of an ECR ion source. This technique was used with the Caesar source, demonstrating that the total extracted ion current is increased and that a relevant reduction of the number of 'high energy' electrons (above 100 keV) may be observed. The injection of additional electrons inside the plasma increases the amount of cold and warm electrons, and then the number of ionizing collisions. Details of the construction of CNTs based electron gun and of the improvement of performances of the Caesar ECR ion source will be presented. The paper is followed by the associated poster. (authors)

  4. Comparison analysis of superconducting solenoid magnet systems for ECR ion source based on the evolution strategy optimization

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Shao Qing; Lee, Sang Jin [Uiduk University, Gyeongju (Korea, Republic of)

    2015-06-15

    Electron cyclotron resonance (ECR) ion source is an essential component of heavy-ion accelerator. For a given design, the intensities of the highly charged ion beams extracted from the source can be increased by enlarging the physical volume of ECR zone. Several models for ECR ion source were and will be constructed depending on their operating conditions. In this paper three simulation models with 3, 4 and 6 solenoid system were built, but it's not considered anything else except the number of coils. Two groups of optimization analysis are presented, and the evolution strategy (ES) is adopted as an optimization tool which is a technique based on the ideas of mutation, adaptation and annealing. In this research, the volume of ECR zone was calculated approximately, and optimized designs for ECR solenoid magnet system were presented. Firstly it is better to make the volume of ECR zone large to increase the intensity of ion beam under the specific confinement field conditions. At the same time the total volume of superconducting solenoids must be decreased to save material. By considering the volume of ECR zone and the total length of solenoids in each model with different number of coils, the 6 solenoid system represented the highest coil performance. By the way, a certain case, ECR zone volume itself can be essential than the cost. So the maximum ECR zone volume for each solenoid magnet system was calculated respectively with the same size of the plasma chamber and the total magnet space. By comparing the volume of ECR zone, the 6 solenoid system can be also made with the maximum ECR zone volume.

  5. Electron Cyclotron Resonance (ECR) Ion Source Development at the Holified Radioactive Ion Beam Facility

    Science.gov (United States)

    Bilheux, Hassina; Liu, Yuan; Alton, Gerald; Cole, John; Williams, Cecil; Reed, Charles

    2004-11-01

    Performance of ECR ion sources can be significantly enhanced by increasing the physical size of their ECR zones in relation to the size of their plasma volumes (spatial and frequency domain methods).^3-5 A 6 GHz, all-permanent magnet ECR ion source with a large resonant plasma volume has been tested at ORNL.^6 The magnetic circuit can be configured for creating both flat-β (volume) and conventional minimum-β (surface) resonance conditions. Direct comparisons of the performance of the two source types can be made under similar operating conditions. In this paper, we clearly demonstrate that the flat-β source outperforms its minimum-β counterpart in terms of charge state distribution and intensity within a particular charge state. ^1bilheuxhn@ornl.gov ^2Managed by UT-Battelle, LLC, for the U.S. Department of Energy under contract DE-AC05-00OR22725. ^3G.D. Alton, D.N. Smithe, Rev. Sci. Instrum. 65 (1994) 775. ^4G.D. Alton et al., Rev. Sci. Instrum. 69 (1998) 2305. ^5Z.Q. Xie, C.M. Lyneis, Rev. Sci. Instrum. 66 (1995) 4218. ^6Y. Liu et al., Rev. Sci. Instrum. 69 (1998) 1311.

  6. Neutron generator for BNCT based on high current ECR ion source with gyrotron plasma heating.

    Science.gov (United States)

    Skalyga, V; Izotov, I; Golubev, S; Razin, S; Sidorov, A; Maslennikova, A; Volovecky, A; Kalvas, T; Koivisto, H; Tarvainen, O

    2015-12-01

    BNCT development nowadays is constrained by a progress in neutron sources design. Creation of a cheap and compact intense neutron source would significantly simplify trial treatments avoiding use of expensive and complicated nuclear reactors and accelerators. D-D or D-T neutron generator is one of alternative types of such sources for. A so-called high current quasi-gasdynamic ECR ion source with plasma heating by millimeter wave gyrotron radiation is suggested to be used in a scheme of D-D neutron generator in the present work. Ion source of that type was developed in the Institute of Applied Physics of Russian Academy of Sciences (Nizhny Novgorod, Russia). It can produce deuteron ion beams with current density up to 700-800 mA/cm(2). Generation of the neutron flux with density at the level of 7-8·10(10) s(-1) cm(-2) at the target surface could be obtained in case of TiD2 target bombardment with deuteron beam accelerated to 100 keV. Estimations show that it is enough for formation of epithermal neutron flux with density higher than 10(9) s(-1) cm(-2) suitable for BNCT. Important advantage of described approach is absence of Tritium in the scheme. First experiments performed in pulsed regime with 300 mA, 45 kV deuteron beam directed to D2O target demonstrated 10(9) s(-1) neutron flux. This value corresponds to theoretical estimations and proofs prospects of neutron generator development based on high current quasi-gasdynamic ECR ion source. Copyright © 2015 Elsevier Ltd. All rights reserved.

  7. Effective hydrogenation and surface damage induced by MW-ECR plasma of fine-grained polycrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Madi, D. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria); Prathap, P.; Focsa, A.; Slaoui, A. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Birouk, B. [Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria)

    2010-06-15

    This work reports the investigations on the effects of the hydrogenation process of thin film polycrystalline n{sup +}pp{sup +} mesa silicon cells using MW-ECR plasma in a conventional PECVD system. Different operating parameters such as MW-ECR power, annealing temperature and the doping level of the emitter region were varied. The n{sup +}-type emitter regions were obtained by phosphorus diffusion in a conventional furnace using an oxide doping source containing phosphorus (P507 or P509 solutions, from Filmtronics Inc.). The MW hydrogenation was carried out at a sample temperature of 400 C for 60 min. Both types of emitters formed from P507 and P509 showed V{sub oc} of 155 mV and 206 mV, which increased linearly to 305 mV and 331 mV, respectively, after hydrogenation when the MW power varied from 200 to 650 W. However, the sheet resistances of the n{sup +} emitter region showed a slight increase depending upon hydrogenation power because of its etching. In a further study, hydrogenated samples were annealed in neutral or forming gas (FG) and we observed interesting results on V{sub oc} in the presence of FG. The FG annealing temperature study revealed a strong dependence of V{sub oc} on MW power, which affected the etching level of emitter and emitter dopant concentration, which controls the diffusion of hydrogen ions during post-hydrogenation step. The results were explained in detail by combining the effects of MW power and dopant level of the emitter. (orig.)

  8. Electron cyclotron resonance (ECR) ion sources

    International Nuclear Information System (INIS)

    Jongen, Y.

    1984-05-01

    Starting with the pioneering work of R. Geller and his group in Grenoble (France), at least 14 ECR sources have been built and tested during the last five years. Most of those sources have been extremely successful, providing intense, stable and reliable beams of highly charged ions for cyclotron injection or atomic physics research. However, some of the operational features of those sources disagreed with commonly accepted theories on ECR source operation. To explain the observed behavior of actual sources, it was found necessary to refine some of the crude ideas we had about ECR sources. Some of those new propositions are explained, and used to make some extrapolations on the possible future developments in ECR sources

  9. Testing methods of ECR ion source experimental platform

    International Nuclear Information System (INIS)

    Zhou Changgeng; Hu Yonghong; Li Yan

    2006-12-01

    The principle and structure of ECR ion source experimental platform were introduce. The testing methods of the parameters of single main component and the comprehensive parameters under the condition of certain beam current and beam spot diameter were summarized in process of manufacturing. Some appropriate testing dates were given. The existent questions (the parameters of plasma density in discharge chamber and accurate hydrogen flow, etc. can not be measured in operation) and resolutions were also put forward. (authors)

  10. Studies on fundamental technologies for producing tokamak-plasma

    International Nuclear Information System (INIS)

    Matsuzaki, Yoshimi

    1987-10-01

    The report describes studies on fundamental technologies to produce tokamak-plasma of the JFT-2 and JFT-2M tokamaks. (1) In order to measure the particle number of residual gases, calibration methods of vacuum gauges have been developed. (2) Devices for a Taylor-type discharge cleaning (TDC), a glow discharge cleaning (GDC) and ECR discharge cleaning (ECR-DC) have been made and the cleaning effects have been investigated. In TDC the most effective plasma for cleaning is obtained in the plasma with 5 eV of electron temperature. GDC is effective in removing carbon impurities, but is less effective for removing oxygen impurities. ECR-DC has nearly the similar effect as TDC. The cleaning effect of these three types were studied by comparing the properties of resulting tokamak plasmas in the JFT-2M tokamak. (3) Experimental studies of pre-ionization showed as following results; A simple pre-ionization equipment as a hot-electron-gun and a J x B gun was effective in reducing breakdown voltage. An ordinary mode wave of the electron cyclotron frequency was very effective for pre-ionization. The RF power whose density is 3.6 x 10 -2 W/cm 3 produced plasma of an electron density of 5 x 10 11 cm -3 . In this case, it is possible to start up with negligible consumption of the magnetic flux caused by the plasma resistance. (4) Concerning to studies on plasma control, the following results were obtained; In order to obtain constant plasma current, a pulse forming network was constructed and sufficient constant plasma current was achieved. In applying an iso-flux method for measuring the plasma position, it is no problem practically to use only one loop-coil and one magnetic probe. (author)

  11. Plasma devices for hydrocarbon reformation

    KAUST Repository

    Cha, Min Suk

    2017-02-16

    Plasma devices for hydrocarbon reformation are provided. Methods of using the devices for hydrocarbon reformation are also provided. The devices can include a liquid container to receive a hydrocarbon source, and a plasma torch configured to be submerged in the liquid. The plasma plume from the plasma torch can cause reformation of the hydrocarbon. The device can use a variety of plasma torches that can be arranged in a variety of positions in the liquid container. The devices can be used for the reformation of gaseous hydrocarbons and/or liquid hydrocarbons. The reformation can produce methane, lower hydrocarbons, higher hydrocarbons, hydrogen gas, water, carbon dioxide, carbon monoxide, or a combination thereof.

  12. A New ECR Ion Source for Nuclear Astrophysics Studies

    Science.gov (United States)

    Cesaratto, John M.

    2008-10-01

    The Laboratory for Experimental Nuclear Astrophysics (LENA) is a low energy facility designed to study nuclear reactions of astrophysical interest at energies which are important for nucleosysthesis. In general, these reactions have extremely small cross sections, requiring intense beams and efficient detection systems. Recently, a new, high intensity electron-cyclotron-resonance (ECR) ion source has been constructed (based on a design by Wills et al.[1]), which represents a substantial improvement in the capabilities of LENA. Beam is extracted from an ECR plasma excited at 2.45 GHz and confined by an array of permanent magnets. It has produced H^+ beams in excess of 1 mA on target over the energy range 100 - 200 keV, which greatly increases our ability to measure small cross sections. Initial measurements will focus on the ^23Na(p,γ)^24Mg reaction, which is of interest in a variety of astrophysical scenarios. The present uncertainty in the rate of this reaction is the result of an unobserved resonance expected at Elab =144 keV, which should be detectable using beams from the new ECR source. In collaboration with Arthur E. Champagne and Thomas B. Clegg, University of North Carolina, Chapel Hill and TUNL. [3pt] [1] J. S. C. Wills et al., Rev. Sci. Instrum. 69, 65 (1999).

  13. Metallic vapor supplying by the electron bombardment for a metallic ion production with an ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kitagawa, Atsushi; Sasaki, Makoto; Muramatsu, Masayuki [National Inst. of Radiological Sciences, Chiba (Japan); Jincho, Kaoru; Sasaki, Noriyuki; Sakuma, Tetsuya; Takasugi, Wataru; Yamamoto, Mitsugu [Accelerator Engineering Corporation, Chiba (Japan)

    2001-11-19

    To produce the metallic ion beam for the injection into the Heavy Ion Medical Accelerator in Chiba (HIMAC) at the National Institute of Radiological Sciences (NIRS), a new gas supply method has been developed for an 18 GHz ECR ion source (NIRS-HEC). A metallic target rod at a high positive potential is melted by the electron bombardment technique. The evaporated gas with a maximum flow rate of 50A/sec is supplied into the ECR plasma in case of Fe metal. (author)

  14. Metallic vapor supplying by the electron bombardment for a metallic ion production with an ECR ion source

    International Nuclear Information System (INIS)

    Kitagawa, Atsushi; Sasaki, Makoto; Muramatsu, Masayuki; Jincho, Kaoru; Sasaki, Noriyuki; Sakuma, Tetsuya; Takasugi, Wataru; Yamamoto, Mitsugu

    2001-01-01

    To produce the metallic ion beam for the injection into the Heavy Ion Medical Accelerator in Chiba (HIMAC) at the National Institute of Radiological Sciences (NIRS), a new gas supply method has been developed for an 18 GHz ECR ion source (NIRS-HEC). A metallic target rod at a high positive potential is melted by the electron bombardment technique. The evaporated gas with a maximum flow rate of 50A/sec is supplied into the ECR plasma in case of Fe metal. (author)

  15. Design of the compact permanent-magnet ECR ion source

    International Nuclear Information System (INIS)

    Park, J. Y.; Ahn, J. K.; Lee, H. S.; Won, M. S.; Lee, B. S.; Bae, J. S.; Bang, J. K.

    2009-01-01

    The Electron Cyclotron Resonance Ion Sources (ECRIS) for multiply charged ion beams keep regularly improving and expanding since the pioneer time of R. Geller and his coworkers about twenty years age. It has been widely utilized in a variety of research areas ranging from atomic and nuclear physics to material sciences. Because of the unique capability of producing highly charged ion beams, the ECR ion source has become increasingly popular in heavy-ion accelerators where the principle of acceleration sensitively depends on the charge-to-mass ratio (q=M) of the injected positive ion beam. The potential usages of beam based research development is still developing and there are plenty of rooms to be part of it. On the basis of ECR ion source technology, we will explore possible applications in the field of plasma technology, radiation technology, plastic deformation, adding more and new functionality by implantation, MEMS applications, developing new generation mass analysis system, fast neutron radiography system, etc

  16. Enhanced confinement in electron cyclotron resonance ion source plasma.

    Science.gov (United States)

    Schachter, L; Stiebing, K E; Dobrescu, S

    2010-02-01

    Power loss by plasma-wall interactions may become a limitation for the performance of ECR and fusion plasma devices. Based on our research to optimize the performance of electron cyclotron resonance ion source (ECRIS) devices by the use of metal-dielectric (MD) structures, the development of the method presented here, allows to significantly improve the confinement of plasma electrons and hence to reduce losses. Dedicated measurements were performed at the Frankfurt 14 GHz ECRIS using argon and helium as working gas and high temperature resistive material for the MD structures. The analyzed charge state distributions and bremsstrahlung radiation spectra (corrected for background) also clearly verify the anticipated increase in the plasma-electron density and hence demonstrate the advantage by the MD-method.

  17. Status and special features of the Atomki ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); Racz, R. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary); Palinkas, J. [University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary)

    2012-02-15

    The ECR ion source has been operating in ATOMKI (Debrecen) since 1996. During the past 15 years lots of minor and numerous major technical modifications have been carried out on the ECRIS. Many of these changes aimed the increasing of beams charge, intensity, and the widening of the ion choice. Another group of the modifications were performed to develop special, non-standard operation modes or to produce peculiar plasmas and beams.

  18. Plasma devices for hydrocarbon reformation

    KAUST Repository

    Cha, Min

    2017-01-01

    Plasma devices for hydrocarbon reformation are provided. Methods of using the devices for hydrocarbon reformation are also provided. The devices can include a liquid container to receive a hydrocarbon source, and a plasma torch configured

  19. Investigation of particle reduction and its transport mechanism in UHF-ECR dielectric etching system

    International Nuclear Information System (INIS)

    Kobayashi, Hiroyuki; Yokogawa, Ken'etsu; Maeda, Kenji; Izawa, Masaru

    2008-01-01

    Control of particle transport was investigated by using a UHF-ECR etching apparatus with a laser particle monitor. The particles, which float at a plasma-sheath boundary, fall on a wafer when the plasma is turned off. These floating particles can be removed from the region above the wafer by changing the plasma distribution. We measured the distribution of the rotational temperature of nitrogen molecules across the wafer to investigate the effect of the thermophoretic force. We found that mechanisms of particle transport in directions parallel to the wafer surface can be explained by the balance between thermophoretic and gas viscous forces

  20. Application of plasma focus device to compression of toroidal plasma

    International Nuclear Information System (INIS)

    Ikuta, Kazunari

    1980-01-01

    A new concept of compressing a toroidal plasma using a plasma focus device is considered. Maximum compression ratio of toroidal plasma is determined merely by the initial density ratio of the toroidal plasma to a sheet plasma in a focus device because of the Rayleigh-Taylor instability. An initiation senario of plasma-linear is also proposed with a possible application of this concepts to the creation of a burning plasma in reversed field configurations, i.e., burning plasma vortex. (author)

  1. Electron cyclotron resonance plasmas and electron cyclotron resonance ion sources: Physics and technology (invited)

    International Nuclear Information System (INIS)

    Girard, A.; Hitz, D.; Melin, G.; Serebrennikov, K.

    2004-01-01

    Electron cyclotron resonance (ECR) ion sources are scientific instruments particularly useful for physics: they are extensively used in atomic, nuclear, and high energy physics, for the production of multicharged beams. Moreover, these sources are also of fundamental interest for plasma physics, because of the very particular properties of the ECR plasma. This article describes the state of the art on the physics of the ECR plasma related to multiply charged ion sources. In Sec. I, we describe the general aspects of ECR ion sources. Physics related to the electrons is presented in Sec. II: we discuss there the problems of heating and confinement. In Sec. III, the problem of ion production and confinement is presented. A numerical code is presented, and some particular and important effects, specific to ECR ion sources, are shown in Sec. IV. Eventually, in Sec. V, technological aspects of ECR are presented and different types of sources are shown

  2. Improvement of highly charged ion output from an ECR source

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1995-01-01

    The physical limitations of the highly charged ion production in the ECR source is analyzed in this report. General methods to increase the output ion current and the attainable charged states of heavy ions are discussed. Some new ways to improve the output of highly charged ions from the ECR source for heavy ions are proposed. A new library of computer codes for the mathematical simulation of heavy ion production in the ECR ion source is used for numerical experiments to test these ways for improving the operation of the ECR source. (orig.)

  3. Application of ECR ion source beams in atomic physics

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, F.W.

    1987-01-01

    The availability of intense, high charge state ion beams from ECR ion sources has had significant impact not only on the upgrading of cyclotron and synchrotron facilities, but also on multicharged ion collision research, as evidenced by the increasing number of ECR source facilities used at least on a part time basis for atomic physics research. In this paper one such facility, located at the ORNL ECR source, and dedicated full time to the study of multicharged ion collisions, is described. Examples of applications of ECR ion source beams are given, based on multicharged ion collision physics studies performed at Oak Ridge over the last few years. 21 refs., 18 figs., 2 tabs.

  4. Plasma control device

    International Nuclear Information System (INIS)

    Matsutomi, Akiyoshi.

    1995-01-01

    Plasma position and shape estimation values are outputted based on measured values of coil current. When the measured values of the position and the shape are judged to be abnormal, position and shape estimation values estimated by a plasma position and shape estimation means are outputted as position and shape feed back values to a plasma position and shape control means instead of the position and shape measured values. Since only a portion of the abnormal position and shape measured values may also be replaced with the position and shape estimation values, errors in the plasma position and shape feed back values can be reduced as a whole. In addition, even if the position and shape measured values are abnormal or if they can not be measured, plasma control can be continued by alternative position and shape estimation values, thereby enabling to avoid interruption of plasma control. Since the position and shape estimation values are obtained not only with the measured values of coil current but also with the position and shape estimation values, the accuracy is improved. Further, noises superposed on the position and shape measured values are filtered, and the device is stabilized compared with a prior art device. (N.H.)

  5. Electron cyclotron resonance ion source plasma characterization by X-ray spectroscopy and X-ray imaging

    Energy Technology Data Exchange (ETDEWEB)

    Mascali, David, E-mail: davidmascali@lns.infn.it; Castro, Giuseppe; Celona, Luigi; Neri, Lorenzo; Gammino, Santo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Biri, Sándor; Rácz, Richárd; Pálinkás, József [Institute for Nuclear Research (Atomki), Hungarian Academy of Sciences, Bem tér 18/c, H-4026 Debrecen (Hungary); Caliri, Claudia [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università degli Studi di Catania, Dip.to di Fisica e Astronomia, via Santa Sofia 64, 95123 Catania (Italy); Romano, Francesco Paolo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); CNR, Istituto per i Beni Archeologici e Monumentali, Via Biblioteca 4, 95124 Catania (Italy); Torrisi, Giuseppe [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università Mediterranea di Reggio Calabria, DIIES, Via Graziella, I-89100 Reggio Calabria (Italy)

    2016-02-15

    An experimental campaign aiming to investigate electron cyclotron resonance (ECR) plasma X-ray emission has been recently carried out at the ECRISs—Electron Cyclotron Resonance Ion Sources laboratory of Atomki based on a collaboration between the Debrecen and Catania ECR teams. In a first series, the X-ray spectroscopy was performed through silicon drift detectors and high purity germanium detectors, characterizing the volumetric plasma emission. The on-purpose developed collimation system was suitable for direct plasma density evaluation, performed “on-line” during beam extraction and charge state distribution characterization. A campaign for correlating the plasma density and temperature with the output charge states and the beam intensity for different pumping wave frequencies, different magnetic field profiles, and single-gas/gas-mixing configurations was carried out. The results reveal a surprisingly very good agreement between warm-electron density fluctuations, output beam currents, and the calculated electromagnetic modal density of the plasma chamber. A charge-coupled device camera coupled to a small pin-hole allowing X-ray imaging was installed and numerous X-ray photos were taken in order to study the peculiarities of the ECRIS plasma structure.

  6. Introduction to ECR [electron cyclotron resonance] sources in electrostatic machines

    International Nuclear Information System (INIS)

    Olsen, D.K.

    1989-01-01

    Electron Cyclotron Resonance (ECR) ion source technology has developed rapidly since the original pioneering work of R. Geller and his group at Grenoble in the early 1970s. These ion sources are capable of producing intense beams of highly charged positive ions and are used extensively for cyclotron injection, linac injection, and atomic physics research. In this paper, the possible use of ECR heavy-ion sources in the terminals of electrostatic machines is discussed. The basic concepts of ECR sources are reviewed in the next section using the ORNL source as a model. The possible advantages of ECR sources over conventional negative ion injection and foil stripping are discussed in Section III. The last section describes the possible installation of an ECR source in a large machine such as the HHIRF 25-MV Pelletron. 6 refs., 4 figs., 1 tab

  7. Characterization and modelling of microwave multi dipole plasmas. Application to multi dipolar plasma assisted sputtering; Caracterization et modelisation des plasmas micro-onde multi-dipolaires. Application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Tan Vinh [Universite Joseph Fourier/CNRS-IN2P3, 53 Avenue des Martyrs, F-38026 Grenoble (France)

    2006-07-01

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mTorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mTorr argon pressures. The modelling of electron trajectories near

  8. Design and field configuration for a 14.4 GHz ECR ion source in Kolkata

    International Nuclear Information System (INIS)

    Rashid, M.H.; Bose, D.K.; Mallik, C.; Bhandari, R.K.

    2001-01-01

    The K500 cyclotron under construction will be capable of accelerating ions like O 6+ , Ne 4+ , Ar 16+ , Kr 27+ etc. We aim to get ∼200 euA maximum intensity of the extracted beam of O 6+ from the ion source and decided to have >2B ECR magnetic field on the cylindrical surface and the injection ends of the plasma chamber (P Ch) and slightly less than this at the extraction end. The success of the high field operation of ECRs at other places (U-AECR at LBL) suggests generation of proper magnetic field configuration for the 14.4 GHz microwave heating. The absolute composite magnetic field have been evaluated due to the coils (C1,C2) at the two ends and a -ve coil (NC) at the mid-length and a Halbach type sextupole (PM-Hex)

  9. Scale size and life time of energy conversion regions observed by Cluster in the plasma sheet

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2009-11-01

    Full Text Available In this article, and in a companion paper by Hamrin et al. (2009 [Occurrence and location of concentrated load and generator regions observed by Cluster in the plasma sheet], we investigate localized energy conversion regions (ECRs in Earth's plasma sheet. From more than 80 Cluster plasma sheet crossings (660 h data at the altitude of about 15–20 RE in the summer and fall of 2001, we have identified 116 Concentrated Load Regions (CLRs and 35 Concentrated Generator Regions (CGRs. By examining variations in the power density, E·J, where E is the electric field and J is the current density obtained by Cluster, we have estimated typical values of the scale size and life time of the CLRs and the CGRs. We find that a majority of the observed ECRs are rather stationary in space, but varying in time. Assuming that the ECRs are cylindrically shaped and equal in size, we conclude that the typical scale size of the ECRs is 2 RE≲ΔSECR≲5 RE. The ECRs hence occupy a significant portion of the mid altitude plasma sheet. Moreover, the CLRs appear to be somewhat larger than the CGRs. The life time of the ECRs are of the order of 1–10 min, consistent with the large scale magnetotail MHD simulations of Birn and Hesse (2005. The life time of the CGRs is somewhat shorter than for the CLRs. On time scales of 1–10 min, we believe that ECRs rise and vanish in significant regions of the plasma sheet, possibly oscillating between load and generator character. It is probable that at least some of the observed ECRs oscillate energy back and forth in the plasma sheet instead of channeling it to the ionosphere.

  10. Characteristics of MINI ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Saitoh, Yuichi; Yokota, Watalu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    1997-03-01

    A very compact electron cyclotron resonance ion source (MINI ECR) was manufactured to extend available energy ranges of ion beams by applying multiply charged ions to electrostatic accelerators. The magnetic field to confine a plasma is formed only by small permanent magnets and the microwave power up to 15 W is generated by a compact transistor amplifier in order to install the ion source at a narrow high-voltage terminal where the electrical power feed is restricted. The magnet assembly is 12 cm in length and 11 cm in diameter, and forms a mirror field with the maximum strength of 0.55 T. The total power consumption of the source is below 160 W. The performance of the source was tested in a bench stand. The results of Ar, Xe, O, and N ion generation are reported in this paper. (author)

  11. Experiments on a 14.5 GHz ECR source

    International Nuclear Information System (INIS)

    Hill, C.E.; Langbein, K.

    1996-01-01

    The 14.5 GHz ECR4 source supplied to CERN in the framework of the Heavy Ion Facility collaboration provided Pb 27+ operational beams to a new custom built linac in 1994. This source, which operates in the pulsed 'afterglow' mode, quickly met its design specification of 80 eμA and now provides currents >100 eμA regularly. Early source tests showed the existence of extremely stable modes of operation. In the search for higher intensities a number of experiments have been performed on plasma gas composition, RF power matching, extraction, beam pulse compression and a biased dynode. The results of these tests will be presented along with further ideas to improve source performance. (author)

  12. Scale size and life time of energy conversion regions observed by Cluster in the plasma sheet

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2009-11-01

    Full Text Available In this article, and in a companion paper by Hamrin et al. (2009 [Occurrence and location of concentrated load and generator regions observed by Cluster in the plasma sheet], we investigate localized energy conversion regions (ECRs in Earth's plasma sheet. From more than 80 Cluster plasma sheet crossings (660 h data at the altitude of about 15–20 RE in the summer and fall of 2001, we have identified 116 Concentrated Load Regions (CLRs and 35 Concentrated Generator Regions (CGRs. By examining variations in the power density, E·J, where E is the electric field and J is the current density obtained by Cluster, we have estimated typical values of the scale size and life time of the CLRs and the CGRs. We find that a majority of the observed ECRs are rather stationary in space, but varying in time. Assuming that the ECRs are cylindrically shaped and equal in size, we conclude that the typical scale size of the ECRs is 2 RE≲ΔSECR≲5 RE. The ECRs hence occupy a significant portion of the mid altitude plasma sheet. Moreover, the CLRs appear to be somewhat larger than the CGRs. The life time of the ECRs are of the order of 1–10 min, consistent with the large scale magnetotail MHD simulations of Birn and Hesse (2005. The life time of the CGRs is somewhat shorter than for the CLRs. On time scales of 1–10 min, we believe that ECRs rise and vanish in significant regions of the plasma sheet, possibly oscillating between load and generator character. It is probable that at least some of the observed ECRs oscillate energy back and forth in the plasma sheet instead of channeling it to the ionosphere.

  13. Characterization and modeling of multi-dipolar microwave plasmas: application to multi-dipolar plasma assisted sputtering; Caracterisation et modelisation des plasmas micro-onde multi-dipolaires: application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, T.V

    2006-12-15

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mtorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mtorr argon pressures. The modelling of electron trajectories near

  14. Characterization of electron cyclotron resonance hydrogen plasmas

    International Nuclear Information System (INIS)

    Outten, C.A.

    1990-01-01

    Electron cyclotron resonance (ECR) plasmas yield low energy and high ion density plasmas. The characteristics downstream of an ECR hydrogen plasma were investigated as a function of microwave power and magnetic field. A fast-injection Langmuir probe and a carbon resistance probe were used to determine plasma potential (V p ), electron density (N e ), electron temperature (T e ), ion energy (T i ), and ion fluence. Langmuir probe results showed that at 17 cm downstream from the ECR chamber the plasma characteristics are approximately constant across the center 7 cm of the plasma for 50 Watts of absorbed power. These results gave V p = 30 ± 5 eV, N e = 1 x 10 8 cm -3 , and T e = 10--13 eV. In good agreement with the Langmuir probe results, carbon resistance probes have shown that T i ≤ 50 eV. Also, based on hydrogen chemical sputtering of carbon, the hydrogen (ion and energetic neutrals) fluence rate was determined to be 1 x 10 16 /cm 2 -sec. at a pressure of 1 x 10 -4 Torr and for 50 Watts of absorbed power. 19 refs

  15. Plasma facing device of thermonuclear device

    International Nuclear Information System (INIS)

    Sumita, Hideo; Ioki, Kimihiro.

    1993-01-01

    The present invention improves integrity of thermal structures of a plasma facing device. That is, in the plasma facing device, an armour block portion from a metal cooling pipe to a carbon material comprises a mixed material of the metal as the constituent material of the cooling pipe and ceramics. Then, the mixing ratio of the composition is changed continuously or stepwise to suppress peakings of remaining stresses upon production and thermal stresses upon exertion of thermal loads. Accordingly, thermal integrity of the structural materials can further be improved. In this case, a satisfactory characteristic can be obtained also by using ceramics instead of carbon for the mixed material, and the characteristic such as heat expansion coefficient is similar to that of the armour tile. (I.S.)

  16. Lattice Gas Model Based Optimization of Plasma-Surface Processes for GaN-Based Compound Growth

    Science.gov (United States)

    Nonokawa, Kiyohide; Suzuki, Takuma; Kitamori, Kazutaka; Sawada, Takayuki

    2001-10-01

    Progress of the epitaxial growth technique for GaN-based compounds makes these materials attractive for applications in high temperature/high-power electronic devices as well as in short-wavelength optoelectronic devices. For MBE growth of GaN epilayer, atomic nitrogen is usually supplied from ECR-plasma while atomic Ga is supplied from conventional K-cell. To grow high-quality epilayer, fundamental knowledge of the detailed atomic process, such as adsorption, surface migration, incorporation, desorption and so forth, is required. We have studied the influence of growth conditions on the flatness of the growth front surface and the growth rate using Monte Carlo simulation based on the lattice gas model. Under the fixed Ga flux condition, the lower the nitrogen flux and/or the higher the growth temperature, the better the flatness of the front surface at the sacrifice of the growth rate of the epilayer. When the nitrogen flux is increased, the growth rate reaches saturation value determined from the Ga flux. At a fixed growth temperature, increasing of nitrogen to Ga flux ratio results in rough surface owing to 3-dimensional island formation. Other characteristics of MBE-GaN growth using ECR-plasma can be well reproduced.

  17. Plasma Photonic Devices for High Energy Density Science

    International Nuclear Information System (INIS)

    Kodama, R.

    2005-01-01

    High power laser technologies are opening a variety of attractive fields of science and technology using high energy density plasmas such as plasma physics, laboratory astrophysics, material science, nuclear science including medical applications and laser fusion. The critical issues in the applications are attributed to the control of intense light and enormous density of charged particles including efficient generation of the particles such as MeV electrons and protons with a current density of TA/cm2. Now these application possibilities are limited only by the laser technology. These applications have been limited in the control of the high power laser technologies and their optics. However, if we have another device consisted of the 4th material, i.e. plasma, we will obtain a higher energy density condition and explore the application possibilities, which could be called high energy plasma device. One of the most attractive devices has been demonstrated in the fast ignition scheme of the laser fusion, which is cone-guiding of ultra-intense laser light in to high density regions1. This is one of the applications of the plasma device to control the ultra-intense laser light. The other role of the devices consisted of transient plasmas is control of enormous energy-density particles in a fashion analogous to light control with a conventional optical device. A plasma fibre (5?m/1mm), as one example of the devices, has guided and deflected the high-density MeV electrons generated by ultra-intense laser light 2. The electrons have been well collimated with either a lens-like plasma device or a fibre-like plasma, resulting in isochoric heating and creation of ultra-high pressures such as Giga bar with an order of 100J. Plasmas would be uniquely a device to easily control the higher energy density particles like a conventional optical device as well as the ultra-intense laser light, which could be called plasma photonic device. (Author)

  18. High excitation of the species in nitrogen–aluminum plasma generated by electron cyclotron resonance microwave discharge of N2 gas and pulsed laser ablation of Al target

    International Nuclear Information System (INIS)

    Liang, Peipei; Li, Yanli; Cai, Hua; You, Qinghu; Yang, Xu; Huang, Feiling; Sun, Jian; Xu, Ning; Wu, Jiada

    2014-01-01

    A reactive nitrogen–aluminum plasma generated by electron cyclotron resonance (ECR) microwave discharge of N 2 gas and pulsed laser ablation of an Al target is characterized spectroscopically by time-integrated and time-resolved optical emission spectroscopy (OES). The vibrational and rotational temperatures of N 2 species are determined by spectral simulation. The generated plasma strongly emits radiation from a variety of excited species including ambient nitrogen and ablated aluminum and exhibits unique features in optical emission and temperature evolution compared with the plasmas generated by a pure ECR discharge or by the expansion of the ablation plume. The working N 2 gas is first excited by ECR discharge and the excitation of nitrogen is further enhanced due to the fast expansion of the aluminum plume induced by target ablation, while the excitation of the ablated aluminum is prolonged during the plume expansion in the ECR nitrogen plasma, resulting in the formation of strongly reactive nitrogen–aluminum plasma which contains highly excited species with high vibrational and rotational temperatures. The enhanced intensities and the prolonged duration of the optical emissions of the combined plasma would provide an improved analytical capability for spectrochemical analysis. - Highlights: • ECR discharge and pulsed laser ablation generate highly excited ECR–PLA plasma. • The expansion of PLA plasma results in excitation enhancement of ECR plasma species. • The ECR plasma leads to excitation prolongation of PLA plasma species. • The ECR–PLA plasma emits strong emissions from a variety of excited species. • The ECR–PLA plasma maintains high vibrational–rotational temperatures for a long time

  19. Plasma Diagnostics in High Density Reactors

    International Nuclear Information System (INIS)

    Daltrini, A. M.; Moshkalyov, S.; Monteiro, M. J. R.; Machida, M.; Kostryukov, A.; Besseler, E.; Biasotto, C.; Diniz, J. A.

    2006-01-01

    Langmuir electric probes and optical emission spectroscopy diagnostics were developed for applications in high density plasmas. These diagnostics were employed in two plasma sources: an electron cyclotron resonance (ECR) plasma and an RF driven inductively coupled plasma (ICP) plasma. Langmuir probes were tested using a number of probing dimensions, probe tip materials, circuits for probe bias and filters. Then, the results were compared with the optical spectroscopy measurements. With these diagnostics, analyses of various plasma processes were performed in both reactors. For example, it has been shown that species like NH radicals generated in gas phase can have critical impact on films deposited by ECR plasmas. In the ICP source, plasmas in atomic and molecular gases were shown to have different spatial distributions, likely due to nonlocal electron heating. The low-to-high density transitions in the ICP plasma were also studied. The role of metastables is shown to be significant in Ar plasmas, in contrast to plasmas with additions of molecular gases

  20. Present status of FLNR (JINR) ECR ion sources

    International Nuclear Information System (INIS)

    Bogomolov, S.; Efremov, A.; Loginov, V.; Lebedev, A.; Yazvitsy, N.; Bekhterev, V.; Kostukhov, Y.; Gulbekian, G.; Gikal, B.; Drobin, V.; Seleznev, V.; Seleznev, V.

    2012-01-01

    Six ECR ion sources have been operated in the Flerov Laboratory of Nuclear Reactions (JINR). Two 14 GHz ECR ion sources (ECR4M and DECRIS-2) supply various ion species for the U400 and U400M cyclotrons correspondingly for experiments on the synthesis of heavy and exotic nuclei using ion beams of stable and radioactive isotopes. The 18 GHz DECRIS-SC ion source with superconducting magnet system produces ions from Ar up to W for solid state physics experiments and polymer membrane fabrication at the IC-100 cyclotron. The third 14 GHz ion source DECRIS-4 with 'flat' minimum of the axial magnetic field is used as a stand alone machine for test experiments and also for experiments on ion modification of materials. The other two compact ECR ion sources with all permanent magnet configuration have been developed for the production of single charged ions and are used at the DRIBs installation and at the MASHA mass-spectrometer. In this paper, present status of the ion sources, recent developments and plans for modernization are reported. The paper is followed by the slides of the presentation. (authors)

  1. Results of measurements of the ion temperature profile of ECR heated plasmas in the L-2M stellarator

    International Nuclear Information System (INIS)

    Voronov, G.S.; Voronova, E.V.; Grebenshchikov, S.E.

    2005-01-01

    After boronization of the vacuum chamber of the L-2M stellarator, the confinement characteristics and the electron temperature profile changed markedly. In this connection, our immediate task was to carry out studies of the behavior of the ion temperature under these conditions. Previous measurements of Ti were performed by analyzing the energy distribution of fast hydrogen ions produced by charge exchange. In recent studies, the ion temperature was determined from Doppler broadening of spectral lines of impurity ions. With the help of a set of mirrors, the plasma radiation was focused on the entrance slit of a VMS-1 monochromator (D/F=1:6.5, F=600 mm, 1200 lines/mm,1.3 nm/mm, 200 - 800 nm). The detector was a CCD plate (1040 1 140 pixels of size 16 1 6 ∝ m) covered in part with an opaque screen. The plasma spectrum produced in the uncovered area was rapidly scanned and copied into the covered region. With this partial exposition method, the rate of recording was successfully increased up to 1000 frames per second. The instrument function of the whole system was 0.04 nm, which corresponds to Ti ∼1 eV for hydrogen and ∼17 eV for boron ions. The plasma ion temperature is considerably higher, so the accuracy of measurements of Ti is limited primarily by a low intensity of signals from the plasma with a low impurity concentration. The results of measurements of the evolution of HeII, BII, and BIV ions temperature during the ECR heating of a helium plasma are shown in the figure. The plasma density in these experiments was ∼2.10 19 m -3 , and the gyrotron pulse power was ∼200 kW. The results of measurements of Ti were compared with the time evolution of the ion temperature calculated by using the TRANSZ code. The latter includes a complete set of neoclassical equations and involves additional anomalous fluxes corresponding to accepted empirical scalings. The calculated values of Ti are in fair agreement with the measured ones

  2. Proceedings of the Japan-US workshop on plasma polarization spectroscopy and the fourth international symposium on plasma polarization spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Fujimoto, Takashi; Beiersdorfer, Peter [eds.

    2004-07-01

    The international meeting on Plasma Polarization Spectroscopy (PPS) was held at Kyoto University during February 4-6, 2004. This Proceedings book includes the summaries of the talks given in that meeting. Starting with the Overview talk by Csanak, the subjects cover: x-ray polarization experiments on z-pinches (plasma foci), and an x-pinch, a laser-produced plasma in a gas atmosphere, an interpretation of the polarized 1<- 0 x-ray laser line, polarization observation from various laser-produced plasmas including a recombining phase plasma, a report on the on-going project of a laser facility, several polarization observations on magnetically confined plasmas including the Large Helical Device and an ECR plasma, a new laser-induced fluorescence diagnostic method. On atomic physics side given are: various polarization measurements on EBIT, precision spectroscopy on the TEXTOR, user-friendly atomic codes. Instrumentation is also a subject of this book. The 18 of the presented papers are indexed individually. (J.P.N.)

  3. The HelCat basic plasma science device

    Science.gov (United States)

    Gilmore, M.; Lynn, A. G.; Desjardins, T. R.; Zhang, Y.; Watts, C.; Hsu, S. C.; Betts, S.; Kelly, R.; Schamiloglu, E.

    2015-01-01

    The Helicon-Cathode(HelCat) device is a medium-size linear experiment suitable for a wide range of basic plasma science experiments in areas such as electrostatic turbulence and transport, magnetic relaxation, and high power microwave (HPM)-plasma interactions. The HelCat device is based on dual plasma sources located at opposite ends of the 4 m long vacuum chamber - an RF helicon source at one end and a thermionic cathode at the other. Thirteen coils provide an axial magnetic field B >= 0.220 T that can be configured individually to give various magnetic configurations (e.g. solenoid, mirror, cusp). Additional plasma sources, such as a compact coaxial plasma gun, are also utilized in some experiments, and can be located either along the chamber for perpendicular (to the background magnetic field) plasma injection, or at one of the ends for parallel injection. Using the multiple plasma sources, a wide range of plasma parameters can be obtained. Here, the HelCat device is described in detail and some examples of results from previous and ongoing experiments are given. Additionally, examples of planned experiments and device modifications are also discussed.

  4. Microwave produced plasma in a Toroidal Device

    Science.gov (United States)

    Singh, A. K.; Edwards, W. F.; Held, E. D.

    2010-11-01

    A currentless toroidal plasma device exhibits a large range of interesting basic plasma physics phenomena. Such a device is not in equilibrium in a strict magneto hydrodynamic sense. There are many sources of free energy in the form of gradients in plasma density, temperature, the background magnetic field and the curvature of the magnetic field. These free energy sources excite waves and instabilities which have been the focus of studies in several devices in last two decades. A full understanding of these simple plasmas is far from complete. At Utah State University we have recently designed and installed a microwave plasma generation system on a small tokamak borrowed from the University of Saskatchewan, Saskatoon, Canada. Microwaves are generated at 2.45 GHz in a pulsed dc mode using a magnetron from a commercial kitchen microwave oven. The device is equipped with horizontal and vertical magnetic fields and a transformer to impose a toroidal electric field for current drive. Plasmas can be obtained over a wide range of pressure with and without magnetic fields. We present some preliminary measurements of plasma density and potential profiles. Measurements of plasma temperature at different operating conditions are also presented.

  5. Efficient Consumer Response (ECR: a survey of the Australian grocery industry

    Directory of Open Access Journals (Sweden)

    Paula Swatman

    1998-05-01

    Full Text Available Efficient consumer response (ECR is a U.S. supply chain management strategy which attempts to address the inefficiencies which have led to excessive inventory and unnecessary costs at all levels within the grocery industry supply chain. This paper discusses the traditional grocery store format, the supermarket, and the ways in which inefficient business practices developed in the U.S. grocery supply chain; and discusses the major business activities needed for successful implementation of ECR. The paper then presents a brief summary of the results of a survey of ECR knowledge and usage within the Australian grocery industry, which is the initial phase of a long term research project whose main purpose is to evaluate ECR as it applies to that industry.

  6. Modelling of new generation plasma optical devices

    Directory of Open Access Journals (Sweden)

    Litovko Irina V.

    2016-06-01

    Full Text Available The paper presents new generation plasma optical devices based on the electrostatic plasma lens configuration that opens a novel attractive possibility for effective high-tech practical applications. Original approaches to use of plasma accelerators with closed electron drift and open walls for the creation of a cost-effective low-maintenance plasma lens with positive space charge and possible application for low-cost, low-energy rocket engine are described. The preliminary experimental, theoretical and simulation results are presented. It is noted that the presented plasma devices are attractive for many different applications in the state-of-the-art vacuum-plasma processing.

  7. Improvement of highly charged ion production in the ECR source of heavy ions

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1996-01-01

    Some physical limitations of the highly charged ion production in the ECR source are analyzed in this report. A few possible ways to improve the output of highly charged ions from the ECR source for heavy ions are proposed. A new library of computer codes for the numerical simulation of heavy ion production in the ECR ion source is used to examine these ways to improve the ECR source operation according to the CERN program of heavy ion acceleration. copyright 1996 American Institute of Physics

  8. Status of ECR ion sources at JAERI

    CERN Document Server

    Yokota, W; Nara, T; Ishi, Y; Arakawa, K; Ohkoshi, K

    1999-01-01

    At the Takasaki site of Japan Atomic Energy Research Institute, four ECR ion sources were purchased or developed so far. This paper will report their performance, modification and status. The outlines for each source are as follows; 1. OCTOPUS purchased from IBA s.a. has been in use with a cyclotron since 1990. The gas feed system was modified to change gas species within 10 minutes to avoid impurity ions in the cocktail beam acceleration technique of the cyclotron. 2. ECR-18 with 18-GHz microwave has a solenoid coil between a pair of mirror coils to change mirror ratio in a wide range. A bump between mirror peaks in the original axial field distribution was removed by halving the solenoid length. The performance in generating high charge state ions was significantly improved as a result. 3. HYPERNANOGAN was purchased from PANTECHNIK s.a. and installed in the cyclotron system this year. Test operation was successfully made with generation of Ar, Pb and Ta ions. 4. MINI ECR is a full permanent magnet source wi...

  9. Design of a 'two-ion-source' charge breeder with a dual frequency ECR ion source

    International Nuclear Information System (INIS)

    Naik, D.; Naik, V.; Chakrabarti, A.; Dechoudhury, S.; Nayak, S.K.; Pandey, H.K.; Nakagawa, T.

    2005-01-01

    A charge breeder, 'two-ion-source' has been designed which consists of a surface ionisation source followed by an ECR ion source working in two-frequency mode. In this system low charge state ion beam (1+)of radioactive atoms are obtained from the first ion source close to the target chamber and landed into the ECR where those are captured and become high charged state after undergoing a multi ionisation process. This beam dynamics design has been done to optimise the maximum possible transfer of 1 + beam from the first ion source into the ECR, its full capture within the ECR zone and design of an efficient dual frequency ECR. The results shows that 1 + beam of 100 nA and 1μA (A=100) are successfully transmitted and it's beam size at the centre of ECR zone are 12 mm and 21 mm respectively, which are very less than 65 mm width ECR zone of dual frequency ECR heating at 14 GHz and 10 GHz. (author)

  10. On-line measurement of microwave power in ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Kang Wu; Hu Yonghong; Li Yan; Lou Benchao; Zu Xiulan; Xiong Riheng; Chen Junguang; Li Xiaoyun

    2005-01-01

    It is a new technology to apply an ECR ion source to the neutron generator. Because of the structure limitation, working state of the ECR ion source could not be judged by the color of gas discharging in discharge chamber. Therefore, it was hard to estimate if the ECR ion source was working properly in the neutron generator. The method to resolve the problem was described in this paper. The microwave power was measured on-line by a directional coupler and a small microwave power meter. The ion beam current could be educed from the measured incidence microwave power, and discharge state in discharge chamber could be determined. (authors)

  11. Development and studies on a compact electron cyclotron resonance plasma source

    Science.gov (United States)

    Ganguli, A.; Tarey, R. D.; Arora, N.; Narayanan, R.

    2016-04-01

    It is well known that electron cyclotron resonance (ECR) produced plasmas are efficient, high-density plasma sources and have many industrial applications. The concept of a portable compact ECR plasma source (CEPS) would thus become important from an application point of view. This paper gives details of such a CEPS that is both portable and easily mountable on a chamber of any size. It uses a fully integrated microwave line operating at 2.45 GHz, up to 800 W, cw. The required magnetic field is produced by a set of suitably designed NdFeB ring magnets; the device has an overall length of  ≈60 cm and weighs  ≈14 kg including the permanent magnets. The CEPS was attached to a small experimental chamber to judge its efficacy for plasma production. In the pressure range of 0.5-10 mTorr and microwave power of  ≈400-500 W the experiments indicate that the CEPS is capable of producing high-density plasma (≈9  ×  1011-1012 cm-3) with bulk electron temperature in the range  ≈2-3 eV. In addition, a warm electron population with density and temperature in the range ≈7  ×  108-109 cm-3 and  ≈45-80 eV, respectively has been detected. This warm population plays an important role at high pressures in maintaining the high-density plasma, when plasma flow from the CEPS into the test chamber is strongly affected.

  12. Flaw detection device for plasma facing wall in thermonuclear device

    International Nuclear Information System (INIS)

    Doi, Akira.

    1996-01-01

    The present invention concerns plasma facing walls of a thermonuclear device and provides a device for detecting a thickness of amour tiles accurately and efficiently with no manual operation. Namely, the position of the plasma facing surface of the amour tile is measured using a structure to which the amour tiles are to be disposed as a reference. Also in a case of disposing new armor tiles, the position of the plasma facing surface of the armor tiles is measured to thereby measure the wearing amount of the amour tiles based on the difference between the reference and the measured value. If a measuring means capable of measuring a plurality of amour tiles at once is used efficiency of the measurement and the detection can be enhanced. Several ten thousands of amour tiles are disposed to the plasma facing wall in a large scaled thermonuclear device, and a plenty of time was required for the detection. However, the present invention can improve the accuracy for the measurement and detection and provide time and labors-saving. (I.S.)

  13. Dusty plasma phase in a steady state plasma device

    International Nuclear Information System (INIS)

    Liang Xiaoping; Zheng Jian; Ma Jinxiu; Liu Wangdong; Zhuang Ge; Xie Jinlin; Wang Congrong; Yu Changxuan

    2000-01-01

    A DC discharge dusty plasma device used for study of waves in dusty plasma is introduced. A dusty plasma column is produced with about 30 cm in length and about 8.4 cm in diameter. The electron saturation current of Langmuir probe is obviously decreasing while the dust grains are present in the plasma. The negative charge on dust grains is directly proportional to the rotation rate of the dispenser. And the dust grains carry up to 40% of the negative charges in the whole plasma

  14. Global numerical modeling of magnetized plasma in a linear device

    DEFF Research Database (Denmark)

    Magnussen, Michael Løiten

    Understanding the turbulent transport in the plasma-edge in fusion devices is of utmost importance in order to make precise predictions for future fusion devices. The plasma turbulence observed in linear devices shares many important features with the turbulence observed in the edge of fusion dev...... with simulations performed at different ionization levels, using a simple model for plasma interaction with neutrals. It is found that the steady state and the saturated state of the system bifurcates when the neutral interaction dominates the electron-ion collisions.......Understanding the turbulent transport in the plasma-edge in fusion devices is of utmost importance in order to make precise predictions for future fusion devices. The plasma turbulence observed in linear devices shares many important features with the turbulence observed in the edge of fusion...... devices, and are easier to diagnose due to lower temperatures and a better access to the plasma. In order to gain greater insight into this complex turbulent behavior, numerical simulations of plasma in a linear device are performed in this thesis. Here, a three-dimensional drift-fluid model is derived...

  15. Development of superconducting magnets for RAON 28 GHz ECR ion source.

    Science.gov (United States)

    Heo, Jeongil; Choi, Sukjin; Kim, Yonghwan; Hong, In-Seok

    2016-02-01

    RAON, a 28 GHz electron cyclotron resonance ion source (ECR IS), was designed and tested as a Rare Isotope Science Project. It is expected that RAON would provide not only rare-isotope beams but also stable heavy ions ranging from protons to uranium. In order to obtain the steady heavy-ion beam required for ECR IS, we must use a 28 GHz microwave source as well as a high magnetic field. A superconducting magnet using a NbTi wire was designed and manufactured for producing the ECR IS and a test was conducted. In this paper, the design and fabrication of the superconducting magnet for the ECR IS are presented. Experimental results show that the quench current increases whenever quenching occurs, but it has not yet reached the designed current. The experiment is expected to reveal the ideal conditions required to reach the designed current.

  16. [Developing the Japanese version of the Adult Attachment Style Scale (ECR)].

    Science.gov (United States)

    Nakao, Tatsuma; Kato, Kazuo

    2004-06-01

    This study attempted to adapt into Japanese the Adult Attachment Style Scale (ECR: Experiences in Close Relationships inventory) that was constructed by Brennan, Clark, and Shaver (1998), based on 14 existing scales. Of 387 respondents, 231 who reported having been or are currently involved in romantic relationships were employed for final analysis. We examined validities of the Japanese version of ECR in the two ways: (1) Examining the correlations between "Anxiety" and Self-esteem scale by Rosenberg (1965) which were theoretically related to Self-view, and the correlations between "Avoidance" and Other-view scale by Kato (1999b) which were theoretically related to Other-view; (2) whether or not ECR represents the features of four attachment styles as classified by Relationship Questionnaire (RQ; Bartholomew & Horowitz, 1991). The results supported our expectations. This Japanese version of ECR was demonstrated to have adequate psychometric properties in validity and reliability.

  17. Extraction of space-charge-dominated ion beams from an ECR ion source: Theory and simulation

    Science.gov (United States)

    Alton, G. D.; Bilheux, H.

    2004-05-01

    Extraction of high quality space-charge-dominated ion beams from plasma ion sources constitutes an optimization problem centered about finding an optimal concave plasma emission boundary that minimizes half-angular divergence for a given charge state, independent of the presence or lack thereof of a magnetic field in the extraction region. The curvature of the emission boundary acts to converge/diverge the low velocity beam during extraction. Beams of highest quality are extracted whenever the half-angular divergence, ω, is minimized. Under minimum half-angular divergence conditions, the plasma emission boundary has an optimum curvature and the perveance, P, current density, j+ext, and extraction gap, d, have optimum values for a given charge state, q. Optimum values for each of the independent variables (P, j+ext and d) are found to be in close agreement with those derived from elementary analytical theory for extraction with a simple two-electrode extraction system, independent of the presence of a magnetic field. The magnetic field only increases the emittances of beams through additional aberrational effects caused by increased angular divergences through coupling of the longitudinal to the transverse velocity components of particles as they pass though the mirror region of the electron cyclotron resonance (ECR) ion source. This article reviews the underlying theory of elementary extraction optics and presents results derived from simulation studies of extraction of space-charge dominated heavy-ion beams of varying mass, charge state, and intensity from an ECR ion source with emphasis on magnetic field induced effects.

  18. Extraction of space-charge-dominated ion beams from an ECR ion source: Theory and simulation

    International Nuclear Information System (INIS)

    Alton, G.D.; Bilheux, H.

    2004-01-01

    Extraction of high quality space-charge-dominated ion beams from plasma ion sources constitutes an optimization problem centered about finding an optimal concave plasma emission boundary that minimizes half-angular divergence for a given charge state, independent of the presence or lack thereof of a magnetic field in the extraction region. The curvature of the emission boundary acts to converge/diverge the low velocity beam during extraction. Beams of highest quality are extracted whenever the half-angular divergence, ω, is minimized. Under minimum half-angular divergence conditions, the plasma emission boundary has an optimum curvature and the perveance, P, current density, j +ext , and extraction gap, d, have optimum values for a given charge state, q. Optimum values for each of the independent variables (P, j +ext and d) are found to be in close agreement with those derived from elementary analytical theory for extraction with a simple two-electrode extraction system, independent of the presence of a magnetic field. The magnetic field only increases the emittances of beams through additional aberrational effects caused by increased angular divergences through coupling of the longitudinal to the transverse velocity components of particles as they pass though the mirror region of the electron cyclotron resonance (ECR) ion source. This article reviews the underlying theory of elementary extraction optics and presents results derived from simulation studies of extraction of space-charge dominated heavy-ion beams of varying mass, charge state, and intensity from an ECR ion source with emphasis on magnetic field induced effects

  19. Effect of a pulsating electric field on ECR heating in the CERA-RX(C) X-ray generator

    Energy Technology Data Exchange (ETDEWEB)

    Balmashnov, A. A., E-mail: abalmashnov@sci.pfu.edu.ru; Kalashnikov, A. V.; Kalashnikov, V. V.; Stepina, S. P.; Umnov, A. M., E-mail: anumnov@yandex.ru [Peoples’ Friendship University of Russia (Russian Federation)

    2016-03-15

    3D particle-in-cell plasma simulations for the field configurations implemented in the CERA-RX(C) ECR X-ray generator (2.45 GHz) have been conducted. Dependences of the energy spectra of electrons incident on the target electrode on the amplitude and frequency of pulsations of the electric field in a megahertz range are derived. The simulation data are compared with the results of the full-scale experiment.

  20. Development of ECR ion source for the HIMAC medical accelerator

    International Nuclear Information System (INIS)

    Kitagawa, A.; Yamada, S.; Sekiguchi, M.

    1992-01-01

    The development of the ECR ion source for the HIMAC injector is reported. The HIMAC facility has two types of the ion source, one is the PIG ion source and the other is the ECR ion source. The ECR ion source is especially expected long lifetime, easy operation, and easy maintenance for the medical use. Now, the system of the ion source is under construction. However, the tests of fundamental performances have been started. In the present tests, the output electrical currents of Ions are 1300 eμA of He 1+ , 210 eμA of Ne 3+ , and 100 eμA of Ar 6+ . And the good stability of the extracted beam is acquired. These performances satisfied the requirements for the radiotherapy. (author)

  1. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  2. Characteristics of electron cyclotron waves creating field-aligned and transverse plasma-potential structures

    International Nuclear Information System (INIS)

    Takahashi, K; Kaneko, T; Hatakeyama, R; Fukuyama, A

    2009-01-01

    Characteristics of electromagnetic waves of azimuthal mode number m = ±1 are investigated experimentally, analytically and numerically when the waves triggering the field-aligned and transverse plasma-potential structure modification near an electron cyclotron resonance (ECR) point are injected into an inhomogeneously magnetized plasma with high-speed ion flow. The waves of m = +1 and -1 modes generate an electric double layer near the ECR point at the radially central and peripheral areas of the plasma column, respectively, and the transverse electric fields are consequently formed. At these areas the waves have a right-handed polarization and are absorbed through the ECR mechanism, where the experimental and analytical results do show the polarization reversal along the radial axis. The numerical results by plasma analysis by finite element method (FEM)/wave analysis by FEM (PAF/WF) code show that the wave-absorption area is localized at the radially central and peripheral areas for m = +1 and -1 mode waves, respectively, being consistent with the experimental and analytical ones.

  3. Microwave plasma for materials treatment; Plasmas de microondas para tratamiento de materiales

    Energy Technology Data Exchange (ETDEWEB)

    Camps, E.; Garcia, J.L.; Muhl, S.; Alvarez F, O.; Chavez C, J. [Instituto Nacional de Investigaciones Nucleares, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    1997-07-01

    The microwave discharges of the Electron Cyclotron Resonance (Ecr) type are capable to generate plasma with relatively high ionization coefficients which can vary between 1 and 10 % also they are realized in low pressures at 10 {sup -4} Torr. order generating at this time high concentrations of neutral excited chemical species which result in that the chemical processes can be realized with much greater velocity as in another systems. In this work it was studied and characterized a microwave discharge type Ecr using for this electric probes and optical emission spectroscopy. The characterization was carried out with the purpose of optimizing the plasma parameters and to establish a control over the same one doing so that the experiments have a greater reproducibility and a major work efficiency. (Author)

  4. Developmental activities of the 18 GHz high temperature superconducting ECR ion source, PKDELIS, for the high current injector at IUAC

    International Nuclear Information System (INIS)

    Rodrigues, G.; Lakshmy, P.S.; Mathur, Y.; Ahuja, R.; Dutt, R.N.; Rao, U.K.; Mandal, A.; Kanjilal, D.; Roy, A.

    2011-01-01

    Various developmental activities of the 18 GHz High Temperature Superconducting ECR Ion Source, PKDELIS have been carried out as a part of the High Current Injector programme. Emittance measurements using a simple technique has given important inputs for the design of downstream accelerators like RFQ, DTL and low beta cavities. The techniques allows for emittance matching by varying the emittance parameters to match with the acceptance of the accelerators. X-ray Beamstrahlung measurements from ECR plasma has shown that it is a diagnostic tool to optimize the production of highly charged ions. The ion optics through the low energy beam transport section has been benchmarked with various codes and given a handle to optimize the transmission. New techniques to improve the extraction efficiency of highly charged ions has been developed. (author)

  5. Preliminary experiment of non-induced plasma current startup on SUNIST spherical tokamak

    International Nuclear Information System (INIS)

    He Yexi; Zhang Liang; Xie Lifeng; Tang Yi; Yang Xuanzong; Fu Hongjun

    2005-01-01

    Non-inductive plasma current startup is an important motivation on the SUNIST spherical tokamak. In this experiment, a 100 kW, 2.45 GHz magnetron microwave system has been applied to the plasma current startup. Besides the toroidal field, a vertical field was applied to generate a preliminary toroidal plasma current without action of the central solenoid. As the evidence of the plasma current startup by the vertical field drift effect, the direction of the plasma current is changed with the changing direction of the vertical field during ECR startup discharge. We have also observed the plasma current maximum by scanning the vertical field in both directions. Additionally, we have used electrode discharge to assist the ECR current startup. (author)

  6. Fullerene-rare gas mixed plasmas in an electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Asaji, T., E-mail: asaji@oshima-k.ac.jp; Ohba, T. [Oshima National College of Maritime Technology, 1091-1 Komatsu, Suo-oshima, Oshima, Yamaguchi 742-2193 (Japan); Uchida, T.; Yoshida, Y. [Bio-Nano Electronics Research Centre, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Minezaki, H.; Ishihara, S. [Graduate School of Engineering, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Racz, R.; Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem Tér 18/c (Hungary); Muramatsu, M.; Kitagawa, A. [National Institute of Radiological Sciences (NIRS), 4-9-1 Anagawa, Inage-ku, Chiba 263-8555 (Japan); Kato, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamada-oka, Suita, Osaka 565-0871 (Japan)

    2014-02-15

    A synthesis technology of endohedral fullerenes such as Fe@C{sub 60} has developed with an electron cyclotron resonance (ECR) ion source. The production of N@C{sub 60} was reported. However, the yield was quite low, since most fullerene molecules were broken in the ECR plasma. We have adopted gas-mixing techniques in order to cool the plasma and then reduce fullerene dissociation. Mass spectra of ion beams extracted from fullerene-He, Ar or Xe mixed plasmas were observed with a Faraday cup. From the results, the He gas mixing technique is effective against fullerene destruction.

  7. The ECR heavy-ion source for ATLAS

    International Nuclear Information System (INIS)

    Pardo, R.C.; Billquist, P.J.

    1989-01-01

    The ATLAS PII-ECR ion source is the first ECR ion source to be designed for operation in a high voltage platform. The source system is required to provide beams of heavy ions with a velocity of 0.01c for subsequent acceleration by the superconducting ATLAS Positive Ion Injector Linac. At present, the ability of the system to provide high charge state ions with velocities up to .01c is probably unique and as such has generated significant interest in the atomic physics community. A beamline for atomic physics has been installed and is now in use. The source began operation in October, 1987. The source capabilities and operating experiences to date will be discussed. 6 refs., 3 figs., 3 tabs

  8. Plasma diagnostics of the SIMPA Ecr ion source by X-ray spectroscopy, Collisions of H-like Neon ions with Argon clusters

    International Nuclear Information System (INIS)

    Adrouche, N.

    2006-09-01

    The first part of this thesis is devoted to the SIMPA ECR ion source characterization, first, I explored the ion source's capacities on the point of view of extracted currents for three elements, argon, krypton and neon. By analyzing the Bremsstrahlung spectra, I determined the electronic temperature in the plasma and the electronic and ionic densities. In a second time, I recorded high resolution X-spectra of argon and krypton plasma's. By taking into account the principal mechanisms of production of a K hole in the ions inside the plasma, I determined the ionic densities of the high charge states of argon. Lastly, I highlighted a correlation between the ions charge states densities with the intensities of extracted currents. The second part of the thesis is devoted to Ne 9+- argon clusters collisions. First, I presented simple and effective theoretical models allowing to describe the phenomena occurring during a collision, from the point of view of the projectile. I carried out a simulation for a collision of an ion Ne 9+ with an argon cluster of a given size, which has enabled us to know the energy levels populated during the electronic capture and to follow the number of electrons in each projectile shell. Lastly, I presented the first results of a collision between a Ne 9+ beam and argon clusters. These results, have enabled me by using projectile X-ray spectroscopy during the ions-clusters collision, to evidence a strong clustering of targets atoms and to highlight an electronic multi-capture in the projectile ion excited states. (author)

  9. Intrinsic suppression of turbulence in linear plasma devices

    Science.gov (United States)

    Leddy, J.; Dudson, B.

    2017-12-01

    Plasma turbulence is the dominant transport mechanism for heat and particles in magnetised plasmas in linear devices and tokamaks, so the study of turbulence is important in limiting and controlling this transport. Linear devices provide an axial magnetic field that serves to confine a plasma in cylindrical geometry as it travels along the magnetic field from the source to the strike point. Due to perpendicular transport, the plasma density and temperature have a roughly Gaussian radial profile with gradients that drive instabilities, such as resistive drift-waves and Kelvin-Helmholtz. If unstable, these instabilities cause perturbations to grow resulting in saturated turbulence, increasing the cross-field transport of heat and particles. When the plasma emerges from the source, there is a time, {τ }\\parallel , that describes the lifetime of the plasma based on parallel velocity and length of the device. As the plasma moves down the device, it also moves azimuthally according to E × B and diamagnetic velocities. There is a balance point in these parallel and perpendicular times that sets the stabilisation threshold. We simulate plasmas with a variety of parallel lengths and magnetic fields to vary the parallel and perpendicular lifetimes, respectively, and find that there is a clear correlation between the saturated RMS density perturbation level and the balance between these lifetimes. The threshold of marginal stability is seen to exist where {τ }\\parallel ≈ 11{τ }\\perp . This is also associated with the product {τ }\\parallel {γ }* , where {γ }* is the drift-wave linear growth rate, indicating that the instability must exist for roughly 100 times the growth time for the instability to enter the nonlinear growth phase. We explore the root of this correlation and the implications for linear device design.

  10. Experimental study of membrane pump for plasma devices

    International Nuclear Information System (INIS)

    Suzuki, Hajime; Ohyabu, Nobuyoshi; Nakamura, Yukio; Sagara, Akio; Motojima, Osamu; Livshits, A.; Notkin, M.; Busnyuk, A.; Komatsu, Kazuyuki

    1998-01-01

    Recycling control is a key to improve fusion plasma performance. The membrane pump has potential advantages for hydrogen pumping in fusion devices. However, there are unsolved issues for using membrane pump in LHD (Large Helical Device). The first issue is characteristics of the membrane pump under high incident hydrogen atom flux. The second issue is relationship between the surface condition and the pumping efficiency. Impurities from plasma may change the surface condition of the membrane. In order to solve these issues, a membrane pump system was fabricated and installed in a linear plasma device at NIFS (National Institute for Fusion Science). The membrane pump was successfully operated. (author)

  11. Matching of dense plasma focus devices with fission reactors

    International Nuclear Information System (INIS)

    Harms, A.A.; Heindler, M.

    1978-01-01

    The potential role of dense plasma focus devices as compact neutron sources for fissile fuel breeding in conjunction with existing fission reactors is considered. It is found that advanced plasma focus devices can be used effectively in conjunction with neutronically efficient fission reactors to constitute ''self-sufficient'' breeders. Correlations among the various parameters such as the power output and conversion ratio of the fission reactor with the neutron yield and capacitor bank energy of the dense plasma focus device are presented and discussed

  12. Parametric studies in a small plasma focus device

    International Nuclear Information System (INIS)

    Chuaqui, H.; Favre, M.; Silva, P.; Wyndham, E.

    1996-01-01

    Very high temperature and density plasmas can be produced in modest size plasma focus devices at the kJ level. Much of the scaling parameters on the plasma focus have been evaluated, though many questions still remain. The modest cost and simple construction allows easy modification to the device and the discharge parameters. In this paper the authors report on a small plasma focus device, which is set-up to investigate the effect of some of those modifications on the plasma, with detailed experimental diagnostics. Experiments have been carried out in various gases and with mixtures of different ratios. Extended operating range from below 0.5 torr upwards has been achieved with the implementation of the auxiliary discharge circuit. Despite the low voltage and low energy operation, energetic beam formation has been observed at the time of the final compression, prior to disruption. Current sheath formation and evolution has been characterized using the magnetic probes array, in correlation with beam formation and plasma emission. The relationship of the current sheath structure and that of the pinched plasma, as shown by the filtered X-ray pinhole camera, has been investigated

  13. Elmo bumpy square plasma confinement device

    Science.gov (United States)

    Owen, L.W.

    1985-01-01

    The invention is an Elmo bumpy type plasma confinement device having a polygonal configuration of closed magnet field lines for improved plasma confinement. In the preferred embodiment, the device is of a square configuration which is referred to as an Elmo bumpy square (EBS). The EBS is formed by four linear magnetic mirror sections each comprising a plurality of axisymmetric assemblies connected in series and linked by 90/sup 0/ sections of a high magnetic field toroidal solenoid type field generating coils. These coils provide corner confinement with a minimum of radial dispersion of the confined plasma to minimize the detrimental effects of the toroidal curvature of the magnetic field. Each corner is formed by a plurality of circular or elliptical coils aligned about the corner radius to provide maximum continuity in the closing of the magnetic field lines about the square configuration confining the plasma within a vacuum vessel located within the various coils forming the square configuration confinement geometry.

  14. High beta plasma operation in a toroidal plasma producing device

    International Nuclear Information System (INIS)

    Clarke, J.F.

    1978-01-01

    A high beta plasma is produced in a plasma producing device of toroidal configuration by ohmic heating and auxiliary heating. The plasma pressure is continuously monitored and used in a control system to program the current in the poloidal field windings. Throughout the heating process, magnetic flux is conserved inside the plasma and the distortion of the flux surfaces drives a current in the plasma. As a consequence, the total current increases and the poloidal field windings are driven with an equal and opposing increasing current. The spatial distribution of the current in the poloidal field windings is determined by the plasma pressure. Plasma equilibrium is maintained thereby, and high temperature, high beta operation results

  15. Origin of fluctuations in atmospheric pressure arc plasma devices

    International Nuclear Information System (INIS)

    Ghorui, S.; Das, A.K.

    2004-01-01

    Fluctuations in arc plasma devices are extremely important for any technological application in thermal plasma. The origin of such fluctuations remains unexplained. This paper presents a theory for observed fluctuations in atmospheric pressure arc plasma devices. A qualitative explanation for observed behavior on atmospheric pressure arc plasma fluctuations, reported in the literature, can be obtained from the theory. The potential of the theory is demonstrated through comparison of theoretical predictions with reported experimental observations

  16. ECR heavy-ion source for the LBL 88-inch cyclotron

    International Nuclear Information System (INIS)

    Clark, D.J.; Kalnins, J.G.; Lyneis, C.M.

    1983-03-01

    An Electron Cyclotron Resonance (ECR) heavy-ion source is under construction at the LBL 88-Inch Cyclotron. This source will produce very-high-charge-state heavy ions, such as 0 8 + and Ar 12 + , which will increase cyclotron energies by a factor of 2-4, up to A = 80. It is a two-stage source using room-temperature coils, a permanent-magnet sextupole, and a 6-9 GHz microwave system. Design features include adjustable first-to-second-stage plasma coupling, a variable second-stage mirror ratio, high-conductance radial pumping of the second stage, and a beam-diagnostic system. A remotely movable extraction electrode will optimize extraction efficiency. The project includes construction of a transport line and improvements to the cyclotron axial-injection system. The construction period is expected to be two years

  17. Study and development of a new ECR source creating an intense light ions beam

    International Nuclear Information System (INIS)

    Nyckees, S.

    2012-01-01

    This thesis is in the context of study and design of a new ECR light ion source on LEDA (Laboratory of Research and Development of Accelerators - CEA Saclay), named ALISES (Advanced Light Ions Source Extraction System). As a first step, the magnetic, electrical and mechanical design of the new source is described. Then, simulations were performed to determine the reduction of emittance growth taking into account the reduction of the length of the LBE (Low Energy Beam Line) provided by the source ALISES. With this source, it's also possible to realize a study on the dimensions of the cylindrical plasma chamber. Simulations were performed to better understand the interaction between radiofrequency wave and plasma. Subsequently, experiments on the source ALISES helped highlight, understand and solve problems in the Penning discharges inside the accelerator column. Measurements performed on the plasma have yielded the assumption that the electrons are heated at the entrance of the plasma chamber and thermalized along its entire length to achieve an energy corresponding to the maximum of the ionization cross section for hydrogen. (author) [fr

  18. In-liquid plasma devices and methods of use thereof

    KAUST Repository

    Cha, Min Suk

    2017-08-10

    Devices and methods for generating a plasma in a liquid are provided. A low- dielectric material can be placed in contact with the liquid to form an interface a distance from an anode. A voltage can be applied across the anode and a cathode submerged in the liquid to produce the plasma. A variety of devices are provided, including for continuous operation. The devices and methods can be used to generate a plasma in a variety of liquids, for example for water treatment, hydrocarbon reformation, or synthesis of nanomaterial.

  19. Surface interaction of polyimide with oxygen ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P.S.; Bhoraskar, V.N.; Mandle, A.B.; Ganeshan, V.; Bhoraskar, S.V.

    2004-01-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis

  20. Surface interaction of polyimide with oxygen ECR plasma

    Science.gov (United States)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P. S.; Bhoraskar, V. N.; Mandle, A. B.; Ganeshan, V.; Bhoraskar, S. V.

    2004-07-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis.

  1. Plasma diagnostics of the SIMPA Ecr ion source by X-ray spectroscopy, Collisions of H-like Neon ions with Argon clusters; Diagnostic du plasma de la source d'ions ECR SIMPA par spectroscopie X, Collision d'ions neon hydrogenoides avec des agregats d'argon

    Energy Technology Data Exchange (ETDEWEB)

    Adrouche, N

    2006-09-15

    The first part of this thesis is devoted to the SIMPA ECR ion source characterization, first, I explored the ion source's capacities on the point of view of extracted currents for three elements, argon, krypton and neon. By analyzing the Bremsstrahlung spectra, I determined the electronic temperature in the plasma and the electronic and ionic densities. In a second time, I recorded high resolution X-spectra of argon and krypton plasma's. By taking into account the principal mechanisms of production of a K hole in the ions inside the plasma, I determined the ionic densities of the high charge states of argon. Lastly, I highlighted a correlation between the ions charge states densities with the intensities of extracted currents. The second part of the thesis is devoted to Ne{sup 9+-} argon clusters collisions. First, I presented simple and effective theoretical models allowing to describe the phenomena occurring during a collision, from the point of view of the projectile. I carried out a simulation for a collision of an ion Ne{sup 9+} with an argon cluster of a given size, which has enabled us to know the energy levels populated during the electronic capture and to follow the number of electrons in each projectile shell. Lastly, I presented the first results of a collision between a Ne{sup 9+} beam and argon clusters. These results, have enabled me by using projectile X-ray spectroscopy during the ions-clusters collision, to evidence a strong clustering of targets atoms and to highlight an electronic multi-capture in the projectile ion excited states. (author)

  2. On-line measurement of the microwave power in ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Kang Wu; Hu Yonghong; Li Yan; Lou Benchao; Zu Xiulan; Xiong Riheng; Chen Junguang

    2005-01-01

    It is a new technology that ECR ion source is applied in the neutron generator. Because of effect of the structure, working state of ECR ion source could not be judged by the color of gas discharging in discharging chamber as doing in high frequency ion source. Therefore, state adjusting of ECR ion source was difficult in running of the neutron generator. The method to resolve the question is described in this paper. The micro-wave power was measured in case of running by using the method of directional coupler adding small microwave power meter. Because both were in the direct proportion, the ion beam current could be educed from microwave incidence power measured, and discharge state in discharge chamber could be judged. Finally, the neutron generator might be operated in best running state. (authors)

  3. Compression Models for Plasma Focus Devices

    International Nuclear Information System (INIS)

    Gonzalez, Jose; Calusse, Alejandro; Ramos, Ruben; Rodriguez Palomino, Luis

    2003-01-01

    Using a numerical model that calculates the dynamics of Plasma Focus devices, we compared the results of three different compression models of the plasma pinch.One of the main objectives in this area is to develop a simplified model to calculate the neutron production of Plasma Focus devices, to study the influence of the main parameters in this neutron yield.The dynamics is thoroughly studied, and the model predicts fairly well values such as maximum currents and times for pinch collapse.Therefore, we evaluate here different models of pinch compression, to try to predict the neutron production with good agreement with the rest of the variables involved.To fulfill this requirement, we have experimental results of neutron production as a function of deuterium filling pressure in the chamber, and typical values of other main variables in the dynamics of the current sheet

  4. Status report of pelletron accelerator and ECR based heavy ion accelerator programme

    International Nuclear Information System (INIS)

    Gupta, A.K.

    2015-01-01

    The BARC-TIFR Pelletron Accelerator is completing twenty seven years of round-the-clock operation, serving diverse users from institutions within and outside DAE. Over the years, various developmental activities and application oriented programs have been initiated at Pelletron Accelerator Facility, resulting into enhanced utilization of the accelerator. We have also been pursuing an ECR based heavy ion accelerator programme under XII th Plan, consisting of an 18 GHz superconducting ECR (Electron Cyclotron Resonance) ion source and a room temperature RFQ (Radio Frequency Quadrupole) followed by low and high beta superconducting niobium resonator cavities. This talk will provide the current status of Pelletron Accelerator and the progress made towards the ECR based heavy ion accelerator program at BARC. (author)

  5. Field-aligned plasma-potential structure formed by local electron cyclotron resonance

    International Nuclear Information System (INIS)

    Hatakeyama, Rikizo; Kaneko, Toshiro; Sato, Noriyoshi

    2001-01-01

    The significance of basic experiments on field-aligned plasma-potential structure formed by local electron cyclotron resonance (ECR) is claimed based on the historical development of the investigation on electric double layer and electrostatic potential confinement of open-ended fusion-oriented plasmas. In the presence of a single ECR point in simple mirror-type configurations of magnetic field, a potential dip (thermal barrier) appears around this point, being followed by a subsequent potential hump (plug potential) along a collisionless plasma flow. The observed phenomenon gives a clear-cut physics to the formation of field-aligned plug potential with thermal barrier, which is closely related to the double layer formation triggered by a negative dip. (author)

  6. Valorization of ECR sources

    CERN Document Server

    2003-01-01

    One way to limit the size of particle accelerators is to use intense multicharged ion beams. Thus, compact, low cost and reliable sources have been developed. These sources are based on the electron cyclotron resonance (ECR) principle and need no cathode nor filament. A prototype named Nanogan has been developed for the Spiral project of the Ganil accelerator (Caen, France). Then, this technology has been transferred toward other research domains and industrial applications, like the ion implantation in micro-electronics components. (J.S.)

  7. Plasma accumulation in the HF supplemented mirror trap

    International Nuclear Information System (INIS)

    Dougar-Jabon, V.D.; Golovaniksky, K.S.; Karyaka, V.I.

    1975-01-01

    The confinement of plasma bunches in the mirror trap with the combined barrier at ECR is experimentally studied. Under fulfilment of the phase autofocusing condition the reflection of electrons by the combined barrier is quasiadiabatic and plasma losses are determined by perpendicular diffusion. (Auth.)

  8. Analysis of plasma behavior and electro-magnetic interaction between plasma and device

    International Nuclear Information System (INIS)

    Kobayashi, Tomofumi

    1980-01-01

    A simulation program for the analysis of plasma behavior and the electromagnetic interaction between plasma and device has been developed. The program consists of a part for the analysis of plasma behavior (plasma system) and a part for the analysis of the electro-magnetic interaction between plasma and devices (circuit system). The parameters which connect the plasma system and the circuit system are the electric resistance of plasma, the internal inductance, and the plasma current. For the plasma system, the simultaneous equations which describe the density distribution of plasma particles, the temperature distribution of electrons and ions, and the space-time variation of current density distribution were derived. The one-dimensional plasma column in γ-direction was considered. The electric resistance and the internal inductance can be deduced. The circuit components are a current transformer, a vertical field coil, a quadrupole field coil, a vacuum chamber and others. An equation which describes plasma position and the shape of cross section is introduced. The plasma position can be known by solving the Mukhavatov's formula of equilibrium. By using this program, the build-up process of plasma current in JT-60 was analysed. It was found that the expansion of plasma sub radius and the control of current distribution by gas injection are the effective methods to obtain high temperature and high density plasma. The eddy current induced in a vacuum vessel shields 40 percent of magnetic field made in the plasma region by a vertical field coil. (Kato, T.)

  9. Permanent magnet electron cyclotron resonance plasma source with remote window

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1995-01-01

    An electron cyclotron resonance (ECR) plasma has been used in conjunction with a solid metal sputter target for Cu deposition over 200 mm diameters. The goal is to develop a deposition system and process suitable for filling submicron, high-aspect ratio ULSI features. The system uses a permanent magnet for creation of the magnetic field necessary for ECR, and is significantly more compact than systems equipped with electromagnets. A custom launcher design allows remote microwave injection with the microwave entrance window shielded from the copper flux. When microwaves are introduced at an angle with respect to the plasma, high electron densities can be produced with a plasma frequency significantly greater than the electron cyclotron frequency. Copper deposition rates of 1000 A/min have been achieved

  10. Experimental validation of models for Plasma Focus devices

    International Nuclear Information System (INIS)

    Rodriguez Palomino, Luis; Gonzalez, Jose; Clausse, Alejandro

    2003-01-01

    Plasma Focus(PF) Devices are thermonuclear pulsators that produce short pulsed radiation (X-ray, charged particles and neutrons). Since Filippov and Mather, investigations have been used to study plasma properties. Nowadays the interest about PF is focused in technology applications, related to the use of these devices as pulsed neutron sources. In the numerical calculus the Inter institutional PLADEMA (PLAsmas DEnsos MAgnetizados) network is developing three models. Each one is useful in different engineering stages of the Plasma Focus design. One of the main objectives in this work is a comparative study on the influence of the different parameters involved in each models. To validate these results, several experimental measurements under different geometry and initial conditions were performed. (author)

  11. Present status of the NIRS-ECR ion source for the HIMAC

    International Nuclear Information System (INIS)

    Kitagawa, A.; Matsushita, H.; Shibuya, S.

    1995-01-01

    The present status of NIRS-ECR ion source for the Heavy Ion Medical Accelerator in Chiba (HIMAC) at National Institute of Radiological Sciences (NIRS) is reported. The beam intensity of the NIRS-ECR was increased by modifications on the magnetic field structure, chamber cooling system, vacuum conductance and the extraction configuration. The output current of Ar 6+ reached 365 eμA after improvements. The good stability, easy operation, and good reproducibility were realized. (author)

  12. A new linear plasma device for the study of plasma waves in the electron magnetohydrodynamics regime

    Science.gov (United States)

    Joshi, Garima; Ravi, G.; Mukherjee, S.

    2018-06-01

    A new, user-friendly, linear plasma device has been developed in our laboratory where a quiescent (Δ n/n ≈ 1%), low temperature (1-10 eV), pulsed (3-10 ms) plasma can be produced over a large uniform region of 30-40 cm diameter and 40 cm length. Salient features of the device include the flexibility of tuning the plasma density in the range of 10^{10} to 10^{12} cm^{-3} and capability of scanning the plasma and field parameters in two dimensions with a precision of electromagnetic field parameters by miniature magnetic probes and Rogowski coils. The plasma produced is uniform and essentially unbounded for performing experiments on waves and turbulence. The whole device can be operated single-handedly by undergraduate or graduate students. The device can be opened, serviced, new antennas/probes installed and ready for operation in a matter of hours. Some results on the excitation of electromagnetic structures in the context of electron magnetohydrodynamics (EMHD) are also presented to demonstrate the suitability of the device for carrying out such experiments.

  13. Extreme ultraviolet narrow band emission from electron cyclotron resonance plasmas

    International Nuclear Information System (INIS)

    Zhao, H. Y.; Zhao, H. W.; Sun, L. T.; Zhang, X. Z.; Wang, H.; Ma, B. H.; Li, X. X.; Zhu, Y. H.; Sheng, L. S.; Zhang, G. B.; Tian, Y. C.

    2008-01-01

    Extreme ultraviolet lithography (EUVL) is considered as the most promising solution at and below dynamic random access memory 32 nm half pitch among the next generation lithography, and EUV light sources with high output power and sufficient lifetime are crucial for the realization of EUVL. However, there is no EUV light source completely meeting the requirements for the commercial application in lithography yet. Therefore, ECR plasma is proposed as a novel concept EUV light source. In order to investigate the feasibility of ECR plasma as a EUV light source, the narrow band EUV power around 13.5 nm emitted by two highly charged ECR ion sources--LECR2M and SECRAL--was measured with a calibrated EUV power measurement tool. Since the emission lines around 13.5 nm can be attributed to the 4d-5p transitions of Xe XI or the 4d-4f unresolved transition array of Sn VIII-XIII, xenon plasma was investigated. The dependence of the EUV throughput and the corresponding conversion efficiency on the parameters of the ion source, such as the rf power and the magnetic confinement configurations, were preliminarily studied

  14. Developments of ECR Sources and Associated Equipment

    International Nuclear Information System (INIS)

    Bieth, C.; Kantas, S.; Sortais, P.

    2002-01-01

    PANTECHNIK s.a. has improved and created ECR using room temperature coils, superconducting coils at 30K or permanent magnets ECR. Some of these sources are devoted to particular applications: ion implantation, nuclear and atomic physic, Proton and Hadrontherapy, radioactive beam. Stability and reproducibility have been particularly 1.0 Operational Improvements 1.1 High charge state for nuclear physics, atomic physic and RIB beam The HYPERNANOGAN [1] source (fig1) has been modified to run at 18GHz, and improved at 14.5GHz. The XENON spectrum (fig2) at 14.5GHz shows a Beam current of 3 μAe; for the charge state 30 + . At 18 GHz, the preliminary results indicate an improvement of 18% for Ar 8+ and 50% on Ar 9+ . In the atomic physics field our sources have been used to study the effect of extremely charged ions on a semiconductor surface ( Coulomb explosion)

  15. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  16. 400 kV injector compact ECR ion source

    International Nuclear Information System (INIS)

    Constantin, F.; Catana, D.; Macovei, M.; Ivanov, E.

    1997-01-01

    Obtaining multiple ionised ions is a fundamental problem for some applications and research. Multiple ionised ions can be produced from electronic bombardment, when n·τ≥5·10 9 cm -3 · s, where n is the density of electrons (in cm -3 ) and τ is the time of interaction between electrons and ions . The relative speed of electrons and ions determines the equilibrium between the stripping process of the atom's electrons and their capture. An ion source with high ionisation efficiency and large output current is the ECR source (Electron Cyclotron Resonance). Using an ECR source with permanent magnets as ion source for the injector will lead to following advantages: - the possibility to obtain multiple ionised particles; - an increase of ion beam intensities; - the expanding of accelerator activities; - a longer working time, due to magnetron lifetime. The ECR ion source is robust, compact and capable of high intensities of extracted ion current. The large functional domain for the residual gas pressure allows the production of multiple charged ions. The source can be easily integrated in the TRILAC's injection structure. We realised a compact microwave ion source which has an axial magnetic field generated by a permanent magnet of Co-Sm. 1200 G magnetic field is greater than the 875 G magnetic field corresponding to the electron-cyclotron frequency of 2.45 GHz. The microwave generator is a magnetron (2.45 GHz and 200 W in continuos wave). The microwave is fed through a coaxial connector on the top of flange. The test was made on He gas at a pressure between 8· 10 -4 and 5·10 -2 torr. The ion beam current was measured vs. extracted potential from 3 kV to 10 kV and has a dependence according to U 3/2 law. A maximal ion current of 300 μA at 10 kV extraction potential was measured. Dimension of ECR ion source, including Einzel lens are φ=12 cm and h=16 cm. (authors)

  17. Results of a new ''OCTOPUS'' ECR ion source at 6.4 GHz

    International Nuclear Information System (INIS)

    Dupont, C.; Jongen, Y.; Arakawa, K.; Yokota, W.; Satoh, T.; Tachikawa, T.

    1990-01-01

    The first OCTOPUS electron cyclstron resonance (ECR) multicharged heavy ion source was built in 1985 at the Centre de Recherches du Cyclotron of the University of Louvain (Belgium). This first source used an ECR frequency of 14.3 GHz in the injector stage and 8.5 GHz in the main confinement stage. A new OCTOPUS source has now been built for a new cyclotron to be installed at the Japan Atomic Energy Research Institute (JAERI). The design of this new OCTOPUS source is identical to the first OCTOPUS source, but uses an ECR frequency of 6.4 GHz in the main confinement stage. The experimental results are described, and a comparison is made between the two sources. However, the available data does not allow any clear conclusion to be drawn on frequency scaling

  18. Plasma control device

    International Nuclear Information System (INIS)

    Takase, Haruhiko.

    1987-01-01

    Purpose: To obtain the optimum controllability for the plasmas and the thermonuclear device by selectively executing control operation for proportion, integration and differentiation (PID) by first and second controllers respectively based on selection instruction signals. Constitution: Deviation between a vertical direction equilibrium position: Zp as the plasma status amount measured in a measuring section and an aimed value Zref thereof is inputted to a first PID selection controller. The first controller selectively executes one of the PID control operations in accordance with the first selection signal instruction instructed by a PID control operation instruction circuit. Further, Zp is also inputted to a second PID selection controller, which selectively executes one of the PID control operations in accordance with the second selection instruction signal in the same manner as in the first controller. The deviation amount u between operations signals u1 and u2 from the first and second PID selection controllers is inputted to a power source to thereby supply a predetermined current value to control coils that generate equilibrium magnetic fields for making the vertical direction equilibrium position of plasmas constant. (Kamimura, M.)

  19. Automated system for efficient microwave power coupling in an S-band ECR ion source driven under different operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Muguira, L., E-mail: lmuguira@essbilbao.org [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain); Portilla, J. [University of Basque Country (UPV/EHU), Department of Electricity and Electronics, Science and Technology Faculty, 48940 Leioa (Bizkaia) (Spain); Gonzalez, P.J.; Garmendia, N.; Feuchtwanger, J. [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain); Etxebarria, V. [University of Basque Country (UPV/EHU), Department of Electricity and Electronics, Science and Technology Faculty, 48940 Leioa (Bizkaia) (Spain); Eguiraun, M.; Arredondo, I.; Miracoli, R.; Belver, D. [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain)

    2014-03-21

    This article presents an automated system for optimizing the microwave power coupling to the plasma generated in a proton/deuteron Electron Cyclotron Resonance (ECR) source, based on a specific model of a rectangular waveguide triple-stub tuner and the integrated measurement and control electronics, helping to get stable plasma states. The control and improvement of the RF power absorption into the plasma is a complex process, essential for the ion source development and optimization under different operating conditions. A model and a matching algorithm for the triple-stub tuner have been developed and, besides, different methods to accurately measure the power transfer in a waveguide RF system have been studied and deployed in the ESS-Bilbao ion source system. The different parts have been integrated through a controller, which allows to run an automatic plasma matching system in closed loop. The behavior of the system implemented for low and high power regimes has been tested under different conditions: with several load impedances, with plasma inside the chamber, in continuous wave and pulsed wave operation modes, demonstrating power absorption typically over 90% in all the ion source configurations. The developed system allows to achieve significant improvement in the ECR ion source power absorption efficiency, both in continuous and pulsed mode. The automatic tuning unit enhances the system operation finding an optimum solution much faster than manually, also behaving as an adaptive system able to respond in few pulses to ion source configuration changes to maintain the power coupling as high as possible. - Highlights: • An automated system optimizing plasma and microwave power interaction is presented. • A model and a matching algorithm for the triple-stub tuner have been developed. • Different methods to measure the power transfer have been studied and deployed. • The system works for low or high power regimes under different ion source conditions.

  20. Automated system for efficient microwave power coupling in an S-band ECR ion source driven under different operating conditions

    International Nuclear Information System (INIS)

    Muguira, L.; Portilla, J.; Gonzalez, P.J.; Garmendia, N.; Feuchtwanger, J.; Etxebarria, V.; Eguiraun, M.; Arredondo, I.; Miracoli, R.; Belver, D.

    2014-01-01

    This article presents an automated system for optimizing the microwave power coupling to the plasma generated in a proton/deuteron Electron Cyclotron Resonance (ECR) source, based on a specific model of a rectangular waveguide triple-stub tuner and the integrated measurement and control electronics, helping to get stable plasma states. The control and improvement of the RF power absorption into the plasma is a complex process, essential for the ion source development and optimization under different operating conditions. A model and a matching algorithm for the triple-stub tuner have been developed and, besides, different methods to accurately measure the power transfer in a waveguide RF system have been studied and deployed in the ESS-Bilbao ion source system. The different parts have been integrated through a controller, which allows to run an automatic plasma matching system in closed loop. The behavior of the system implemented for low and high power regimes has been tested under different conditions: with several load impedances, with plasma inside the chamber, in continuous wave and pulsed wave operation modes, demonstrating power absorption typically over 90% in all the ion source configurations. The developed system allows to achieve significant improvement in the ECR ion source power absorption efficiency, both in continuous and pulsed mode. The automatic tuning unit enhances the system operation finding an optimum solution much faster than manually, also behaving as an adaptive system able to respond in few pulses to ion source configuration changes to maintain the power coupling as high as possible. - Highlights: • An automated system optimizing plasma and microwave power interaction is presented. • A model and a matching algorithm for the triple-stub tuner have been developed. • Different methods to measure the power transfer have been studied and deployed. • The system works for low or high power regimes under different ion source conditions.

  1. Compact permanent magnet H⁺ ECR ion source with pulse gas valve.

    Science.gov (United States)

    Iwashita, Y; Tongu, H; Fuwa, Y; Ichikawa, M

    2016-02-01

    Compact H(+) ECR ion source using permanent magnets is under development. Switching the hydrogen gas flow in pulse operations can reduce the gas loads to vacuum evacuation systems. A specially designed piezo gas valve chops the gas flow quickly. A 6 GHz ECR ion source equipped with the piezo gas valve is tested. The gas flow was measured by a fast ion gauge and a few ms response time is obtained.

  2. Niobium thin film coating on a 500-MHz copper cavity by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Haipeng Wang; Genfa Wu; H. Phillips; Robert Rimmer; Anne-Marie Valente; Andy Wu

    2005-05-16

    A system using an Electron Cyclotron Resonance (ECR) plasma source for the deposition of a thin niobium film inside a copper cavity for superconducting accelerator applications has been designed and is being constructed. The system uses a 500-MHz copper cavity as both substrate and vacuum chamber. The ECR plasma will be created to produce direct niobium ion deposition. The central cylindrical grid is DC biased to control the deposition energy. This paper describes the design of several subcomponents including the vacuum chamber, RF supply, biasing grid and magnet coils. Operational parameters are compared between an operating sample deposition system and this system. Engineering work progress toward the first plasma creation will be reported here.

  3. Plasma Surface interaction in Controlled fusion devices

    International Nuclear Information System (INIS)

    1990-05-01

    The subjects presented in the 9th conference on plasma surface interaction in controlled fusion devices were: the modifications of power scrape-off-length and power deposition during various configurations in Tore Supra plasmas; the effects observed in ergodic divertor experiments in Tore-Supra; the diffuse connexion induced by the ergodic divertor and the topology of the heat load patterns on the plasma facing components in Tore-Supra; the study of the influence of air exposure on graphite implanted by low energy high density deuterium plasma

  4. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Science.gov (United States)

    Sakuma, I.; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-01

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  5. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Energy Technology Data Exchange (ETDEWEB)

    Sakuma, I., E-mail: eu13z002@steng.u-hyogo.ac.jp; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-15

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  6. Arc plasma devices: Evolving mechanical design from numerical

    Indian Academy of Sciences (India)

    A recipe for obtaining mechanical design of arc plasma devices from numerical ... to the plasma of the mixture of molecular gases like nitrogen and oxygen. ... Temperature field, associated fluid dynamics and electrical characteristics of a ...

  7. Device for plasma confinement and heating by high currents and nonclassical plasma transport properties

    Science.gov (United States)

    Coppi, B.; Montgomery, D.B.

    1973-12-11

    A toroidal plasma containment device having means for inducing high total plasma currents and current densities and at the same time emhanced plasma heating, strong magnetic confinement, high energy density containment, magnetic modulation, microwaveinduced heating, and diagnostic accessibility is described. (Official Gazette)

  8. Rippled plasma wall accelerating structures

    International Nuclear Information System (INIS)

    Cavenago, M.

    1992-01-01

    A concept to form a hot, pulsed, inhomogeneous plasma and to use it as a linac structure is presented. The plasma spatial distribution is controlled by an external magnetic field and by the location of thermionic emitters; microwave ECR heating at frequency ω 1 favours plasma build up and reduces plasma resistivity. A shorter microwave pulse with frequency ω 2 ≠ ω 1 excites a longitudinal mode. An expression for the maximum attainable accelerating field is found. A linearized theory of accelerating modes is given. (Author) 6 refs., 3 figs

  9. Physics and technology of large plasma focus devices

    International Nuclear Information System (INIS)

    Herold, H.

    1990-01-01

    This paper reports on the plasma focus (PF) which produces a high temperature (0,5 to 1 keV), high density (5 · 10 18 cm -3 ), short living (up to 500 ns) fusion plasma in a very simple and cheap device. In the focus plasma, fusion processes take place with an energy efficiency which is not surpassed even by large Tokamak or Inertial Confinement Fusion devices. But this fusion efficiency and the high fusion neutron yield are not the only impetus to PF research. Due to the high energy density in the focus plasma (j ≥ 10 6 A/cm 2 exclamation point), many very interesting, mostly nonlinear phenomena take place which led to high intensity electron, ion and radiation emission. Micro- and macro instabilities, turbulence and selforganization processes develop. Most of these phenomena are not or only poorly understood

  10. Recent results on medium-size plasma-focus device

    International Nuclear Information System (INIS)

    Miklaszewski, R.; Kasperczuk, A.; Paduch, M.; Tomaszewaski, K.; Wereszczynski, Z.

    1992-01-01

    A brief history of investigation carried out on the PF-150 plasma-focus device is presented. Essential results concerning the dynamics of plasma sheath are summarized. The present state of investigation and main areas of interest are shown. (author)

  11. Plasma Equilibrium Control in Nuclear Fusion Devices 2. Plasma Control in Magnetic Confinement Devices 2.1 Plasma Control in Tokamaks

    Science.gov (United States)

    Fukuda, Takeshi

    The plasma control technique for use in large tokamak devices has made great developmental strides in the last decade, concomitantly with progress in the understanding of tokamak physics and in part facilitated by the substantial advancement in the computing environment. Equilibrium control procedures have thereby been established, and it has been pervasively recognized in recent years that the real-time feedback control of physical quantities is indispensable for the improvement and sustainment of plasma performance in a quasi-steady-state. Further development is presently undertaken to realize the “advanced plasma control” concept, where integrated fusion performance is achieved by the simultaneous feedback control of multiple physical quantities, combined with equilibrium control.

  12. Efecto del argon en películas CNxHy depositadas mediante ECR-CVD

    Directory of Open Access Journals (Sweden)

    Albella, J. M.

    2004-04-01

    Full Text Available Carbon nitride films have been deposited by ECR-CVD, from Ar/CH4/N2 gas mixtures with different methane concentrations. Infrared Spectroscopy (IRS and Elastic Recoil Detection Analysis (ERDA have been used for films characterisation and Optical Emission Spectroscopy (OES for plasma analysis. Argon concentration in the gas mixture controls the growth rate as well as the composition of the film. In the proposed model, argon plays a key role in the activation of methane molecules. Also, during the growth of the film, two processes may be considered: i Film formation and ii Etching of the growing surface. Changing the gas mixture composition affects both processes, which results in films with different composition and structure as well as different deposition rates.Se ha estudiado el efecto del argon durante el proceso de CVD asistido por un plasma ECR para la síntesis de películas de nitruro de carbono (CNxHy a partir de mezclas gaseosas Ar/CH4/N2 con diferente contenido de metano. Las películas depositadas han sido analizadas mediante espectroscopía infrarroja (IRS y ERDA (Elastic Recoil Detection Analysis, y el análisis del plasma ha sido realizado utilizando la técnica de espectroscopía de emisión óptica (OES. La velocidad de deposición y la composición de las películas depositadas se encuentran determinadas por la concentración de argon en la mezcla gaseosa. Se propone un modelo, según el cual el argon juega un papel fundamental como activador de las moléculas de metano. El modelo propuesto incluye dos procesos simultáneos durante el crecimiento de las capas : i formación de la capa y ii ataque de la superficie de crecimiento. Según la composición de la mezcla gaseosa se favorece uno u otro proceso, lo que conduce a velocidades de deposición diferentes así como a depósitos con diferente composición y estructura atómica.

  13. Plasma edge and plasma-wall interaction modelling: Lessons learned from metallic devices

    Directory of Open Access Journals (Sweden)

    S. Wiesen

    2017-08-01

    Full Text Available Robust power exhaust schemes employing impurity seeding are needed for target operational scenarios in present day tokamak devices with metallic plasma-facing components (PFCs. For an electricity-producing fusion power plant at power density Psep/R>15MW/m divertor detachment is a requirement for heat load mitigation. 2D plasma edge transport codes like the SOLPS code as well as plasma-wall interaction (PWI codes are key to disentangle relevant physical processes in power and particle exhaust. With increased quantitative credibility in such codes more realistic and physically sound estimates of the life-time expectations and performance of metallic PFCs can be accomplished for divertor conditions relevant for ITER and DEMO. An overview is given on the recent progress of plasma edge and PWI modelling activities for (carbon-free metallic devices, that include results from JET with the ITER-like wall, ASDEX Upgrade and Alcator C-mod. It is observed that metallic devices offer an opportunity to progress the understanding of underlying plasma physics processes in the edge. The validation of models can be substantially improved by eliminating carbon from the experiment as well as from the numerical system with reduced degrees of freedom as no chemical sputtering from amorphous carbon layers and no carbon or hydro-carbon transport are present. With the absence of carbon as the primary plasma impurity and given the fact that the physics of the PWI at metallic walls is less complex it is possible to isolate the crucial plasma physics processes relevant for particle and power exhaust. For a reliable 2D dissipative plasma exhaust model these are: cross-field drifts, complete kinetic neutral physics, geometry effects (including main-chamber, divertor and sub-divertor structures, SOL transport reflecting also the non-diffusive nature of anomalous transport, as well as transport within the pedestal region in case of significant edge impurity radiation

  14. Geomagnetic activity effects on plasma sheet energy conversion

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2010-10-01

    Full Text Available In this article we use three years (2001, 2002, and 2004 of Cluster plasma sheet data to investigate what happens to localized energy conversion regions (ECRs in the plasma sheet during times of high magnetospheric activity. By examining variations in the power density, E·J, where E is the electric field and J is the current density obtained by Cluster, we have studied the influence on Concentrated Load Regions (CLRs and Concentrated Generator Regions (CGRs from variations in the geomagnetic disturbance level as expressed by the Kp, the AE, and the Dst indices. We find that the ECR occurrence frequency increases during higher magnetospheric activities, and that the ECRs become stronger. This is true both for CLRs and for CGRs, and the localized energy conversion therefore concerns energy conversion in both directions between the particles and the fields in the plasma sheet. A higher geomagnetic activity hence increases the general level of energy conversion in the plasma sheet. Moreover, we have shown that CLRs live longer during magnetically disturbed times, hence converting more electromagnetic energy. The CGR lifetime, on the other hand, seems to be unaffected by the geomagnetic activity level. The evidence for increased energy conversion during geomagnetically disturbed times is most clear for Kp and for AE, but there are also some indications that energy conversion increases during large negative Dst. This is consistent with the plasma sheet magnetically mapping to the auroral zone, and therefore being more tightly coupled to auroral activities and variations in the AE and Kp indices, than to variations in the ring current region as described by the Dst index.

  15. A new approach for calculation of volume confined by ECR surface and its area in ECR ion source

    International Nuclear Information System (INIS)

    Filippov, A.V.

    2007-01-01

    The volume confined by the resonance surface and its area are important parameters of the balance equations model for calculation of ion charge-state distribution (CSD) in the electron-cyclotron resonance (ECR) ion source. A new approach for calculation of these parameters is given. This approach allows one to reduce the number of parameters in the balance equations model

  16. Design of small ECR ion source for neutron generator

    International Nuclear Information System (INIS)

    Zhou Changgeng; Lou Benchao; Zu Xiulan; Yang Haisu; Xiong Riheng

    2003-01-01

    The principles, structures and characteristics of small ECR (Electron Cyclotron Resonance) ion source used in the neutron generator are introduced. The processes of the design and key technique and innovations are described. (authors)

  17. 14 MV pelletron accelerator and superconducting ECR ion source

    International Nuclear Information System (INIS)

    Gupta, A.K.

    2015-01-01

    The BARC-TIFR 14UD Pelletron Accelerator at Mumbai has completed more than two and a half decade of successful operation. The accelerator is primarily used for basic research in the fields of nuclear, atomic and molecular, condensed matter physics and material science. The application areas include accelerator mass spectrometry, production of track-etch membranes, radioisotopes production, radiation damage studies and secondary neutron production for cross section measurement etc. Over the years, numerous developmental activities have been carried out in-house that have resulted in improving the overall performance and uptime of the accelerator and has also made possible to initiate variety of application oriented programmes. Since the SF 6 pressure vessels have been in operation for about 29 years, a comprehensive refurbishment and retrofitting work is carried out to comply with the safety recommendations. Recently, the beam trials were conducted with 18 GHz superconducting ECR (Electron Cyclotron Resonance) Ion Source system at Van-de-Graaff as per BARC Safety Council permission. Various ion beams with different charge states were extracted and mass analyzed and the beam quality was measured by recording their transverse emittance in situ. Experimental measurements pertaining to projectile X-rays Spectroscopy were carried out using variety of ion beams at variable energies. The superconducting Linac booster provides additional acceleration to the ions from Pelletron injector up to A ∼60 region with E∼5 MeV/A. In order to cover the entire mass range of the elements across the periodic table, an ECR based heavy ion accelerator was initiated under plan project. This heavy ion accelerator essentially comprises of a superconducting ECR ion source, room temperature RFQ (Radio Frequency Quadrupole) followed by superconducting Niobium resonators as accelerating elements. This talk will provide an overview of the developmental activities and the safety features

  18. In vacuo substrate pretreatments for enhancing nanodiamond formation in electron cyclotron resonance plasma

    International Nuclear Information System (INIS)

    Teii, Kungen; Kouzuma, Yutaka; Uchino, Kiichiro

    2006-01-01

    Substrate pretreatment conditions at low pressures have been examined for enhancing nanocrystalline diamond formation on silicon in electron cyclotron resonance (ECR) plasma. Three kinds of pretreatments (I) exposure to an ECR H 2 plasma with application of a substrate bias from -100 to +30 V (II) hot-filament heating in H 2 gas, and (III) hot-filament heating in vacuum, were used alone or followed by carburization prior to a two-step process of ion-enhanced nucleation in an ECR plasma and subsequent growth in a hot-filament system. The number density of diamond particles after the final growth step was greatly increased up to the order of 10 7 -10 8 cm -2 when applying pretreatment (I) at the bias of 0 V corresponding to the ion-bombardment energy of around 10 eV. In this treatment, a clean and smooth surface with minimal damage was made by the dominance of anisotropic etching by hydrogen ions over isotropic etching by hydrogen atoms. The number density of diamond particles was still more increased when applying pretreatment (II), but the treated surface was unfavorably contaminated and roughened

  19. Experimental studies of 2.45 GHz ECR ion sources for the production of high intensity currents

    International Nuclear Information System (INIS)

    Coly, A.

    2010-12-01

    This thesis is the result of a collaboration between the Pantechnik company and the LPSC (Laboratory of subatomic physics and cosmology of Grenoble). It consisted in the development of a new test bench dedicated to the characterization of a 2.45 GHz ECR ion sources with the aim of the production of high currents beams for industrial purposes. Two ECR ions sources with different magnetic structures have been tested around the same RF injection system. A new 2.45 GHz ECRIS, named SPEED, featuring a dipolar magnetic field at the extraction has been designed and tested. A study of the beam extraction in the dipolar magnetic field is proposed. First tests have shown a total ionic current density of about 10 mA/cm 2 with a 900 W RF power. Tests with hydrogen plasma have shown a maximum of current on the H 2 + species. Recommendations are given to modify the magnetic structure to improve the H + production yield. The MONO1000 ion source has been tested at high RF power with a wave guide type injection system. Intense total ionic current densities have been measured up to about 95 mA/cm 2 with a diode extraction system. First results using an improved 5 electrode extraction system are presented. (author)

  20. Analysis of plasma instabilities and verification of the BOUT code for the Large Plasma Device

    International Nuclear Information System (INIS)

    Popovich, P.; Carter, T. A.; Friedman, B.; Umansky, M. V.

    2010-01-01

    The properties of linear instabilities in the Large Plasma Device [W. Gekelman et al., Rev. Sci. Instrum. 62, 2875 (1991)] are studied both through analytic calculations and solving numerically a system of linearized collisional plasma fluid equations using the three-dimensional fluid code BOUT[M. Umansky et al., Contrib. Plasma Phys. 180, 887 (2009)], which has been successfully modified to treat cylindrical geometry. Instability drive from plasma pressure gradients and flows is considered, focusing on resistive drift waves and the Kelvin-Helmholtz and rotational interchange instabilities. A general linear dispersion relation for partially ionized collisional plasmas including these modes is derived and analyzed. For Large Plasma Device relevant profiles including strongly driven flows, it is found that all three modes can have comparable growth rates and frequencies. Detailed comparison with solutions of the analytic dispersion relation demonstrates that BOUT accurately reproduces all characteristics of linear modes in this system.

  1. A new linear plasma device for various edge plasma studies at SWIP

    Science.gov (United States)

    Xu, Min; Zheng, Pengfei; Tynan, George; Che, Tong; Wang, Zhanhui; Guo, Dong; Wei, Ran

    2017-10-01

    To facilitate the plasma-material interactions (PMI) studies, Southwestern Institute of Physics (SWIP) has constructed a linear plasma device. It is comprised of a source chamber (Φ 0.4 m), a target chamber (Φ 0.9 m), 15 magnets with different sizes, and power supplies with the total power of a few hundred kilowatts, etc. A maximum magnetic field of 0.3 Tesla along the axial direction can be produced. The current of each of the 15 magnets can be independently controlled. More than 60 ports are available for diagnostics, with the sizes vary from Φ 50 mm to Φ 150 mm. Rectangular ports of 190 mm × 270 mm are also available. 12 ports looking at the sample holder are specially designed for ion beam injection, of which the axes are 25 to the chamber axis. The device is equipped with a LaB6 hot cathode plasma source, which is able to generate steady-state H/D/He plasmas with a diameter of Φ 100 mm, density of 1x1019 /m3 , and a particle flux of 1022 1023 n/m2 .s. The electron temperature is usually a few eV. Further, a Helicon RF plasma source is also planned for plasma transport studies. Int'l Sci & Tech Cooperation Program of China (No. 2015DFA61760).

  2. Engineering design of plasma generation devices using Elmer finite element simulation methods

    Directory of Open Access Journals (Sweden)

    Daniel Bondarenko

    2017-02-01

    Full Text Available Plasma generation devices are important technology for many engineering disciplines. The process for acquiring experience for designing plasma devices requires practice, time, and the right tools. The practice and time depend on the individual and the access to the right tools can be a limiting factor to achieve experience and to get an idea on the possible risks. The use of Elmer finite element method (FEM software for verifying plasma engineering design is presented as an accessible tool that can help modeling multi-physics and verifying plasma generation devices. Furthermore, Elmer FEM will be suitable for experienced engineer and can be used for determining the risks in a design or a process that use plasma. A physical experiment was conducted to demonstrate new features of plasma generation technology where results are compared with plasma simulation using Elmer FEM.

  3. 21 CFR 864.9205 - Blood and plasma warming device.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Blood and plasma warming device. 864.9205 Section 864.9205 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES HEMATOLOGY AND PATHOLOGY DEVICES Products Used In Establishments That Manufacture...

  4. RF-heating and plasma confinement studies in HANBIT mirror device

    International Nuclear Information System (INIS)

    Kwon, M.; Bak, J.G.; Choh, K.K.

    2003-01-01

    HANBIT is a magnetic mirror confinement device. Recently, with almost finishing the first campaign for the basic system development, it started the second campaign for the high-temperature plasma confinement physics study in mirror configuration. Here, we introduce briefly the HANBIT device and report initial physics experiments results on RF-plasma heating and confinement in the simple mirror configuration. It appears that the discharge characteristics of HANBIT are quite different from those in other mirror devices, and an explanation is presented to clarify the difference. (author)

  5. Electron cyclotron resonance heating in a short cylindrical plasma ...

    Indian Academy of Sciences (India)

    The power mode conversion efficiency is estimated to be ... has also found application in electron cyclotron current drive (ECCD) in fusion ... (few GHz) of microwave sources, a small linear ECR plasma system can also serve ..... References.

  6. Properties of plasma sheath with ion temperature in magnetic fusion devices

    International Nuclear Information System (INIS)

    Liu Jinyuan; Wang Feng; Sun Jizhong

    2011-01-01

    The plasma sheath properties in a strong magnetic field are investigated in this work using a steady state two-fluid model. The motion of ions is affected heavily by the strong magnetic field in fusion devices; meanwhile, the effect of ion temperature cannot be neglected for the plasma in such devices. A criterion for the plasma sheath in a strong magnetic field, which differs from the well-known Bohm criterion for low temperature plasma sheath, is established theoretically with a fluid model. The fluid model is then solved numerically to obtain detailed sheath information under different ion temperatures, plasma densities, and magnetic field strengths.

  7. Plasma response to electron energy filter in large volume plasma device

    International Nuclear Information System (INIS)

    Sanyasi, A. K.; Awasthi, L. M.; Mattoo, S. K.; Srivastava, P. K.; Singh, S. K.; Singh, R.; Kaw, P. K.

    2013-01-01

    An electron energy filter (EEF) is embedded in the Large Volume Plasma Device plasma for carrying out studies on excitation of plasma turbulence by a gradient in electron temperature (ETG) described in the paper of Mattoo et al. [S. K. Mattoo et al., Phys. Rev. Lett. 108, 255007 (2012)]. In this paper, we report results on the response of the plasma to the EEF. It is shown that inhomogeneity in the magnetic field of the EEF switches on several physical phenomena resulting in plasma regions with different characteristics, including a plasma region free from energetic electrons, suitable for the study of ETG turbulence. Specifically, we report that localized structures of plasma density, potential, electron temperature, and plasma turbulence are excited in the EEF plasma. It is shown that structures of electron temperature and potential are created due to energy dependence of the electron transport in the filter region. On the other hand, although structure of plasma density has origin in the particle transport but two distinct steps of the density structure emerge from dominance of collisionality in the source-EEF region and of the Bohm diffusion in the EEF-target region. It is argued and experimental evidence is provided for existence of drift like flute Rayleigh-Taylor in the EEF plasma

  8. Ionization effects and linear stability in a coaxial plasma device

    Science.gov (United States)

    Kurt, Erol; Kurt, Hilal; Bayhan, Ulku

    2009-03-01

    A 2-D computer simulation of a coaxial plasma device depending on the conservation equations of electrons, ions and excited atoms together with the Poisson equation for a plasma gun is carried out. Some characteristics of the plasma focus device (PF) such as critical wave numbers a c and voltages U c in the cases of various pressures Pare estimated in order to satisfy the necessary conditions of traveling particle densities ( i.e. plasma patterns) via a linear analysis. Oscillatory solutions are characterized by a nonzero imaginary part of the growth rate Im ( σ) for all cases. The model also predicts the minimal voltage ranges of the system for certain pressure intervals.

  9. A study on the design of hexapole in an 18-GHz ECR ion source for heavy ion accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zhan; Wei, Shaoqing; Lee, Sang Jin [Uiduk University, Gyeongju (Korea, Republic of); Choi, Suk Jin [Rare Isotope Science Project, Institute for Basic Science, Daejeon (Korea, Republic of)

    2016-06-15

    High charge state electron cyclotron resonance (ECR) ion source is important on the performance of heavy ion accelerators. In this paper, a low temperature superconductor (LTS) was used to make a hexapole coil for an 18-GHz ECR ion source. Several hexapole structures, including racetrack, graded racetrack, and saddle were implemented and analyzed for the hexapole-in-solenoid ECR ion source system. Under the appropriate radial confinement field, the smaller outer radius of hexapole can be better for the solenoid design. Saddle hexapole was selected by comparing the wire length, maximum outer radius of the hexapole, the Lorentz force at the end part of the hexapole and the maximum magnetic field in the coil. Based on saddle hexapole, a new design for hexapoles, the snake hexapole, was developed in this paper. By comparative analysis of the Lorentz force at the end part of the saddle and snake hexapoles, the snake hexapole is much better in the ECR ion source system. The suggested design for the ECR ion source with the snake hexapole is presented in this paper.

  10. Influence of the shear flow on electron cyclotron resonance plasma confinement in an axisymmetric magnetic mirror trap of the electron cyclotron resonance ion source.

    Science.gov (United States)

    Izotov, I V; Razin, S V; Sidorov, A V; Skalyga, V A; Zorin, V G; Bagryansky, P A; Beklemishev, A D; Prikhodko, V V

    2012-02-01

    Influence of shear flows of the dense plasma created under conditions of the electron cyclotron resonance (ECR) gas breakdown on the plasma confinement in the axisymmetric mirror trap ("vortex" confinement) was studied experimentally and theoretically. A limiter with bias potential was set inside the mirror trap for plasma rotation. The limiter construction and the optimal value of the potential were chosen according to the results of the preliminary theoretical analysis. This method of "vortex" confinement realization in an axisymmetric mirror trap for non-equilibrium heavy-ion plasmas seems to be promising for creation of ECR multicharged ion sources with high magnetic fields, more than 1 T.

  11. Influence of the shear flow on electron cyclotron resonance plasma confinement in an axisymmetric magnetic mirror trap of the electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Izotov, I. V.; Razin, S. V.; Sidorov, A. V.; Skalyga, V. A.; Zorin, V. G.; Bagryansky, P. A.; Beklemishev, A. D.; Prikhodko, V. V.

    2012-01-01

    Influence of shear flows of the dense plasma created under conditions of the electron cyclotron resonance (ECR) gas breakdown on the plasma confinement in the axisymmetric mirror trap (''vortex'' confinement) was studied experimentally and theoretically. A limiter with bias potential was set inside the mirror trap for plasma rotation. The limiter construction and the optimal value of the potential were chosen according to the results of the preliminary theoretical analysis. This method of ''vortex'' confinement realization in an axisymmetric mirror trap for non-equilibrium heavy-ion plasmas seems to be promising for creation of ECR multicharged ion sources with high magnetic fields, more than 1 T.

  12. Towards Coleoptera-specific high-throughput screening systems for compounds with ecdysone activity: development of EcR reporter assays using weevil (Anthonomus grandis)-derived cell lines and in silico analysis of ligand binding to A. grandis EcR ligand-binding pocket.

    Science.gov (United States)

    Soin, Thomas; Iga, Masatoshi; Swevers, Luc; Rougé, Pierre; Janssen, Colin R; Smagghe, Guy

    2009-08-01

    Molting in insects is regulated by ecdysteroids and juvenile hormones. Several synthetic non-steroidal ecdysone agonists are on the market as insecticides. These ecdysone agonists are dibenzoylhydrazine (DBH) analogue compounds that manifest their toxicity via interaction with the ecdysone receptor (EcR). Of the four commercial available ecdysone agonists, three (tebufenozide, methoxyfenozide and chromafenozide) are highly lepidopteran specific, one (halofenozide) is used to control coleopteran and lepidopteran insects in turf and ornamentals. However, compared to the very high binding affinity of these DBH analogues to lepidopteran EcRs, halofenozide has a low binding affinity for coleopteran EcRs. For the discovery of ecdysone agonists that target non-lepidopteran insect groups, efficient screening systems that are based on the activation of the EcR are needed. We report here the development and evaluation of two coleopteran-specific reporter-based screening systems to discover and evaluate ecdysone agonists. The screening systems are based on the cell lines BRL-AG-3A and BRL-AG-3C that are derived from the weevil Anthonomus grandis, which can be efficiently transduced with an EcR reporter cassette for evaluation of induction of reporter activity by ecdysone agonists. We also cloned the almost full length coding sequence of EcR expressed in the cell line BRL-AG-3C and used it to make an initial in silico 3D-model of its ligand-binding pocket docked with ponasterone A and tebufenozide.

  13. Development of the balance equations model for calculation of ion charge-state distribution in ECR ion sources

    International Nuclear Information System (INIS)

    Filippov, A.V.; Shirkov, G.D.; Consoli, F.; Gammino, S.; Ciavola, G.; Celona, L.; Barbarino, S.

    2008-01-01

    The investigation of the widespread model for the calculation of ion charge-state distributions (CSD) in electron cyclotron-resonance ion source based on the set of balance equations is given. The modification of this model that allows one to describe the confinement and accumulation processes of highly charged ions in ECR plasma for gas mixing case more precisely is discussed. The new approach for the time confinement calculation (ions and electrons) based on the theory of Pastukhov is offered, viz. - calculation of confinement times during two step minimization of special type functionals. The results obtained by this approach have been compared with available experimental data

  14. Thin low Z coatings for plasma devices

    International Nuclear Information System (INIS)

    Norem, J.; Bowers, D.A.

    1978-05-01

    Coating the walls of the vacuum chamber with beryllium or some other low Z material has been proposed as a possible means of solving the problems of high Z influx into plasmas. We attempt to demonstrate that very thin, low Z coatings are compatible with the operation of plasma devices and beneficial to plasma performance. We determine that the thickness of coating material required is only about 10 monolayers. In a radiation environment, radiation-induced solute segregation should help to maintain the integrity of such thin coatings against diffusion and other processes. We discuss the properties of these thin coatings and possible means of in situ application and maintenance. Since deposition of plasma impurities on the walls will occur anyway, we discuss injection of solid pellets into the plasma as a direct way of introducing impurities which would ultimately serve as coating material

  15. Beam acceleration in plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Antanasijevic, R.; Banjanac, R.; Dragic, A.; Maric, Z.; Stanojevic, J.; Udovicic, V. E-mail: udovicic@atom.phy.bg.ac.yu; Vukovic, J

    2001-06-01

    The proton beam emission from the small 8 kJ plasma focus device operated with the H{sub 2} filling was analyzed. Maximum energy and yield were obtained using NTD. The fast protons were registered with the energy up to 500 keV using the polycarbonate absorbers with the different thickness.

  16. Beam acceleration in plasma focus device

    International Nuclear Information System (INIS)

    Antanasijevic, R.; Banjanac, R.; Dragic, A.; Maric, Z.; Stanojevic, J.; Udovicic, V.; Vukovic, J.

    2001-01-01

    The proton beam emission from the small 8 kJ plasma focus device operated with the H 2 filling was analyzed. Maximum energy and yield were obtained using NTD. The fast protons were registered with the energy up to 500 keV using the polycarbonate absorbers with the different thickness

  17. Dual-function magnetic structure for toroidal plasma devices

    International Nuclear Information System (INIS)

    Brown, R.L.

    1978-01-01

    This invention relates to a support system wherein the iron core and yoke of the plasma current system of a tokamak plasma containment device is redesigned to support the forces of the magnet coils. The containment rings, which occupy very valuable space around the magnet coils, are utilized to serve as yokes for the core such that the conventional yoke is eliminated. The overall result is an improved aspect ratio, reduction in structure, smaller overall size, and improved access to the plasma ring

  18. ECR-based atomic collision physics research at ORNL

    International Nuclear Information System (INIS)

    Meyer, F.W.; Bannister, M.E.; Hale, J.W.; Havener, C.C.

    1997-01-01

    After a brief summary of the present capability and configuration of the ORNL Multicharged Ion Research Facility (MIRF), and of upcoming upgrades and expansions, the presently on-line atomic collisions experiments are described. In the process, the utility of intense, cw ion beams extracted from ECR ion sources for low-signal rate experiments is illustrated

  19. Innovative ion sources for accelerators: the benefits of the plasma technology

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Ciavola, G.; Celona, L.; Torrisi, L.; Ando, L.; Presti, M.; Láska, Leoš; Krása, Josef; Wolowski, J.

    2004-01-01

    Roč. 54, Suppl. C (2004), s. C883-C888 ISSN 0011-4626. [Symposium on Plasma Physics and Technology /21./. Praha, 14.06.2004-17.06.2004] R&D Projects: GA AV ČR IAA1010405 Institutional research plan: CEZ:AV0Z1010921 Keywords : plasma sources * ion sources * proton sources * ECR Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.292, year: 2004

  20. Role of thermo-physical properties on design and development of thermal plasma devices

    International Nuclear Information System (INIS)

    Ghorui, S.

    2014-01-01

    Thermal plasma devices find wide application in variety of technological areas like cutting, welding, spray coating, waste management, material processing, chemical reduction, nano-synthesis, novel material synthesis etc. Highly non-linear behavior of the plasma properties coupled with inherent instabilities, extremely high temperature, high gradients in thermal, and flow field, presence of thermal and chemical non-equilibrium make design and development of the plasma generating devices a challenging task as power levels of the devices increase

  1. Electrical characteristics of a small plasma focus device

    International Nuclear Information System (INIS)

    Choi, P.; Favre, M.; Silva, P.; Chuaqui, H.; Wyndham, E.

    1996-01-01

    Efficient plasma focus operation relies on uniform initial breakdown across the insulator surface. The basic plasma focus electric circuit is discussed in order to highlight circuit modifications that produce high voltage oscillation at the initial breakdown time. Superimposed on the main discharge voltage, such oscillating voltage has been found in real systems to enhance the initial gas breakdown by localizing the initial current path across the insulator surface. PSPICE circuit simulations are compared with electric signals from different operational plasma focus devices. (author). 3 figs., 7 refs

  2. Heavy ion beams from the new Hungarian ECR ion source

    International Nuclear Information System (INIS)

    Biri, S.; Valek, A.; Ditroi, F.; Koivisto, H.; Arje, J.; Stiebing, K.; Schmidt, L.

    1998-01-01

    The first beams of highly charged ions in Hungary were obtained in fall of 1996. The new 14.5 GHz ECR ion source of ATOMKI produced beams of multiply charged ions with remarkable intensities at first experiments. Since then, numerous further developments were carried out. An external electrondonor electrode drastically increased the plasma density and, consequently, the intensity of highly charged ions. These upgrades concentrated mainly on beams from gaseous elements and were carried out by the ECRIS team of ATOMKI. Another series of experiments - ionising from solids - however, was done in the framework of an international collaboration. The first metal ion beam has been extracted from the ECRIS in November 1997 using the known method of Metal Ions from Volatile Compounds (MIVOC). The possibility to put the MIVOC chamber inside the ion source was also tested and the dosing regulation problem of metal vapours inside the ion source was solved. As a result, beams of more than 10 μA of highly charged Fe and Ni ions were produced. (author)

  3. Numerical Experiments Providing New Insights into Plasma Focus Fusion Devices

    Directory of Open Access Journals (Sweden)

    Sing Lee

    2010-04-01

    Full Text Available Recent extensive and systematic numerical experiments have uncovered new insights into plasma focus fusion devices including the following: (1 a plasma current limitation effect, as device static inductance is reduced towards very small values; (2 scaling laws of neutron yield and soft x-ray yield as functions of storage energies and currents; (3 a global scaling law for neutron yield as a function of storage energy combining experimental and numerical data showing that scaling deterioration has probably been interpreted as neutron ‘saturation’; and (4 a fundamental cause of neutron ‘saturation’. The ground-breaking insights thus gained may completely change the directions of plasma focus fusion research.

  4. ECRH-assisted plasma start-up with toroidally inclined launch: multi-machine comparison and perspectives for ITER

    International Nuclear Information System (INIS)

    Stober, J.; Hobirk, J.; Lunt, T.; Jackson, G.L.; Hyatt, A.W.; Luce, T.; Ascasibar, E.; Cappa, A.; Bae, Y.-S.; Joung, M.; Bucalossi, J.; Casper, T.; Gribov, Y.; Cho, M.-H.; Jeong, J.-H.; Namkung, W.; Park, S.-I.; Granucci, G.; Hanada, K.; Ide, S.

    2011-01-01

    Electron cyclotron resonance heating (ECRH)-assisted plasma breakdown is foreseen with full and half magnetic field in ITER. As reported earlier, the corresponding O1- and X2-schemes have been successfully used to assist pre-ionization and breakdown in present-day devices. This contribution reports on common experiments studying the effect of toroidal inclination of the ECR beam, which is ≥20 0 in ITER. All devices could demonstrate successful breakdown assistance for this case also, although in some experiments the necessary power was almost a factor of 2 higher compared with perpendicular launch. Differences between the devices with regard to the required power and vertical field are discussed and analysed. In contrast to most of these experiments, ITER will build up loop voltage prior to the formation of the field null due to the strong shielding by the vessel. Possible consequences of this difference are discussed.

  5. Electron cyclotron resonance hydrogen/helium plasma characterization and simulation of pumping in tokamaks

    International Nuclear Information System (INIS)

    Outten, C.A.

    1992-01-01

    Electron Cyclotron Resonance (ECR) plasmas have been employed to simulate the plasma conditions at the edge of a tokamak in order to investigate hydrogen/helium uptake in thin metal films. The process of microwave power absorption, important to characterizing the ECR plasma source, was investigated by measuring the electron density and temperature with a Langmuir probe and optical spectroscopy as a function of the magnetic field gradient and incident microwave power. A novel diagnostic, carbon resistance probe, provided a direct measure of the ion energy and fluence while measurements from a Langmuir probe were used for comparison. The Langmuir probe gave a plasma potential minus floating potential of 30 ± 5 eV, in good agreement with the carbon resistance probe result of ion energy ≤ 40 eV. The measured ion energy was consistent with the ion energy predicted from a model based upon divergent magnetic field extraction. Also, based upon physical sputtering of the carbon, the hydrogen fluence rate was determined to be 1 x 10 16 /cm 2 -sec for 50 Watts of incident microwave power. ECR hydrogen/helium plasmas were used to study preferential pumping of helium in candidate materials for tokamak pump-limiters: nickel, vanadium, aluminum, and nickel/aluminum multi-layers. Nickel and vanadium exhibited similar pumping capacities whereas aluminum showed a reduced capacity due to increased sputtering. A helium retention model based upon ion implantation ranges and sputtering rates agreed with the experimental data. A new multilayer/bilayer pumping concept showed improved pumping above that for single element films

  6. Pulsed Plasma Lubrication Device and Method

    Science.gov (United States)

    Hofer, Richard R. (Inventor); Bickler, Donald B. (Inventor); D'Agostino, Saverio A. (Inventor)

    2016-01-01

    Disclosed herein is a lubrication device comprising a solid lubricant disposed between and in contact with a first electrode and a second electrode dimensioned and arranged such that application of an electric potential between the first electrode and the second electrode sufficient to produce an electric arc between the first electrode and the second electrode to produce a plasma in an ambient atmosphere at an ambient pressure which vaporizes at least a portion of the solid lubricant to produce a vapor stream comprising the solid lubricant. Methods to lubricate a surface utilizing the lubrication device in-situ are also disclosed.

  7. On the spatial behavior of background plasma in different background pressure in CPS device

    International Nuclear Information System (INIS)

    Samantaray, Subrata; Paikaray, Rita; Sahoo, Gourishankar; Das, Parthasarathi; Ghosh, Joydeep; Sanyasi, Amulya Kumar

    2015-01-01

    Blob formation and transport is a major concern for investigators as it greatly reduces the efficiency of the devices. Initial results from CPS device confirm the role of fast neutrals inside the bulk plasma in the process of blob formation and transport. 2-D simulation of curvature and velocity shear instability in plasma structures suggest that in the presence of background plasma, secondary instability do not grow non-linearly to a high level and stabilizes the flow. Adiabaticity effect also creates a radial barrier for interchange modes. In the absence of background plasma the blob fragments even at the modest level of viscosity. The fast neutrals outside bulk plasma supposed to stabilize the system. The background plasma set up is aimed at creating fast neutrals outside main plasma column, hence; the background plasma set up is done in CPS device. The spatial behavior of plasma column in between electrodes is different for different base pressure in CPS device. The spatial variation of electron temperature of plasma column between electrodes is presented in this communication. Electron temperature is measured from emission spectroscopy data. The maximum electron temperature (line averaged) is ∼ 1.5 eV. (author)

  8. Modelling RF-plasma interaction in ECR ion sources

    Directory of Open Access Journals (Sweden)

    Mascali David

    2017-01-01

    Full Text Available This paper describes three-dimensional self-consistent numerical simulations of wave propagation in magnetoplasmas of Electron cyclotron resonance ion sources (ECRIS. Numerical results can give useful information on the distribution of the absorbed RF power and/or efficiency of RF heating, especially in the case of alternative schemes such as mode-conversion based heating scenarios. Ray-tracing approximation is allowed only for small wavelength compared to the system scale lengths: as a consequence, full-wave solutions of Maxwell-Vlasov equation must be taken into account in compact and strongly inhomogeneous ECRIS plasmas. This contribution presents a multi-scale temporal domains approach for simultaneously including RF dynamics and plasma kinetics in a “cold-plasma”, and some perspectives for “hot-plasma” implementation. The presented results rely with the attempt to establish a modal-conversion scenario of OXB-type in double frequency heating inside an ECRIS testbench.

  9. Chaos control and taming of turbulence in plasma devices

    DEFF Research Database (Denmark)

    Klinger, T.; Schröder, C.; Block, D.

    2001-01-01

    Chaos and turbulence are often considered as troublesome features of plasma devices. In the general framework of nonlinear dynamical systems, a number of strategies have been developed to achieve active control over complex temporal or spatio-temporal behavior. Many of these techniques apply...... to plasma instabilities. In the present paper we discuss recent progress in chaos control and taming of turbulence in three different plasma "model" experiments: (1) Chaotic oscillations in simple plasma diodes, (2) ionization wave turbulence in the positive column of glow discharges, and (3) drift wave...

  10. Preliminary Ionization Efficiencies of 11C and 14O with the LBNL ECR Ion Sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Cerny, J.; Guo, F.Q.; Joosten, R.; Larimer, R.M.; Lyneis, C.M.; McMahan, P.; Norman, E.B.; O'Neil, J.P.; Powell, J.; Rowe, M.W.; VanBrocklin, H.F.; Wutte, D.; Xu, X.J.; Haustein, P.

    1998-01-01

    High charge states, up to fully stripped 11 C and 14 O ion, beams have been produced with the electron cyclotron resonance ion sources (LBNL, ECR and AECR-U) at Lawrence Berkeley National Laboratory. The radioactive atoms of 11 C and 14 O were collected in batch mode with an LN 2 trap and then bled into the ECR ion sources. Ionization efficiency as high as 11% for 11 C 4+ was achieved

  11. Plasma surface interactions in controlled fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Ghendrih, Ph.; Becoulet, M.; Costanzo, L. [and others

    2000-07-01

    This report brings together all the contributions of EURATOM/CEA association to the 14. international conference on plasma surface interactions in controlled fusion devices. 24 papers are presented and they deal mainly with the ergodic divertor and the first wall of Tore-supra tokamak.

  12. Plasma surface interactions in controlled fusion devices

    International Nuclear Information System (INIS)

    Ghendrih, Ph.; Becoulet, M.; Costanzo, L.

    2000-07-01

    This report brings together all the contributions of EURATOM/CEA association to the 14. international conference on plasma surface interactions in controlled fusion devices. 24 papers are presented and they deal mainly with the ergodic divertor and the first wall of Tore-supra tokamak

  13. Plasma position and shape control device for thermonuclear device

    International Nuclear Information System (INIS)

    Takeuchi, Kazuhiro; Abe, Mitsushi; Kinoshita, Shigemi.

    1993-01-01

    A plasma position and shape control system is constituted with a measuring device, a quenching probability calculation section and a control calculation section. A quenching probability is calculated in the quenching probability calculation section by using a measuring data on temperature, electric current and magnetic field of superconductive coils, based on a margin upto a limit value. The control calculation section selects a control method which decreases applied voltage or current instruction value as the quenching probability of the coils is higher. Since the quenching probability of the superconductive coils can be forecast and a state of low quenching danger can be selected, the safety of the device is improved. When the quenching danger is allowed to a predetermined value, a wide operation region can be provided. (N.H.)

  14. Microcavity Plasma Devices and Arrays Fabricated in Semiconductor, Ceramic, or Metal/polymer Structures: A New Realm of Plasma Physics and Photonics Applications

    International Nuclear Information System (INIS)

    Eden, J. G.

    2005-01-01

    Micro discharge, or microcavity plasma, is the broad term that has come to be associated with an emerging class of glow discharge devices in which the characteristic spatial dimension of the plasma is nominally ) dia. Si wafers and operated in the rare gases and Ar/N2 gas mixtures. Also, photodetection in the ultraviolet, visible and near-infrared with microplasma devices has been observed by interfacing a low temperature plasma with a semiconductor. Carbon nanotubes grown directly within the microcavity of microplasma devices improve all key performance parameters of the device, and nanoporous Al2O3 grown onto Al by wet chemical processing yields microplasma devices of exceptional stability and lifetime. The opportunities such structures offer for accessing new avenues in plasma physics and photonics will be discussed. (Author)

  15. Parallel ion flow velocity measurement using laser induced fluorescence method in an electron cyclotron resonance plasma

    International Nuclear Information System (INIS)

    Yoshimura, Shinji; Okamoto, Atsushi; Terasaka, Kenichiro; Ogiwara, Kohei; Tanaka, Masayoshi Y.; Aramaki, Mitsutoshi

    2010-01-01

    Parallel ion flow velocity along a magnetic field has been measured using a laser induced fluorescence (LIF) method in an electron cyclotron resonance (ECR) argon plasma with a weakly-diverging magnetic field. To measure parallel flow velocity in a cylindrical plasma using the LIF method, the laser beam should be injected along device axis; however, the reflection of the incident beam causes interference between the LIF emission of the incident and reflected beams. Here we present a method of quasi-parallel laser injection at a small angle, which utilizes the reflected beam as well as the incident beam to obtain the parallel ion flow velocity. Using this method, we observed an increase in parallel ion flow velocity along the magnetic field. The acceleration mechanism is briefly discussed on the basis of the ion fluid model. (author)

  16. Current and Perspective Applications of Dense Plasma Focus Devices

    Science.gov (United States)

    Gribkov, V. A.

    2008-04-01

    Dense Plasma Focus (DPF) devices' applications, which are intended to support the main-stream large-scale nuclear fusion programs (NFP) from one side (both in fundamental problems of Dense Magnetized Plasma physics and in its engineering issues) as well as elaborated for an immediate use in a number of fields from the other one, are described. In the first direction such problems as self-generated magnetic fields, implosion stability of plasma shells having a high aspect ratio, etc. are important for the Inertial Confinement Fusion (ICF) programs (e.g. as NIF), whereas different problems of current disruption phenomenon, plasma turbulence, mechanisms of generation of fast particles and neutrons in magnetized plasmas are of great interest for the large devices of the Magnetic Plasma Confinement—MPC (e.g. as ITER). In a sphere of the engineering problems of NFP it is shown that in particular the radiation material sciences have DPF as a very efficient tool for radiation tests of prospect materials and for improvement of their characteristics. In the field of broad-band current applications some results obtained in the fields of radiation material sciences, radiobiology, nuclear medicine, express Neutron Activation Analysis (including a single-shot interrogation of hidden illegal objects), dynamic non-destructive quality control, X-Ray microlithography and micromachining, and micro-radiography are presented. As the examples of the potential future applications it is proposed to use DPF as a powerful high-flux neutron source to generate very powerful pulses of neutrons in the nanosecond (ns) range of its duration for innovative experiments in nuclear physics, for the goals of radiation treatment of malignant tumors, for neutron tests of materials of the first wall, blankets and NFP device's constructions (with fluences up to 1 dpa per a year term), and ns pulses of fast electrons, neutrons and hard X-Rays for brachytherapy.

  17. Current and Perspective Applications of Dense Plasma Focus Devices

    International Nuclear Information System (INIS)

    Gribkov, V. A.

    2008-01-01

    Dense Plasma Focus (DPF) devices' applications, which are intended to support the main-stream large-scale nuclear fusion programs (NFP) from one side (both in fundamental problems of Dense Magnetized Plasma physics and in its engineering issues) as well as elaborated for an immediate use in a number of fields from the other one, are described. In the first direction such problems as self-generated magnetic fields, implosion stability of plasma shells having a high aspect ratio, etc. are important for the Inertial Confinement Fusion (ICF) programs (e.g. as NIF), whereas different problems of current disruption phenomenon, plasma turbulence, mechanisms of generation of fast particles and neutrons in magnetized plasmas are of great interest for the large devices of the Magnetic Plasma Confinement--MPC (e.g. as ITER). In a sphere of the engineering problems of NFP it is shown that in particular the radiation material sciences have DPF as a very efficient tool for radiation tests of prospect materials and for improvement of their characteristics. In the field of broad-band current applications some results obtained in the fields of radiation material sciences, radiobiology, nuclear medicine, express Neutron Activation Analysis (including a single-shot interrogation of hidden illegal objects), dynamic non-destructive quality control, X-Ray microlithography and micromachining, and micro-radiography are presented. As the examples of the potential future applications it is proposed to use DPF as a powerful high-flux neutron source to generate very powerful pulses of neutrons in the nanosecond (ns) range of its duration for innovative experiments in nuclear physics, for the goals of radiation treatment of malignant tumors, for neutron tests of materials of the first wall, blankets and NFP device's constructions (with fluences up to 1 dpa per a year term), and ns pulses of fast electrons, neutrons and hard X-Rays for brachytherapy

  18. Effect of ECR on the Learning and Memory Dysfunction of the Rats Induced by Aβ25-35 Involved in ChAT Activity

    Institute of Scientific and Technical Information of China (English)

    YANGSu-Fen; YANGZheng-Qin; LiYu; WuQin; HUANGXie-Nan; SUNAn-Sheng; ZHOUQi-Xin; SHIJing-Shan

    2004-01-01

    Objective: To explore the mechanism of Ecdysterone (ECR) in prevention of learning and memory dysfunction of the rats induced by β-amyloid peptide ( Aβ25-35 ). Methods: Ninety Wistar male rats were randomly divided into five groups, the control group, the model group, the treated groups (ECR 4mg·kg-1 and ECR 8mg·kg-1 and Nimodipine

  19. Characterisation of a micro-plasma device sensor using electrical measurements and emission spectroscopy

    International Nuclear Information System (INIS)

    Mariotti, D.

    2002-04-01

    This thesis reports on research undertaken on the characterisation of a micro-plasma device to be used for gas analysis by mean of plasma emission spectroscopy. The work covers aspects related to the micro-plasma electrical and optical emission parameters, and their importance for the utilisation of the micro-plasma device in gas analysis. Experimental results have been used to analyse the fundamental micro-plasma processes and to develop a model, which could provide additional information. This dissertation contains a general literature review of topics related to plasma physics, plasma emission spectroscopy, gas analysis (chemical analysis and artificial olfaction) and other micro-plasma applications. Experimental work focuses on two main areas: electrical measurements and emission measurements. Firstly, electrical measurements are taken and interpretations are given. Where necessary, new theoretical treatments are suggested in order to describe better the physical phenomena. Plasma emission has been considered under different working conditions. This allowed the characterisation of the micro-plasma emission and also a better understanding of the micro-plasma processes. On the basis of the experimental data obtained and other assumptions a model has been developed. A computer simulation based on this model provided additional useful information on the micro- plasma behaviour. The first fundamental implication of this new research is the peculiar behaviour of the micro-plasma. This micro-plasma exhibited deviations from Paschen law and strong dependency on cathode material, which contributed to the formation of a low current stable regime. These results have been followed by physical interpretations and theoretical descriptions. The second implication is the establishment of the boundaries and of the influencing parameters for plasma emission spectroscopy as an analytical tool in this particular micro-plasma. From the applied perspective this study has shown that

  20. 1+-n+ ECR ION SOURCE DEVELOPMENT TEST STAND

    International Nuclear Information System (INIS)

    Donald P. May

    2006-01-01

    A test stand for the investigation of 1+-n+ charge boosting using an ECR ion sources is currently being assembled at the Texas A and M Cyclotron Institute. The ultimate goal is to relate the charge-boosting of ions of stable species to possible charge-boosting of ions of radioactive species extracted from the diverse, low-charge-state ion sources developed for radioactive ion beams

  1. MAGNETIC END CLOSURES FOR PLASMA CONFINING AND HEATING DEVICES

    Science.gov (United States)

    Post, R.F.

    1963-08-20

    More effective magnetic closure field regions for various open-ended containment magnetic fields used in fusion reactor devices are provided by several spaced, coaxially-aligned solenoids utilized to produce a series of nodal field regions of uniform or, preferably, of incrementally increasing intensity separated by lower intensity regions outwardly from the ends of said containment zone. Plasma sources may also be provided to inject plasma into said lower intensity areas to increase plasma density therein. Plasma may then be transported, by plasma diffusion mechanisms provided by the nodal fields, into the containment field. With correlated plasma densities and nodal field spacings approximating the mean free partl cle collision path length in the zones between the nodal fields, optimum closure effectiveness is obtained. (AEC)

  2. Observation of high-temperature bubbles in an ECR plasma

    Science.gov (United States)

    Terasaka, K.; Yoshimura, S.; Tanaka, M. Y.

    2018-05-01

    Creation and annihilation of high-temperature bubbles have been observed in an electron cyclotron resonance plasma. The electron temperature in the bubble core is three times higher than that in the ambient region, and the size perpendicular to the magnetic field is much smaller than the plasma diameter. Formation of a bubble accompanies large negative spikes in the floating potential of a Langmuir probe, and the spatiotemporal behavior of the bubble has been visualized with a high-impedance wire grid detector. It is found that the bubble is in a prolate spheroidal shape with the axis along the magnetic field and occurs randomly in time and independently in space.

  3. ECR plasma source in a flaring magnetic field

    International Nuclear Information System (INIS)

    Meis, C.; Compant La Fontaine, A.; Louvet, P.

    1992-01-01

    The propagation and absorption of an electromagnetic wave, near the electron cyclotron zone, of a cold plasma (T e ∼ 1-5 eV) confined in a flaring magnetic field is studied. The case of both extraordinary and ordinary modes has been considered. Temperature effects and electron-neutral collisions have been taken account in the dielectric tensor

  4. Iron-fullerene mixture plasma

    International Nuclear Information System (INIS)

    Biri, S.; Fekete, E.

    2004-01-01

    can not tell at this moment whether the iron atoms are inside or outside the carbon cage. As a conclusion our experiment demonstrated that the ECR ion source generally can be used to produce mixed iron-fullerene plasma and FeC 60 molecules both in the plasma and in the beam. These results are encouraging, but at lower frequencies and with larger plasmas we expect to achieve better results. Very recently we replaced our 14.5GHz/2000W klystron based microwave generator by a variable 8-12GHz/20W TWT system. So far we studied He and Ar plasmas with this device, the investigation of fullerene plasmas follows at the beginning of 2005. Another project in the near future is the application of other methods (e.g oven) to produce the Fe component of the plasma. (author)

  5. Plasma filamentation and shock wave enhancement in microwave rockets by combining low-frequency microwaves with external magnetic field

    International Nuclear Information System (INIS)

    Takahashi, Masayuki; Ohnishi, Naofumi

    2016-01-01

    A filamentary plasma is reproduced based on a fully kinetic model of electron and ion transports coupled with electromagnetic wave propagation. The discharge plasma transits from discrete to diffusive patterns at a 110-GHz breakdown, with decrease in the ambient pressure, because of the rapid electron diffusion that occurs during an increase in the propagation speed of the ionization front. A discrete plasma is obtained at low pressures when a low-frequency microwave is irradiated because the ionization process becomes more dominant than the electron diffusion, when the electrons are effectively heated by the low-frequency microwave. The propagation speed of the plasma increases with decrease in the incident microwave frequency because of the higher ionization frequency and faster plasma diffusion resulting from the increase in the energy-absorption rate. An external magnetic field is applied to the breakdown volume, which induces plasma filamentation at lower pressures because the electron diffusion is suppressed by the magnetic field. The thrust performance of a microwave rocket is improved by the magnetic fields corresponding to the electron cyclotron resonance (ECR) and its higher-harmonic heating, because slower propagation of the ionization front and larger energy-absorption rates are obtained at lower pressures. It would be advantageous if the fundamental mode of ECR heating is coupled with a lower frequency microwave instead of combining the higher-harmonic ECR heating with the higher frequency microwave. This can improve the thrust performance with smaller magnetic fields even if the propagation speed increases because of the decrease in the incident microwave frequency.

  6. Plasma filamentation and shock wave enhancement in microwave rockets by combining low-frequency microwaves with external magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Takahashi, Masayuki, E-mail: m.takahashi@al.t.u-tokyo.ac.jp [Department of Aeronautics and Astronautics, The University of Tokyo, Bunkyo-ku 113-8656 (Japan); Ohnishi, Naofumi [Department of Aerospace Engineering, Tohoku University, Sendai 980-8579 (Japan)

    2016-08-14

    A filamentary plasma is reproduced based on a fully kinetic model of electron and ion transports coupled with electromagnetic wave propagation. The discharge plasma transits from discrete to diffusive patterns at a 110-GHz breakdown, with decrease in the ambient pressure, because of the rapid electron diffusion that occurs during an increase in the propagation speed of the ionization front. A discrete plasma is obtained at low pressures when a low-frequency microwave is irradiated because the ionization process becomes more dominant than the electron diffusion, when the electrons are effectively heated by the low-frequency microwave. The propagation speed of the plasma increases with decrease in the incident microwave frequency because of the higher ionization frequency and faster plasma diffusion resulting from the increase in the energy-absorption rate. An external magnetic field is applied to the breakdown volume, which induces plasma filamentation at lower pressures because the electron diffusion is suppressed by the magnetic field. The thrust performance of a microwave rocket is improved by the magnetic fields corresponding to the electron cyclotron resonance (ECR) and its higher-harmonic heating, because slower propagation of the ionization front and larger energy-absorption rates are obtained at lower pressures. It would be advantageous if the fundamental mode of ECR heating is coupled with a lower frequency microwave instead of combining the higher-harmonic ECR heating with the higher frequency microwave. This can improve the thrust performance with smaller magnetic fields even if the propagation speed increases because of the decrease in the incident microwave frequency.

  7. Effect of magnetic field gradient on power absorption in compact microwave plasma sources

    International Nuclear Information System (INIS)

    Dey, Indranuj; Shamim, Md.; Bhattacharjee, Sudeep

    2006-01-01

    We study the effect of the change in magnetic field gradient at the electron cyclotron resonance (ECR) point, on the generated plasma for two different cylindrical minimum B-field configurations, viz. the hexapole and the octupole. The plasma parameters such as the electron and ion density, electron temperature including the wave field characteristics (B-field and E-field) in the plasma will be measured and compared for the two configurations. (author)

  8. Advancement of highly charged ion beam production by superconducting ECR ion source SECRAL (invited)

    International Nuclear Information System (INIS)

    Sun, L.; Lu, W.; Zhang, W. H.; Feng, Y. C.; Qian, C.; Ma, H. Y.; Zhang, X. Z.; Zhao, H. W.; Guo, J. W.; Yang, Y.; Fang, X.

    2016-01-01

    At Institute of Modern Physics (IMP), Chinese Academy of Sciences (CAS), the superconducting Electron Cyclotron Resonance (ECR) ion source SECRAL (Superconducting ECR ion source with Advanced design in Lanzhou) has been put into operation for about 10 years now. It has been the main working horse to deliver intense highly charged heavy ion beams for the accelerators. Since its first plasma at 18 GHz, R&D work towards more intense highly charged ion beam production as well as the beam quality investigation has never been stopped. When SECRAL was upgraded to its typical operation frequency 24 GHz, it had already showed its promising capacity of very intense highly charged ion beam production. And it has also provided the strong experimental support for the so called scaling laws of microwave frequency effect. However, compared to the microwave power heating efficiency at 18 GHz, 24 GHz microwave heating does not show the ω 2 scale at the same power level, which indicates that microwave power coupling at gyrotron frequency needs better understanding. In this paper, after a review of the operation status of SECRAL with regard to the beam availability and stability, the recent study of the extracted ion beam transverse coupling issues will be discussed, and the test results of the both TE 01 and HE 11 modes will be presented. A general comparison of the performance working with the two injection modes will be given, and a preliminary analysis will be introduced. The latest results of the production of very intense highly charged ion beams, such as 1.42 emA Ar 12+ , 0.92 emA Xe 27+ , and so on, will be presented

  9. Developmental regulation of ecdysone receptor (EcR and EcR-controlled gene expression during pharate-adult development of honeybees (Apis mellifera.

    Directory of Open Access Journals (Sweden)

    Tathyana Rachel Palo Mello

    2014-12-01

    Full Text Available Major developmental transitions in multicellular organisms are driven by steroid hormones. In insects, these, together with juvenile hormone (JH, control development, metamorphosis, reproduction and aging, and are also suggested to play an important role in caste differentiation of social insects. Here, we aimed to determine how EcR transcription and ecdysteroid titers are related during honeybee postembryonic development and what may actually be the role of EcR in caste development of this social insect. In addition, we expected that knocking-down EcR gene expression would give us information on the participation of the respective protein in regulating downstream targets of EcR. We found that in Apis mellifera females, EcR-A is the predominantly expressed variant in postembryonic development, while EcR-B transcript levels are higher in embryos, indicating an early developmental switch in EcR function. During larval and pupal stages, EcR-B expression levels are very low, while EcR-A transcripts are more variable and abundant in workers compared to queens. Strikingly, these transcript levels are opposite to the ecdysteroid titer profile. 20-hydroxyecdysone (20E application experiments revealed that low 20E levels induce EcR expression during development, whereas high ecdysteroid titers seem to be repressive. By means of RNAi-mediated knockdown (KD of both EcR transcript variants we detected the differential expression of 234 poly-A+ transcripts encoding genes such as CYPs, MRJPs and certain hormone response genes (Kr-h1 and ftz-f1. EcR-KD also promoted the differential expression of 70 miRNAs, including highly conserved ones (e.g. miR-133 and miR-375, as well honeybee-specific ones (e.g. miR-3745 and miR-3761. Our results put in evidence a broad spectrum of EcR-controlled gene expression during postembryonic development of honeybees, revealing new facets of EcR biology in this social insect.

  10. Fundamental processes of plasma and reactive gas surface treatment for the recovery of hydrogen isotopes from carbon co-deposits in fusion devices

    International Nuclear Information System (INIS)

    Moeller, Soeren

    2014-01-01

    experiments are conducted. Sets of a-C:D layers with different thickness and structure are exposed to TCR, using O 2 and NO 2 , at temperatures of 470 to 630 K and pressures of 2 and 20 kPa to investigate the strong impact of exposure and layer properties, as predicted by the model. Plasmas produced by electron (ECR) and ion cyclotron frequencies (ICWC) are investigated with several base gases in a compact toroidal plasma device and the tokamak TEXTOR. The ion fluxes of these plasmas are investigated with Langmuir probes to allow the model comparison. Pre/Post determination of the layers allows quantifying the removal rates of the tested methods. The areal density of deuterium and carbon is determined by nuclear reaction analysis and Rutherfordbackscattering-spectrometry (NRA/RBS). Layer thicknesses are measured with ellipsometry. The experiments are conducted using well defined, high purity a-C:D layers deposited by plasmachemical-vapour-deposition from CD 4 in a specifically adapted vacuum device to be able to separate the effects of layers properties and exposure parameters. The experiments demonstrate that a 95% removal of a-C:D layers with NO 2 -TCR at 630K is possible within 3min. The model's prediction of a linear relation between the TCR rate and the co-deposits inventory is experimentally approved, validating its volume effect. The experiments with plasma removal reveal D 2 with a removal rate of 5.7±0.9 * 10 15 D/(cm 2 s) as the fastest base gas in tokamaks. Comparisons with O 2 show that the higher sputtering yield of O is counteracted by an 11-fold lower ion surface flux density, introduced by fundamental properties of O 2 . Pumping speed and partial exhaust gas pressures are identified as limiting factors for the removal rate, explaining differences to non-local observations from the literature. Furthermore, it is possible to remove O stored in surfaces in TEXTOR in, for fusion plasma operation, detrimental amounts by D 2 -ICWC. The models are in agreement with

  11. Fundamental processes of plasma and reactive gas surface treatment for the recovery of hydrogen isotopes from carbon co-deposits in fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Moeller, Soeren

    2014-11-01

    experiments are conducted. Sets of a-C:D layers with different thickness and structure are exposed to TCR, using O{sub 2} and NO{sub 2}, at temperatures of 470 to 630 K and pressures of 2 and 20 kPa to investigate the strong impact of exposure and layer properties, as predicted by the model. Plasmas produced by electron (ECR) and ion cyclotron frequencies (ICWC) are investigated with several base gases in a compact toroidal plasma device and the tokamak TEXTOR. The ion fluxes of these plasmas are investigated with Langmuir probes to allow the model comparison. Pre/Post determination of the layers allows quantifying the removal rates of the tested methods. The areal density of deuterium and carbon is determined by nuclear reaction analysis and Rutherfordbackscattering-spectrometry (NRA/RBS). Layer thicknesses are measured with ellipsometry. The experiments are conducted using well defined, high purity a-C:D layers deposited by plasmachemical-vapour-deposition from CD{sub 4} in a specifically adapted vacuum device to be able to separate the effects of layers properties and exposure parameters. The experiments demonstrate that a 95% removal of a-C:D layers with NO{sub 2}-TCR at 630K is possible within 3min. The model's prediction of a linear relation between the TCR rate and the co-deposits inventory is experimentally approved, validating its volume effect. The experiments with plasma removal reveal D{sub 2} with a removal rate of 5.7±0.9{sup *}10{sup 15} D/(cm{sup 2}s) as the fastest base gas in tokamaks. Comparisons with O{sub 2} show that the higher sputtering yield of O is counteracted by an 11-fold lower ion surface flux density, introduced by fundamental properties of O{sub 2}. Pumping speed and partial exhaust gas pressures are identified as limiting factors for the removal rate, explaining differences to non-local observations from the literature. Furthermore, it is possible to remove O stored in surfaces in TEXTOR in, for fusion plasma operation, detrimental

  12. Density profile measurements from a two-gun plasma focus device

    International Nuclear Information System (INIS)

    Tzeng, C.C.; Yen, C.K.; Yeh, T.R.; Kuo, Y.Y.; Shang, D.J.; Yu, Y.Z.; Hou, W.S.

    1990-01-01

    The dynamics of the plasma evolution in a two-gun plasma focus device has been studied using the laser shadowgraphy as well as the laser interferometry. The experiments were carried out from a 700 kJ two-gun plasma focus device reported earlier, which consisted of a pair of Mather type coaxial electrodes connected muzzle to muzzle. Previous results indicated that the simultaneous formation of the two deuterium plasma foci occurred earlier and then after ∼ 100 ns a disk-shaped plasma of ∼ 1.5 cm in diameter appeared in the middle region between the anodes. It is, therefore, the authors' goal to study the density profiles in the plasma foci and the middle region in order to understand further the formation of the plasma foci and their time evolution. The laser shadowgraphy was done with a XeCl excimer pumped dye laser system which operated at 550 nm with pulse width of ∼ 10 ns. The laser interferometry, on the other hand, was carried out using a TEA-TEA oscillator-amplifier N 2 -laser system with 337.1 nm and subnano-second pulse width. Both results show that the maximum electron density is ≥2 x 10 19 cm -3 and, in addition, the growth of the hydrodynamic instabilities are observed. These results together with the detailed density profiles are presented and discussed

  13. Advanced 65 nm CMOS devices fabricated using ultra-low energy plasma doping

    International Nuclear Information System (INIS)

    Walther, S.; Lenoble, D.; Lallement, F.; Grouillet, A.; Erokhin, Y.; Singh, V.; Testoni, A.

    2005-01-01

    For leading edge CMOS and DRAM technologies, plasma doping (PLAD) offers several unique advantages over conventional beamline implantation. For ultra-low energy source and drain extensions (SDE), source drain contact and high dose poly doping implants PLAD delivers 2-5x higher throughput compared to beamline implanters. In this work we demonstrate process performance and process integration benefits enabled by plasma doping for advanced 65 nm CMOS devices. Specifically, p + /n ultra-shallow junctions formed with BF 3 plasma doping have superior X j /R s characteristics to beamline implants and yield up to 30% lower R s for 20 nm X j while using standard spike anneal with ramp-up rate of 75 deg. C/s. These results indicate that PLAD could extend applicability of standard spike anneal by at least one technology node past 65 nm. A CMOS split lot has been run to investigate process integration advantages unique to plasma doping and to determine CMOS device characteristics. Device data measured on 65 nm transistors fabricated with offset spacers indicate that devices with SDE formed by plasma doping have superior V t roll-off characteristics arguably due to improved lateral gate-overlap of PLAD SDE junctions. Furthermore, offset spacers could be eliminated in 65 nm devices with PLAD SDE implants while still achieving V t roll-off and I on -I off performance at least equivalent to control devices with offset spacers and SDE formed by beamline implantation. Thus, another advantage of PLAD is simplified 65 nm CMOS manufacturing process flow due to elimination of offset spacers. Finally, we present process transfer from beamline implants to PLAD for several applications, including SDE and gate poly doping with very high productivity

  14. VUV emission spectroscopy diagnostics of a 14 GHz ECR negative hydrogen ion source

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, R., E-mail: duo0364@mail4.doshisha.ac.jp; Ichikawa, T.; Kasuya, T.; Wada, M. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0394 (Japan); Nishiura, M. [Graduate School of Frontier Sciences The University of Tokyo, Kashiwara, Chiba 277-8561 (Japan); Shimozuma, T. [National lnstitute for Fusion Science, Toki, Gifu 509-5292 (Japan)

    2015-04-08

    Vacuum Ultra Violet(VUV) emission from a 4 cm diameter 2 cm long compact ion source excited by 14 GHz microwave has been investigated. Intensity ratio of band spectrum emission near Ly-α to Ly-α line spectrum is determined from the measured spectrum. which shows preferential excitation of molecules near the entrance of microwave input power. The ratio does not depend strongly upon pressure nor the input microwave power when the intensity is integrated over the volume of the plasma. The spatial distribution of the spectrum intensity ratio exhibits concentrations near microwave inlet and the opposite side where the microwave matching structure is located. The ratio at these peripheral regions is about two times as high as that of the central region. The ratio increased in proportion to the ion source pressure up to about 3.0 Pa, indicating efficient production of high energy electrons by ECR up to this pressure.

  15. Construction and characterization of a plasma focus device and diagnostic test ion

    International Nuclear Information System (INIS)

    Morales Arango, Diana Marsela

    2013-01-01

    In this work we designed and built a Plasma Focus device 2kJ power in order to extend the energy range of devices designed in DPTN CCHEN and study the scaling laws type Plasma Focus Device. The operating parameters of this device are: T/ 4 =907ns, C = 8000nF, L = 42nH, E = 2kJ, lo = 276kA. In such a way to optimize the device tests were performed with various electrode configurations, insulator length, to determine the conditions under which it operates in Plasma Focus mode. Subsequent to the construction tests were performed on devices PF-400J (T /4 = 300ns, C = 880nF, L = 38nH, E = 400J, lo = 168kA) y PF-2kJ (device between the hundreds of joules and kilojoules of energy) diagnostic charged particle emission used the Faraday Cup consisting of a biased graphite collector. For a series of shots on the PF-400J and PF-2kJ operated at 27kV and 20kV respectively kinetic energy distribution of proton between 60keV-150keV were found, deuterons between 60KeV-300KeV. With the idea of optimizing the results in future diagnostic type spectrometer Thompson, spectroscopy and diffraction networks in gas mixture will be implemented

  16. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A method is described for electron beam heating of a high-density plasma to drive a fast liner. An annular or solid relativistic electron beam is used to heat a plasma to kilovolt temperatures through streaming instabilities in the plasma. Energy deposited in the plasma then converges on a fast liner to explosively or ablatively drive the liner to implosion. (U.K.)

  17. Development of KU-band waveguide break for ECR-3 ion source

    International Nuclear Information System (INIS)

    Misra, Anuraag; Prasad, R.K.; Nabhiraj, P.Y.; Mallik, C.

    2011-01-01

    This article describes the analytical design, simulation results, engineering design and testing of WR-62 waveguide break for ECR-3 ion source and it also emphasizes on the estimation of far-field radiation with the use of advanced 3D codes. (author)

  18. Design of the compact ECR ion source for heavy-ion therapy

    International Nuclear Information System (INIS)

    Muramatsu, M.; Kitagawa, A.; Sato, S.; Sato, Y.; Yamada, S.; Hattori, T.; Shibuya, S.

    1999-01-01

    Heavy ion cancer treatment is successfully being done at the Heavy Ion Medical Accelerator in Chiba (HIMAC). Design philosophy for the ion sources for medical facilities are as follows: sufficient beam intensity, a few hundred eμA; long lifetime with good stability; easy operation and easy maintenance; and compactness. In order to develop such source for future heavy-ion facilities, we have tested compact electron cyclotron resonance (ECR) ion sources using permanent magnets both for axial and radial confinement of hot electrons. Since the yield of C 2+ ion in the firstly-developed source (2.45 GHz ECR) was 15 eμA and far below the medical requirement (-150 eμA for the HIMAC), a new source has been proposed, having the frequency of 10 GHz. The extracted intensity of C 4+ (and C 2+ ) ions is expected to be higher than 200 eμA. (author)

  19. Microgan ECR ion source in a Van de Graaff accelerator terminal

    International Nuclear Information System (INIS)

    Gaubert, G.; Tasset-Maye, O.; Villari, A.C.C.; Bieth, C.; Bougy, W.; Brionne, N.; Donzel, X.; Sineau, A.; Vallerand, C.; Chaves, C.; Gamboni, T.; Geerts, W.; Giorginis, G.; Jaime Tornin, R.; Loevestam, G.; Mondelaers, W.

    2012-01-01

    The Van de Graaff accelerator at IRMM (Institute for Reference Materials and Measurements, Geel - Belgium) works since many years providing proton, deuteron and helium beams for nuclear data measurements. The original ion source was of RF type with quartz bottle. This kind of source, as well known, needs regular maintenance for which the accelerator tank must be completely opened. The heavy usage at high currents of the IRMM accelerator necessitated an opening about once every month. Recently, the full permanent magnet Microgan ECR ion source from PANTECHNIK was installed into a new terminal platform together with a solid state amplifier of 50 W, a dedicated dosing system for 4 gases (with respective gas bottles H 2 , D 2 , He and Ar), and a set of dedicated power supplies and electronic devices for the remote tuning of the source. The new system shows a very stable behaviour of the produced beam allowing running the Van de Graaff without maintenance for several months. The paper is followed by the associated poster. (authors)

  20. ECR ion source for variable energy cyclotron

    Energy Technology Data Exchange (ETDEWEB)

    Bose, D K; Taki, G S; Nabhiraj, P Y; Pal, G; Dasgupta, B; Mallik, C; Das, S K; Bandopadhaya, D K; Bhandari, R K [Variable Energy Cyclotron Centre, Calcutta (India)

    1995-09-01

    Some performance characteristics of 6.4 GHz two stage ECR ion source which was under development at this centre is presented. The present ion source will facilitate acceleration of light heavy ions with the existing k=130 variable energy cyclotron. Multiply charged heavy ion (MCHI) beam from the source will also be utilized for atomic physics studies. Oxygen beam has already been used for ion implantation studies. The external injection system under development is nearing completion. Heavy ion beam from cyclotron is expected by end of 1995. (author).

  1. Optimization of ECR-breakdown and plasma discharge formation on T-10 tokamak, using X-mode second harmonic of ECR.

    Directory of Open Access Journals (Sweden)

    Roy I.

    2012-09-01

    Full Text Available In order to obtain breakdown and suitable plasma parameters for low-voltage OH start-up, high level of EC-power was injected into T-10 tokamak. Input HF-power was varied in the range of 0.15–1.0 MW. Two HF-launcher systems with different output beams allowed to inject EC-waves with maximum power density 0.25 MW/cm2 and 0.01 MW/cm2. Dependence of breakdown time delay on HF-power was obtained. It was shown, that optimal plasma parameters were achieved in presence of plasma equilibrium currents I=3 kA (input HF-power=1.0 MW. Electron temperature Te=100÷150 eV and electron density ne=5·1012 cm−3 was measured in these discharges. These parameters remained constant during full HF-pulse-length.

  2. Field emission studies of silver nanoparticles synthesized by electron cyclotron resonance plasma

    International Nuclear Information System (INIS)

    Purohit, Vishwas; Mazumder, Baishakhi; Bhise, A.B.; Poddar, Pankaj; Joag, D.S.; Bhoraskar, S.V.

    2011-01-01

    Field emission has been studied for silver nanoparticles (25-200 nm), deposited within a cylindrical silver target in an electron cyclotron resonance (ECR) plasma. Particle size distribution was controlled by optimum biasing voltages between the chamber and the target. Presence of non-oxidized silver was confirmed from the X-Ray diffraction analysis; however, thin protective layer of oxide was identified from the selective area electron diffraction pattern obtained with transmission electron microscopy. The silver nanoparticles were seen to exhibit hilly pointed like structures when viewed under the atomic force microscopy (AFM). The emissive properties of these particles were investigated by field emission microscopy. It is found that this technique of deposition is ideal for formation of nanoparticles films on different substrate geometries with size controllability as well as its application to emission devices.

  3. Sausage instability of Z-discharged plasma channel in LIB-fusion device

    International Nuclear Information System (INIS)

    Murakami, H.; Kawata, S.; Niu, K.

    1982-07-01

    Current-carring plasma channels have been proposed for transporting intense ion beams from diodes to a target in a LIB-fusion device. In this paper, the growth rate of the most dangerous surface mode, that is, axisymmetric sausage instability is examined for the plasma channel. The growth rate is shown to be smaller than that of the plasma channel with no fluid motion in a sharp boundary. It is concluded that the stable plasma channel can be formed. (author)

  4. Model of charge-state distributions for electron cyclotron resonance ion source plasmas

    Directory of Open Access Journals (Sweden)

    D. H. Edgell

    1999-12-01

    Full Text Available A computer model for the ion charge-state distribution (CSD in an electron cyclotron resonance ion source (ECRIS plasma is presented that incorporates non-Maxwellian distribution functions, multiple atomic species, and ion confinement due to the ambipolar potential well that arises from confinement of the electron cyclotron resonance (ECR heated electrons. Atomic processes incorporated into the model include multiple ionization and multiple charge exchange with rate coefficients calculated for non-Maxwellian electron distributions. The electron distribution function is calculated using a Fokker-Planck code with an ECR heating term. This eliminates the electron temperature as an arbitrary user input. The model produces results that are a good match to CSD data from the ANL-ECRII ECRIS. Extending the model to 1D axial will also allow the model to determine the plasma and electrostatic potential profiles, further eliminating arbitrary user input to the model.

  5. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A method is described of providing electron beam heating of a high-density plasma to drive a fast liner to implode a structured microsphere. An annular relativistic electron beam is used to heat an annular plasma to kilovolt temperatures through streaming instabilities in the plasma. Energy deposited in the annular plasma then converges on a fast liner to explosively or ablatively drive the liner to convergence to implode the structured microsphere. (U.K.)

  6. Plasmas in compact traps: From ion sources to multidisciplinary research

    Science.gov (United States)

    Mascali, D.; Musumarra, A.; Leone, F.; Galatà, A.; Romano, F. P.; Gammino, S.

    2017-09-01

    In linear (minimum-B) magneto-static traps dense and hot plasmas are heated by electromagnetic radiation in the GHz domain via the Electron Cyclotron Resonance (ECR). The values of plasma density, temperature and confinement times ( n_eτ_i>10^{13} cm ^{-3} s; T_e>10 keV) are similar to the ones of thermonuclear plasmas. The research in this field -devoted to heating and confinement optimization- has been supported by numerical modeling and advanced diagnostics, for probing the plasma especially in a non-invasive way. ECR-based systems are nowadays able to produce extremely intense (tens or hundreds of mA) beams of light ions (p, d, He), and relevant currents of heavier elements (C, O, N) up to heavy ions like Xe, Pb, U. Such beams can be extracted from the trap by a proper electrostatic system. The above-mentioned properties make these plasmas very attractive for interdisciplinary researches also, such as i) nuclear decays rates measurements in stellar-like conditions, ii) energy conversion studies, being exceptional sources of short-wavelength electromagnetic radiation (EUV, X-rays, hard X-rays and gammas, useful in material science and archaeometry), iii) environments allowing precise spectroscopical measurements as benchmarks for magnetized astrophysical plasmas. The talk will give an overview about the state-of-the-art in the field of intense ion sources, and some new perspectives for interdisciplinary research, with a special attention to the developments based at INFN-LNS.

  7. dc-plasma-sprayed electronic-tube device

    Science.gov (United States)

    Meek, T.T.

    1982-01-29

    An electronic tube and associated circuitry which is produced by dc plasma arc spraying techniques is described. The process is carried out in a single step automated process whereby both active and passive devices are produced at very low cost. The circuitry is extremely reliable and is capable of functioning in both high radiation and high temperature environments. The size of the electronic tubes produced are more than an order of magnitude smaller than conventional electronic tubes.

  8. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  9. RF Plasma Source for Heavy Ion Beam Charge Neutralization

    Science.gov (United States)

    Efthimion, P. C.; Gilson, E.; Grisham, L.; Davidson, R. C.

    2003-10-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length 0.1-0.5 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 0-10 gauss. The goal is to operate the source at pressures 10-5 Torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1 Torr. Electron densities in the range of 10^8 - 10^11 cm-3 have been achieved. Recently, pulsed operation of the source has enabled operation at pressures in the 10-6 Torr range with densities of 10^11 cm-3. Near 100% ionization has been achieved. The source has been integrated with NTX and is being used in the experiments. The plasma is approximately 10 cm in length in the direction of the beam propagation. Modifications to the source will be presented that increase its length in the direction of beam propagation.

  10. PANDORA, a new facility for interdisciplinary in-plasma physics

    Science.gov (United States)

    Mascali, D.; Musumarra, A.; Leone, F.; Romano, F. P.; Galatà, A.; Gammino, S.; Massimi, C.

    2017-07-01

    PANDORA, Plasmas for Astrophysics, Nuclear Decays Observation and Radiation for Archaeometry, is planned as a new facility based on a state-of-the-art plasma trap confining energetic plasma for performing interdisciplinary research in the fields of Nuclear Astrophysics, Astrophysics, Plasma Physics and Applications in Material Science and Archaeometry: the plasmas become the environment for measuring, for the first time, nuclear decay rates in stellar-like condition (such as 7Be decay and beta-decay involved in s-process nucleosynthesis), especially as a function of the ionization state of the plasma ions. These studies will give important contributions for addressing several astrophysical issues in both stellar and primordial nucleosynthesis environment ( e.g., determination of solar neutrino flux and 7Li Cosmological Problem), moreover the confined energetic plasma will be a unique light source for high-performance stellar spectroscopy measurements in the visible, UV and X-ray domains, offering advancements in observational astronomy. As to magnetic fields, the experimental validation of theoretical first- and second-order Landé factors will drive the layout of next-generation polarimetric units for the high-resolution spectrograph of the future giant telescopes. In PANDORA new plasma heating methods will be explored, that will push forward the ion beam output, in terms of extracted intensity and charge states. More, advanced and optimized injection methods of ions in an ECR plasma will be experimented, with the aim to optimize its capture efficiency. This will be applied to the ECR-based Charge Breeding technique, that will improve the performances of the SPES ISOL-facility at Laboratori Nazionali di Legnaro-INFN. Finally, PANDORA will be suitable for energy conversion, making the plasma a source of high-intensity electromagnetic radiation, for applications in material science and archaeometry.

  11. PANDORA, a new facility for interdisciplinary in-plasma physics

    Energy Technology Data Exchange (ETDEWEB)

    Mascali, D.; Gammino, S. [INFN-Laboratori Nazionali del Sud, Catania (Italy); Musumarra, A. [INFN-Laboratori Nazionali del Sud, Catania (Italy); University of Catania, Department of Physics and Astronomy, Catania (Italy); Leone, F. [INFN-Laboratori Nazionali del Sud, Catania (Italy); University of Catania, Department of Physics and Astronomy, Catania (Italy); INAF-OACT, Catania (Italy); Romano, F.P. [INFN-Laboratori Nazionali del Sud, Catania (Italy); CNR-IBAM, Catania (Italy); Galata, A. [INFN-Laboratori Nazionali di Legnaro, Legnaro (Italy); Massimi, C. [University of Bologna, Department of Physics and Astronomy, Bologna (Italy); INFN-Bologna, Bologna (Italy)

    2017-07-15

    PANDORA, Plasmas for Astrophysics, Nuclear Decays Observation and Radiation for Archaeometry, is planned as a new facility based on a state-of-the-art plasma trap confining energetic plasma for performing interdisciplinary research in the fields of Nuclear Astrophysics, Astrophysics, Plasma Physics and Applications in Material Science and Archaeometry: the plasmas become the environment for measuring, for the first time, nuclear decay rates in stellar-like condition (such as {sup 7}Be decay and beta-decay involved in s-process nucleosynthesis), especially as a function of the ionization state of the plasma ions. These studies will give important contributions for addressing several astrophysical issues in both stellar and primordial nucleosynthesis environment (e.g., determination of solar neutrino flux and {sup 7}Li Cosmological Problem), moreover the confined energetic plasma will be a unique light source for high-performance stellar spectroscopy measurements in the visible, UV and X-ray domains, offering advancements in observational astronomy. As to magnetic fields, the experimental validation of theoretical first- and second-order Lande factors will drive the layout of next-generation polarimetric units for the high-resolution spectrograph of the future giant telescopes. In PANDORA new plasma heating methods will be explored, that will push forward the ion beam output, in terms of extracted intensity and charge states. More, advanced and optimized injection methods of ions in an ECR plasma will be experimented, with the aim to optimize its capture efficiency. This will be applied to the ECR-based Charge Breeding technique, that will improve the performances of the SPES ISOL-facility at Laboratori Nazionali di Legnaro-INFN. Finally, PANDORA will be suitable for energy conversion, making the plasma a source of high-intensity electromagnetic radiation, for applications in material science and archaeometry. (orig.)

  12. MHD instabilities and their effects on plasma confinement in the large helical device plasmas

    International Nuclear Information System (INIS)

    Toi, K.

    2002-01-01

    MHD stability of NBI heated plasmas and impacts of MHD modes on plasma confinement are intensively studied in the Large Helical Device (LHD). Three characteristic MHD instabilities were observed, that is, (1) pressure driven modes excited in the plasma edge, (2) pressure driven mode in the plasma core, and (3) Alfven eigenmodes (AEs) driven by energetic ions. MHD mode excited in the edge region accompanies multiple satellites, and is called Edge Harmonic Modes (EHMs). EHM sometimes has a bursting character. The bursting EHM transiently decreases the stored energy by about 15 percent. In the plasma core region, m=2/n=1 pressure driven mode is typically destabilized. The mode often induces internal collapse in the higher beta regime more than 1 percent. The internal collapse appreciably affects the global confinement. Energetic ion driven AEs are often detected in NBI-heated LHD plasmas. Particular AE with the frequency 8-10 times larger than TAE-frequency was detected in high beta plasmas more than 2 percent. The AE may be related to helicity-induced AE. Excitation of these three types of MHD instabilities and their impacts on plasma confinement are discussed. (author)

  13. Status of the high current permanent magnet 2.45 GHz ECR ion source at Peking University

    International Nuclear Information System (INIS)

    Peng, S.X.; Song, Z.Z.; Yu, J.X.; Ren, H.T.; Zhang, M.; Yuan, Z.X.; Lu, P.N.; Zhao, J.; Chen, J.E.; Guo, Z.Y.; Lu, Y.R.

    2012-01-01

    Several compact 2.45 GHz Electron Cyclotron Resonance Ion Sources (ECRIS) have been developed at Peking University for ion implantation, for the Separated Function Radio Frequency Quadrupole project (SFRFQ) and for the Peking University Neutron Imaging Facility project (PKUNIFTY). Studies on 2.45 GHz ECR ion sources are concentrated on methods of microwave coupling and microwave window design, magnetic field generation and configuration, as well as the extraction electrodes structure. Investigation also covers the influence of the size of plasma chamber on the discharge efficiency and species factor. Up to now, our sources have produced 25 mA of O + ions, 40 mA of He + ions, 10 mA of N + ions, 100 mA of H + ions and 83 mA of D + ions, respectively. The paper is followed by the slides of the presentation. (authors)

  14. Plasma device

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A relativistic electron beam generator or accelerator produces a high-voltage electron beam which is modulated to initiate electron bunching within the beam which is then applied to a high-density target plasma which typically comprises DT, DD, or similar thermonuclear gas at a density of 10 17 to 10 20 electrons per cubic centimeter. As a result, relativistic streaming instabilities are initiated within the high-density target plasma causing the relativistic electron beam to efficiently deposit its energy into a small localized region of the high-density plasma target. The high-temperature plasma can be used to heat a high Z material to generate radiation. Alternatively, a tunable radiation source is produced by using a moderate Z gas or a mixture of high Z and low Z gas as the target plasma. (author)

  15. Preriminary operation results of JAERI ECR ion source OCTOPUS

    International Nuclear Information System (INIS)

    Yokota, W.; Arakawa, K.; Tachikawa, T.; Satoh, T.; Dupont, C.; Jongen, Y.

    1990-01-01

    An ECR ion source, new OCTOPUS, was built for and AVF cyclotron of the Japan Atomic Energy Research Institute, Takasaki. The design of this source is almost identical to the first built OCTOPUS, except for the RF frequency for the 2nd stage. The first operation of the new OCTOPUS was performed. High intensity of X-ray leakage was measured outside the lead shield wall of the source. (author)

  16. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  17. Pulsed x-ray generation from a plasma focus device

    International Nuclear Information System (INIS)

    Zambra, M; Bruzzone, H; Sidelnikov, Y; Kies, W; Moreno, C; Sylvester, G; Silva, P; Moreno, J; Soto, L

    2003-01-01

    Dynamical pinches coupled to electrodes like the dense Z-pinch or the dense plasma focus have been intensively studied in the last four decades for their high fusion efficiency and their application potential. Though the expectations of the eighties of the last century, scaling these pinches up to fusion reactors, did not come true, the development of fast and powerful experiments resulted in new insights in pinch physics and paved the way for developing compact dynamical pinches as pulsed neutron and X-radiation sources for many applications. There is a permanent and growing interest in the research community for understanding and determining the generation properties of X-rays, neutrons and charged particles emitted from a high-temperature high-density plasmas, especially in the plasma focus configuration. The Plasma Physics and Plasma Technology Group of the CCHEN has developed the SPEED4 fast-plasma focus device, in collaboration with the Plasma Physics Group of the Dusseldorf University, in order to perform experimental studies such as X-ray and neutron emission, and electron and ion beam characterization (author)

  18. Surface ionization wave in a plasma focus-like model device

    International Nuclear Information System (INIS)

    Yordanov, V; Blagoev, A; Ivanova-Stanik, I; Veldhuizen, E M van; Nijdam, S; Dijk, J van; Mullen, J J A M van der

    2008-01-01

    A numerical particle in cell-Monte Carlo model of the breakdown in the plasma focus device simulates the development of an ionization wave sliding along the insulator. In order to validate this model a planar model device is created. The pictures of the discharges taken by a fast optical camera show that we have qualitative agreement between the model and the experimental observations.

  19. Surface ionization wave in a plasma focus-like model device

    Energy Technology Data Exchange (ETDEWEB)

    Yordanov, V; Blagoev, A [Faculty of Physics, University of Sofia, 5 James Bourchier Blvd, BG-1164, Sofia (Bulgaria); Ivanova-Stanik, I [IPPLM, 23 Hery St, PO Box 49, PL-00-908 Warsaw (Poland); Veldhuizen, E M van; Nijdam, S; Dijk, J van; Mullen, J J A M van der [Department of Applied Physics, Eindhoven University of Technology, PO Box 513, 5600 MB Eindhoven (Netherlands)], E-mail: v.yordanov@phys.uni-sofia.bg

    2008-11-07

    A numerical particle in cell-Monte Carlo model of the breakdown in the plasma focus device simulates the development of an ionization wave sliding along the insulator. In order to validate this model a planar model device is created. The pictures of the discharges taken by a fast optical camera show that we have qualitative agreement between the model and the experimental observations.

  20. Production of radioisotopes within a plasma focus device

    International Nuclear Information System (INIS)

    Angeli, E.; Tartari, A.; Frignani, M.; Molinari, V.; Mostacci, D.; Rocchi, F.; Sumini, M. . E-mail address of corresponding author: domiziano.mostacci@mail.ing.unibo.it

    2005-01-01

    In recent years, research conducted in the US and in Italy has demonstrated production of radioisotopes in Plasma Focus (PF) devices, and particularly, on what could be termed 'endogenous' production, to wit, production within the plasma itself, as opposed to irradiation of targets. This technique relies on the formation of localized small plasma zones characterized by very high densities and fairly high temperatures. The conditions prevailing in these zones lead to high nuclear reaction rates, as pointed out in previous work by several authors. Further investigation of the cross sections involved has proven necessary to model the phenomena involved. In this paper, the present status of research in this field is reviewed, both with regards to cross section models and to experimental production of radioisotopes. Possible outcomes and further development are discussed. (author)

  1. Construction of control and instrumentation devices of high voltage power supply of double chamber plasma nitrogen

    International Nuclear Information System (INIS)

    Saminto; Eko Priyono; Sugeng Riyanto

    2013-01-01

    A control and instrumentation devices of high voltage power supply of double chamber plasma nitrogen have been made. This device consists of the software and hardware component. Hardware component consists of SCR phase angle controller LPC-50HDA type, T100MD1616+ PLC, high voltage transformer and voltage rectifier system. Software component used a LADDER program and TBasic serves to control of the high voltage output. The components in these devices have been tested in the double chamber plasma nitrogen. Its performance meet with the design criteria that can supply of plasma nitrogen operation voltage in the range 290 Vdc to 851 Vdc with glow discharge current 0.4 A to 1.4 A. In general it can be said that the control and instrumentation devices of high voltage power supply is ready for use at the double chamber plasma nitrogen device. (author)

  2. A superconducting RFQ for an ECR injector

    International Nuclear Information System (INIS)

    Ben-Zvi, I.

    1988-01-01

    The beam dynamics and resonator properties of a superconducting radio-frequency quadrupole (RFQ) for heavy ions are discussed. The motivation is its use as a very low velocity section following an electron cyclotron resonance (ECR) source for injection into a superconducting heavy-ion linac. The constraints on the design and performance of this accelerating structure are presented. Expressions for a limiting stable phase angle and longitudinal and transverse acceptance are derived. A numerical example is given, using the SUNYLAC linac at Sony Stony Brook. Beam-dynamics calculations with PARMTEQ are reported, verifying the theoretical beam-dynamics calculations. (author) 12 refs., 1 tab

  3. Critical plasma-wall interaction issues for plasma-facing materials and components in near-term fusion devices

    International Nuclear Information System (INIS)

    Federici, G.; Coad, J.P.; Haasz, A.A.; Janeschitz, G.; Noda, N.; Philipps, V.; Roth, J.; Skinner, C.H.; Tivey, R.; Wu, C.H.

    2000-01-01

    The increase in pulse duration and cumulative run-time, together with the increase of the plasma energy content, will represent the largest changes in operation conditions in future fusion devices such as the International Thermonuclear Experimental Reactor (ITER) compared to today's experimental facilities. These will give rise to important plasma-physics effects and plasma-material interactions (PMIs) which are only partially observed and accessible in present-day experiments and will open new design, operation and safety issues. For the first time in fusion research, erosion and its consequences over many pulses (e.g., co-deposition and dust) may determine the operational schedule of a fusion device. This paper identifies the most critical issues arising from PMIs which represent key elements in the selection of materials, the design, and the optimisation of plasma-facing components (PFCs) for the first-wall and divertor. Significant advances in the knowledge base have been made recently, as part of the R and D supporting the engineering design activities (EDA) of ITER, and some of the most relevant data are reviewed here together with areas where further R and D work is urgently needed

  4. Tailoring of materials by atomic oxygen from ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, Munzer; Bhoraskar, S.V.

    2002-01-01

    Full text: An intense source of oxygen finds important applications in many areas of science, technology and industry. It has been successfully used for surface activation and cleaning in the electronic, chemical and automotive industries. Atomic oxygen and interaction with materials have also a significant importance in space science and technology. This paper describes the detailed studies related to the surface modification and processing of different materials, which include metals and polymers by atomic oxygen produced in microwave assisted electron cyclotron resonance plasma. The energy distribution of ions was measured as a function of plasma parameters and density measurements were supplemented by catalytic probe using nickel and oxidation of silver surface

  5. REVIEW OF THE 11TH INTERNATIONAL WORKSHOP ON ECR ION SOURCES

    NARCIS (Netherlands)

    DRENTJE, AG

    At the Workshop, the operation of various new and existing ECR ion sources was reported, with most of the emphasis on new methods to improve the performance and extend the variety of species. Much attention was paid to theoretical aspects, in particular to the basic question of electron heating; a

  6. Experimental investigation on electrical characteristics and dose measurement of dielectric barrier discharge plasma device used for therapeutic application.

    Science.gov (United States)

    Shahbazi Rad, Zahra; Abbasi Davani, Fereydoun

    2017-04-01

    In this research, a Dielectric Barrier Discharge (DBD) plasma device operating in air has been made. The electrical characteristics of this device like instantaneous power, dissipated power, and discharge capacitance have been measured. Also, the effects of applied voltage on the dissipated power and discharge capacitance of the device have been investigated. The determination of electrical parameters is important in DBD plasma device used in living tissue treatment for choosing the proper treatment doses and preventing the destructive effects. The non-thermal atmospheric pressure DBD plasma source was applied for studying the acceleration of blood coagulation time, in vitro and wound healing time, in vivo. The citrated blood drops coagulated within 5 s treatment time by DBD plasma. The effects of plasma temperature and electric field on blood coagulation have been studied as an affirmation of the applicability of the constructed device. Also, the effect of constructed DBD plasma on wound healing acceleration has been investigated.

  7. Measurements of ODAK-3K plasma device using plastic track detectors

    International Nuclear Information System (INIS)

    2010-01-01

    In this study, some testing experiments on the fusion researches with a new-constructed plasma focus (PF) device, namely ODAK-3K are reported. The device has a maximal energy input of 3 kJ and is used for both plasma and D D reaction explorations. Experiments with deuterium have shown that peak current of I p eak=39 kA flows between the electrodes at P=11.5 mbar for the operation voltage of V=14 kV. Average total neutron yield is measured around 3.3x10 5 neutrons per shot using CR-39 plastic detectors located opposite the anode inside the PF chamber

  8. Simulation of photons from plasmas for the applications to display devices

    Science.gov (United States)

    Lee, Hae June; Yoon, Hyun Jin; Lee, Jae Koo

    2007-07-01

    Numerical modeling of the photon transport of the ultraviolet (UV) and the visible lights are presented for plasma based display devices. The transport of UV lights which undergo resonance trapping by ground state atoms is solved by using the Holstein equation. After the UV lights are transformed to visible lights at the phosphor surfaces, the visible lights experience complicated traces inside the cell and finally are emitted toward the viewing window after having some power loss within the cell. A three-dimensional ray trace of the visible lights is calculated with a radiosity model. These simulations for the photons strengthen plasma discharge modeling for the application to display devices.

  9. An investigation of the plasma behaviour in a Filippov type plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Mahabadi, T D [Plasma Physics Research Center, I.A.U, PO Box 14665-678, Tehran (Iran, Islamic Republic of); Tafreshi, M A [School of Plasma Physics and Nuclear Fusion, Institute of Nuclear Science and Technology, AEOI, PO Box 14155-1339, Tehran (Iran, Islamic Republic of)

    2007-09-15

    Plasma behaviour in the 90 kJ Filippov type plasma focus (PF) device Dena, is studied both experimentally and theoretically. The latest experimental data obtained by the use of the Dena facility are presented. Then the experimental data are compared with the simulated data obtained through the ML model. This study shows that the ML model, to a good extent, is capable of predicting the plasma behaviour in the Filippov type PF. The experimental and the theoretical results show that the increment of the discharge voltage leads to an almost linear decrement of the pinch time. It is also shown that the increment of the pressure leads to a decrement of the current sheath expansion velocity. Finally, a semiempirical method for determination of the permitted values of the current efficiency factor and the mass shedding factor is presented.

  10. An evolutionary conserved region (ECR in the human dopamine receptor D4 gene supports reporter gene expression in primary cultures derived from the rat cortex

    Directory of Open Access Journals (Sweden)

    Haddley Kate

    2011-05-01

    Full Text Available Abstract Background Detecting functional variants contributing to diversity of behaviour is crucial for dissecting genetics of complex behaviours. At a molecular level, characterisation of variation in exons has been studied as they are easily identified in the current genome annotation although the functional consequences are less well understood; however, it has been difficult to prioritise regions of non-coding DNA in which genetic variation could also have significant functional consequences. Comparison of multiple vertebrate genomes has allowed the identification of non-coding evolutionary conserved regions (ECRs, in which the degree of conservation can be comparable with exonic regions suggesting functional significance. Results We identified ECRs at the dopamine receptor D4 gene locus, an important gene for human behaviours. The most conserved non-coding ECR (D4ECR1 supported high reporter gene expression in primary cultures derived from neonate rat frontal cortex. Computer aided analysis of the sequence of the D4ECR1 indicated the potential transcription factors that could modulate its function. D4ECR1 contained multiple consensus sequences for binding the transcription factor Sp1, a factor previously implicated in DRD4 expression. Co-transfection experiments demonstrated that overexpression of Sp1 significantly decreased the activity of the D4ECR1 in vitro. Conclusion Bioinformatic analysis complemented by functional analysis of the DRD4 gene locus has identified a a strong enhancer that functions in neurons and b a transcription factor that may modulate the function of that enhancer.

  11. Improvement of ITO properties in green-light-emitting devices by using N2:O2 plasma treatment

    Science.gov (United States)

    Jeon, Hyeonseong; Kang, Seongjong; Oh, Hwansool

    2016-01-01

    Plasma treatment reduces the roughness of the indium-tin-oxide (ITO) interface in organic light emitting diodes (OLEDs). Oxygen gas is typically used in the plasma treatment of conventional OLED devices. However, in this study, nitrogen and oxygen gases were used for surface treatment to improve the properties of ITO. To investigate the improvements resulting from the use of nitrogen and oxygen plasma treatment, fabricated green OLED devices. The device's structure was ITO (600 Å) / α-NPD (500 Å) / Alq3:NKX1595 (400 Å:20 Å,5%) / LiF / Al:Li (10 Å:1000 Å). The plasma treatment was performed in a capacitive coupled plasma (CCP) type plasma treatment chamber similar to that used in the traditional oxygen plasma treatment. The results of this study show that the combined nitrogen/oxygen plasma treatment increases the lifetime, current density, and brightness of the fabricated OLED while decreasing the operating voltage relative to those of OLEDs fabricated using oxygen plasma treatment.

  12. Preliminary investigation on the use of low current pulsed power Z-pinch plasma devices for the study of early stage plasma instabilities

    Science.gov (United States)

    Kaselouris, E.; Dimitriou, V.; Fitilis, I.; Skoulakis, A.; Koundourakis, G.; Clark, E. L.; Chatzakis, J.; Bakarezos, Μ; Nikolos, I. K.; Papadogiannis, N. A.; Tatarakis, M.

    2018-01-01

    This article addresses key features for the implementation of low current pulsed power plasma devices for the study of matter dynamics from the solid to the plasma phase. The renewed interest in such low current plasma devices lies in the need to investigate methods for the mitigation of prompt seeding mechanisms for the generation of plasma instabilities. The low current when driven into thick wires (skin effect mode) allows for the simultaneous existence of all phases of matter from solid to plasma. Such studies are important for the concept of inertial confinement fusion where the mitigation of the instability seeding mechanisms arising from the very early moments within the target’s heating is of crucial importance. Similarly, in the magnetized liner inertial fusion concept it is an open question as to how much surface non-uniformity correlates with the magneto-Rayleigh-Taylor instability, which develops during the implosion. This study presents experimental and simulation results, which demonstrate that the use of low current pulsed power devices in conjunction with appropriate diagnostics can be important for studying seeding mechanisms for the imminent generation of plasma instabilities in future research.

  13. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  14. Hydrogen Recovery by ECR Plasma Pyrolysis of Methane, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Development of a microgravity and hypogravity compatible microwave plasma methane pyrolysis reactor is proposed to recover hydrogen which is lost as methane in the...

  15. In-liquid plasma devices and methods of use thereof

    KAUST Repository

    Cha, Min; Hamdan, Ahmad Bassam

    2017-01-01

    Devices and methods for generating a plasma in a liquid are provided. A low- dielectric material can be placed in contact with the liquid to form an interface a distance from an anode. A voltage can be applied across the anode and a cathode

  16. Microwave Excitation In ECRIS plasmas

    International Nuclear Information System (INIS)

    Ciavola, G.; Celona, L.; Consoli, F.; Gammino, S.; Maimone, F.; Barbarino, S.; Catalano, R. S.; Mascali, D.; Tumino, L.

    2007-01-01

    A number of phenomena related to the electron cyclotron resonance ion sources (ECRIS) has been better understood recently by means of the improvement of comprehension of the coupling mechanism between microwave generators and ECR plasma. In particular, the two frequency heating and the frequency tuning effect, that permit a remarkable increase of the current for the highest charge states ions, can be explained in terms of modes excitation in the cylindrical cavity of the plasma chamber. Calculations based on this theoretical approach have been performed, and the major results will be presented. It will be shown that the electric field pattern completely changes for a few MHz frequency variations and the changes in ECRIS performances can be correlated to the efficiency of the power transfer between electromagnetic field and plasma

  17. Ca-48 handling for a cyclotron ECR ion source to produce highly intense ion beams

    International Nuclear Information System (INIS)

    Lebedev, V.Ya.; Bogomolov, S.L.; Dmitriev, S.N.; Kutner, V.B.; Shamanin, A.N.; Yakushev, A.B.

    2002-01-01

    Production of highly intense ion beams of 48 Ca is one of the main tasks in experiments carried out within the framework of the synthesis of new superheavy elements. 48 Ca is very rare and expensive isotope, therefore there is necessity to reach the high intensity of ion beams of the isotope at a low consumption rate. Analysis and our preliminary experiments have showed that the best way of producing highly intense calcium ion beams is evaporation of metallic calcium in an ECR ion source. So we have developed a technique of metallic 48 Ca production by reducing CaO (this chemical form is available at the market with 40-80% of 48 Ca ) with aluminium powder. We used two tantalum crucibles: a larger, with a mixture of CaO + Al heated up to 1250 deg C, which was connected to the smaller (2 mm I.D. and 30 mm long) in which calcium vapour condensed. The temperature distribution in the small crucible was about 50 deg C at the bottom and about 500 deg C in the middle of the crucible. The pressure inside of the set-up was between 0.1 and 1 Pa. The production rate of metallic 48 Ca was 10-20 mg/h. The crucible with the condensed metallic Ca in argon atmosphere was transferred to the ECR-4M ion source, where it was inserted in a wired tubular oven and the calcium evaporation was controlled through the oven power supply. The application of metallic 48 Ca as the working substance for the ECR-4M ion source of the U-400 cyclotron of allowed us to approach a stable high intensity of 48 Ca ion beams: the intensities for the internal and external beams were 10 13 c -1 and 3.10 12 c -1 , respectively, at a consumption rate about 0.4 mg/h. A technique was developed for the reclamation of 48 Ca from the residue inside of the large crucible and from the inner parts of the ECR ion source. Extracting Ca from the inner parts of the ion source enabled us to save up to some 25% of the calcium used in the ECR ion source, so that the actual consumption rate was about 0.3 mg/h at the highest 48

  18. Simulation of the electromagnetic field in a cylindrical cavity of an ECR ions source

    Science.gov (United States)

    Estupiñán, A.; Orozco, E. A.; Dugar-Zhabon, V. D.; Murillo Acevedo, M. T.

    2017-12-01

    Now there are numerous sources for multicharged ions production, each being designed for certain science or technological objectives. Electron cyclotron resonance ion sources (ECRIS) are best suited for designing heavy ion accelerators of very high energies, because they can generate multicharged ion beams at relatively great intensities. In these sources, plasma heating and its confinement are effected predominantly in minimum-B magnetic traps, this type of magnetic trap consist of two current coils used for the longitudinal magnetic confinement and a hexapole system around the cavity to generate a transversal confinement of the plasma. In an ECRIS, the electron cyclotron frequency and the microwave frequency are maintained equal on a quasi-ellipsoidal surface localized in the trap volume. It is crucial to heat electrons to energies sufficient to ionize K- and L-levels of heavy atoms. In this work, we present the preliminary numerical results concerning the space distribution of TE 111 microwave field in a cylindrical cavity. The 3D microwave field is calculated by solving the Maxwell equations through the Yee’s method. The magnetic field of minimum-B configuration is determined using the Biot-Savart law. The parameters of the magnetic system are that which guarantee the ECR surface location in a zone of a reasonably high microwave tension. Additionally, the accuracy of electric and magnetic fields calculations are checked.

  19. Operational results and development of the E.C.R. sources and the injector into CYCLONE

    International Nuclear Information System (INIS)

    Bol, J.L.; Jongen, Y.; Lacroix, M.; Mathy, F.; Ryckewaert, G.

    1985-01-01

    A large superconducting ECR-source (ECREVIS) has been producing high charge state ions up to Xe 27+ for injection into CYCLONE and as a stand alone source for atomic physics for over two years now. An improved analysing system has been installed increasing greatly the acceptance without harming the resolution. Operational results of transmission and realistic charge state distributions are reported. The design of a more compact ECR-source, to be called OCTOPUS and using water cooled copper solenoids, an open permanent magnet octupole structure and an iron yoke is presented. This source will replace ECREVIS and it is expected to have better performance and to be more reliable and economical to operate

  20. Measurements of line-averaged electron density of pulsed plasmas using a He-Ne laser interferometer in a magnetized coaxial plasma gun device

    Science.gov (United States)

    Iwamoto, D.; Sakuma, I.; Kitagawa, Y.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    In next step of fusion devices such as ITER, lifetime of plasma-facing materials (PFMs) is strongly affected by transient heat and particle loads during type I edge localized modes (ELMs) and disruption. To clarify damage characteristics of the PFMs, transient heat and particle loads have been simulated by using a plasma gun device. We have performed simulation experiments by using a magnetized coaxial plasma gun (MCPG) device at University of Hyogo. The line-averaged electron density measured by a He-Ne interferometer is 2x10^21 m-3 in a drift tube. The plasma velocity measured by a time of flight technique and ion Doppler spectrometer was 70 km/s, corresponding to the ion energy of 100 eV for helium. Thus, the ion flux density is 1.4x10^26 m-2s-1. On the other hand, the MCPG is connected to a target chamber for material irradiation experiments. It is important to measure plasma parameters in front of target materials in the target chamber. In particular, a vapor cloud layer in front of the target material produced by the pulsed plasma irradiation has to be characterized in order to understand surface damage of PFMs under ELM-like plasma bombardment. In the conference, preliminary results of application of the He-Ne laser interferometer for the above experiment will be shown.

  1. Method of controlling plasma discharge in a thermonuclear device

    International Nuclear Information System (INIS)

    Kawasaki, Kozo; Ishida, Takayuki; Takemaru, Koichi; Kawasaki, Takahide.

    1982-01-01

    Purpose: To prolong the plasma discharging period by previously increasing the temperature at the thick portion of a vacuum container prior to the plasma discharge to thereby decrease the temperature difference caused by the plasma discharge between the thick portion and the bellows. Method: Temperature values at the outer surface of the thick portion and the bellows of a vacuum container detected by temperature sensors are applied to the input processing section of a temperature control device, and baking control is carried out by way of the output processing section so that each of the portions of the vacuum container may be maintained at the temperature set by the temperature setting section based on the calculation performed in the control processing section. By previously increasing the temperature β at the thick portion higher by about 100 0 C than the temperature α for the bellows in the baking treatment prior to the plasma discharge, the plasma discharge period during which the temperature levels at both of the portions are reversed after the plasma discharge and the temperature difference arrives at a predetermined level i.g., of 100 0 C can significantly be prolonged as compared with the case where the plasma discharge is started at the same temperature for both of the portions. (Yoshino, Y.)

  2. Development of the reactor lithium ampoule device for research of spectral-luminescent characteristics of nuclear-excited plasma

    Energy Technology Data Exchange (ETDEWEB)

    Batyrbekov, E.G. [National Nuclear Center of RK, Kurchatov (Kazakhstan); Gordienko, Yu. N., E-mail: gordienko@nnc.kz [National Nuclear Center of RK, Kurchatov (Kazakhstan); Ponkratov, Yu. V. [National Nuclear Center of RK, Kurchatov (Kazakhstan); Khasenov, M.U. [PI “National Laboratory Astana”, Astana (Kazakhstan); Tazhibayeva, I.L.; Barsukov, N.I.; Kulsartov, T.V.; Zaurbekova, Zh. A.; Tulubayev, Ye. Yu.; Skakov, M.K. [National Nuclear Center of RK, Kurchatov (Kazakhstan)

    2017-04-15

    Highlights: • The development procedure of the ampoule device for experiments with nuclear-excited plasma under neutron irradiation is described. • The methods of nuclear reactions’ energy conversion into the energy of optical radiation of nuclear-excited plasma are presented. • A scheme of reactor experiments, the experimental facility and experimental device to carry out the reactor experiments are considered. - Abstract: This paper describes the development procedure of the reactor ampoule device to perform the experiments on study of spectral luminescence characteristics of nuclear-excited plasma formed by products of {sup 6}Li(n,α){sup 3}H reaction under neutron irradiation at the IVG.1 M research reactor. The methods of nuclear reactions’ energy conversion into the energy of optical radiation of nuclear-excited plasma are presented. A scheme of reactor experiments, the experimental facility and experimental device to carry out the reactor experiments are considered in paper. The designed ampoule device is totally meets the requirements of irradiation experiments on the IVG.1M reactor.

  3. Electro-mechanical probe positioning system for large volume plasma device

    Science.gov (United States)

    Sanyasi, A. K.; Sugandhi, R.; Srivastava, P. K.; Srivastav, Prabhakar; Awasthi, L. M.

    2018-05-01

    An automated electro-mechanical system for the positioning of plasma diagnostics has been designed and implemented in a Large Volume Plasma Device (LVPD). The system consists of 12 electro-mechanical assemblies, which are orchestrated using the Modbus communication protocol on 4-wire RS485 communications to meet the experimental requirements. Each assembly has a lead screw-based mechanical structure, Wilson feed-through-based vacuum interface, bipolar stepper motor, micro-controller-based stepper drive, and optical encoder for online positioning correction of probes. The novelty of the system lies in the orchestration of multiple drives on a single interface, fabrication and installation of the system for a large experimental device like the LVPD, in-house developed software, and adopted architectural practices. The paper discusses the design, description of hardware and software interfaces, and performance results in LVPD.

  4. External circuit integration with electromagnetic particle in cell modeling of plasma focus devices

    International Nuclear Information System (INIS)

    Seng, Y. S.; Lee, P.; Rawat, R. S.

    2015-01-01

    The pinch performance of a plasma focus (PF) device is sensitive to the physical conditions of the breakdown phase. It is therefore essential to model and study the initial phase in order to optimize device performance. An external circuit is self consistently coupled to the electromagnetic particle in cell code to model the breakdown and initial lift phase of the United Nations University/International Centre for Theoretical Physics (UNU-ICTP) plasma focus device. Gas breakdown during the breakdown phase is simulated successfully, following a drop in the applied voltage across the device and a concurrent substantial rise in the circuit current. As a result, the plasma becomes magnetized, with the growing value of the magnetic field over time leading to the gradual lift off of the well formed current sheath into the axial acceleration phase. This lifting off, with simultaneous outward sheath motion along the anode and vertical cathode, and the strong magnetic fields in the current sheath region, was demonstrated in this work, and hence validates our method of coupling the external circuit to PF devices. Our method produces voltage waveforms that are qualitatively similar to the observed experimental voltage profiles of the UNU-ICTP device. Values of the mean electron energy before and after voltage breakdown turned out to be different, with the values after breakdown being much lower. In both cases, the electron energy density function turned out to be non-Maxwellian

  5. Divertor, thermonuclear device and method of neutralizing high temperature plasma

    International Nuclear Information System (INIS)

    Ikegami, Hideo.

    1995-01-01

    The thermonuclear device comprises a thermonuclear reactor for taking place fusion reactions to emit fusion plasmas, and a divertor made of a hydrogen occluding material, and the divertor is disposed at a position being in contact with the fusion plasmas after nuclear fusion reaction. The divertor is heated by fusion plasmas after nuclear fusion reaction, and hydrogen is released from the hydrogen occluding material as a constituent material. A gas blanket is formed by the released hydrogen to cool and neutralize the supplied high temperature nuclear fusion plasmas. This prevents the high temperature plasmas from hitting against the divertor, elimination of the divertor by melting and evaporation, and solve a problem of processing a divertor activated by neutrons. In addition, it is possible to utilize hydrogen isotopes of fuels effectively and remove unnecessary helium. Inflow of impurities from out of the system can also be prevented. (N.H.)

  6. Design and development of a LIBS system on linear plasma device PSI-2 for in situ real-time diagnostics of plasma-facing materials

    Directory of Open Access Journals (Sweden)

    X. Jiang

    2017-08-01

    Full Text Available Laser induced breakdown spectroscopy (LIBS is a strong candidate for detecting and monitoring the H/D/T content on the surface of plasma facing components (PFCs due to its capability of fast direct in situ measurement in extreme environment (e.g., vacuum, magnetic field, long distance, complex geometry. To study the feasibilities and encounter the challenges of LIBS on plasma devices, a LIBS system has been set up on the linear plasma device PSI-2. A number of key parameters including laser energy, the influence of magnetic field and the persistence of laser induced plasma are studied. Real-time measurements of deuterium outgassing on tungsten samples exposed to deuterium plasma of 1025 D/m2 are performed in the first 40–130 min after plasma exposure. The experimental results are compared to the calculations in the literature.

  7. Plasma Sheath Behavior in a Coaxial Discharge Device

    International Nuclear Information System (INIS)

    EL-Aragi, G.; Soliman, H.M.; Masoud, M.M.

    2001-01-01

    The behavior of the plasma sheath has been studied experimentally and theoretically for 3 kJ coaxial discharge device. The discharge takes place in argon gas with pressure of 0.8 mbar. The experiments are conducted with a 10 kV bank charging voltage, which corresponds to 110 kA peak discharge current with time period of 34 μs. The experimental investigations have been studied using a magnetic probes and a miniature Rogowsky coil. A snowplough model is used to drive an analytical solution of the plasma sheath behavior in axial direction. Measurements of radial distribution of plasma sheath current density J r at the muzzle, show that J r has the following relation, J r is proportional to r -1.1 . From the experimental results and theoretical calculations of axial distribution of azimuthal magnetic field induction and plasma sheath velocity, the inclination angle between the normal of the plasma sheath with the axial distance at any axial position is evaluated and it has approximately a constant value for most axial distances. Also, the axial motion of plasma sheath acceleration is estimated experimentally a max = 0.13 x 10 12 ' cm / s 2 at z = 11 cm and from theoretical calculations a max = 0.15 x 10 12 cm/ s 2 at max z = 1.6 cm. A comparison of the experimental results with the theoretical calculations, under the assumption of the snowplough model are not in agreement. (author)

  8. Characterization of high flux magnetized helium plasma in SCU-PSI linear device

    Science.gov (United States)

    Xiaochun, MA; Xiaogang, CAO; Lei, HAN; Zhiyan, ZHANG; Jianjun, WEI; Fujun, GOU

    2018-02-01

    A high-flux linear plasma device in Sichuan University plasma-surface interaction (SCU-PSI) based on a cascaded arc source has been established to simulate the interactions between helium and hydrogen plasma with the plasma-facing components in fusion reactors. In this paper, the helium plasma has been characterized by a double-pin Langmuir probe. The results show that the stable helium plasma beam with a diameter of 26 mm was constrained very well at a magnetic field strength of 0.3 T. The core density and ion flux of helium plasma have a strong dependence on the applied current, magnetic field strength and gas flow rate. It could reach an electron density of 1.2 × 1019 m-3 and helium ion flux of 3.2 × 1022 m-2 s-1, with a gas flow rate of 4 standard liter per minute, magnetic field strength of 0.2 T and input power of 11 kW. With the addition of -80 V applied to the target to increase the helium ion energy and the exposure time of 2 h, the flat top temperature reached about 530 °C. The different sizes of nanostructured fuzz on irradiated tungsten and molybdenum samples surfaces under the bombardment of helium ions were observed by scanning electron microscopy. These results measured in the SCU-PSI linear device provide a reference for International Thermonuclear Experimental Reactor related PSI research.

  9. Surface modification study of zirconium on exposure to fusion grade plasma in an 11.5 kJ plasma focus device

    International Nuclear Information System (INIS)

    Srivastava, Rohit; Niranjan, Ram; Rout, R.K.; Kaushik, T.C.; Chakravarthy, Y.; Mishra, P.

    2017-01-01

    In continuation of our investigation on effect of fusion grade plasma produced in an existing MEPF-12 (11.5 kJ, 40 μF, 24 kV) plasma focus (PF) facility on different materials, likely to be used in future fusion reactors, we have reported here the study on Zirconium (Zr) metal. In the present work, the Zr sample in disc (2 mm thick, 10 mm diameter) form was exposed to twenty shots of plasma focus operated at 4 mbar deuterium gas filling pressure and 11.5 kJ bank energy. The samples were placed at a distance of 6 cm from the tip of the anode in the MEPF-12 PF device. The emissions from the device comprise of deuterium ions in wide energy range (a few keV to several hundreds of keV), high temperature plasma (in general a few keV) and neutrons of 2.45 MeV energy produced due to D(D, 3 He)n fusion reactions

  10. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  11. Power accounting of plasma discharges in the linear device Proto-MPEX

    Science.gov (United States)

    Showers, M.; Piotrowicz, P. A.; Beers, C. J.; Biewer, T. M.; Caneses, J.; Canik, J.; Caughman, J. B. O.; Donovan, D. C.; Goulding, R. H.; Lumsdaine, A.; Kafle, N.; Owen, L. W.; Rapp, J.; Ray, H.

    2018-06-01

    Plasma material interaction (PMI) studies are crucial to the successful development of future fusion reactors. Prototype Material Plasma Exposure eXperiment (Proto-MPEX) is a prototype design for the MPEX, a steady-state linear device being developed to study PMI. The primary purpose of Proto-MPEX is developing the plasma heating source concepts for MPEX. A power accounting study of Proto-MPEX works to identify machine operating parameters that could improve its performance, thereby increasing its PMI research capabilities, potentially impacting the MPEX design concept. To build a comprehensive power balance, an analysis of the helicon region has been performed implementing a diagnostic suite and software modeling to identify mechanisms and locations of heat loss from the main plasma. Of the 106.3 kW of input power, up to 90.5% of the power has been accounted for in the helicon region. When the analysis was extended to encompass the device to its end plates, 49.2% of the input power was accounted for and verified diagnostically. Areas requiring further diagnostic analysis are identified. The required improvements will be implemented in future work. The data acquisition and analysis processes will be streamlined to form a working model for future power balance studies of Proto-MPEX. ).

  12. Plasma diagnostics in the CECI device through visible spectroscopy

    International Nuclear Information System (INIS)

    Ueda, M.; Kayama, M.E.; Aso, Y.

    1991-11-01

    In this paper we discuss the application of a visible spectrometer which was used to diagnose a plasma produced in an RFP device, called CECI. A Jobin Yvon, HR-640 S spectrometer with a photomultiplier detector was used to measure the Doppler broadening of lines emitted by the plasma, and allowed to measure the ion temperatures of the order of 2-3 eV. The electron temperature of 40-50 eV was determined by the method of He I line intensity ratio. The spectroscopically determined ion temperature is in better accordance with the 10 eV electron temperature obtained with an electrostatic probe. The line emissions of He II, H I, C II and O II were compared with signals from other diagnostics, and their correlations indicated the presence of MHD instabilities in the plasma. (author)

  13. Deposition of aluminium nanoparticles using dense plasma focus device

    International Nuclear Information System (INIS)

    Devi, Naorem Bilasini; Srivastava, M P; Roy, Savita

    2010-01-01

    Plasma route to nanofabrication has drawn much attention recently. The dense plasma focus (DPF) device is used for depositing aluminium nanoparticles on n-type Si (111) wafer. The plasma chamber is filled with argon gas and evacuated at a pressure of 80 Pa. The substrate is placed at distances 4.0 cm, 5.0 cm and 6.0 cm from the top of the central anode. The aluminium is deposited on Si wafer at room temperature with two focused DPF shots. The deposits on the substrate are examined for their morphological properties using atomic force microscopy (AFM). The AFM images have shown the formation of aluminium nanoparticles. From the AFM images, it is found that the size of aluminium nanoparticles increases with increase in distance between the top of anode and the substrate for same number of DPF shots.

  14. ERO modeling of Cr sputtering in the linear plasma device PSI-2

    Science.gov (United States)

    Eksaeva, A.; Borodin, D.; Kreter, A.; Nishijima, D.; Pospieszczyk, A.; Schlummer, T.; Ertmer, S.; Terra, A.; Unterberg, B.; Kirschner, A.; Romazanov, J.; Brezinsek, S.; Rasinski, M.; Henderson, S.; O'Mullane, M.; Summers, H.; Bluteau, M.; Marenkov, E.

    2017-12-01

    The prediction of the first wall deterioration and possible plasma contamination by impurities is a high priority task for ITER. 3D Monte-Carlo code ERO is a tool for modeling of eroded impurity transport and spectroscopy in plasma devices useful for experiment interpretation. Chromium (Cr) is a fusion-relevant reactor wall element (e.g. component of RAFM steels expected for use in DEMO). Linear plasma devices including PSI-2 are effective tools for investigations of plasma-surface interaction effects, allowing continuous plasma operation and good control over irradiation parameters. Experiments on Cr sputtering were conducted at PSI-2. In these experiments the Cr erosion was measured by three techniques: mass loss of the sample, quartz micro-balance of deposited impurities at a distance from it and optical emission spectroscopy. Experiments were modeled with the 3D Monte-Carlo code ERO, previously validated by application to similar experiments with tungsten (W). The simulations are demonstrated to reproduce the main experimental outcomes proving the quality of the sputtering data used. A significant focuses of the paper is the usage and validation of atomic data (resent metastable-resolved dataset from ADAS) for interpretation of Cr spectroscopy. Initial population of quasi-metastable state was fitted by matching the modeling with the experimental line intensity profiles.

  15. An inverted cylindrical sputter magnetron as metal vapor supply for electron cyclotron resonance ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Electron Beam and Plasma Technology, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Silze, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2014-05-15

    An inverted cylindrical sputter magnetron device has been developed. The magnetron is acting as a metal vapor supply for an electron cyclotron resonance (ECR) ion source. FEM simulation of magnetic flux density was used to ensure that there is no critical interaction between both magnetic fields of magnetron and ECR ion source. Spatially resolved double Langmuir probe and optical emission spectroscopy measurements show an increase in electron density by one order of magnitude from 1 × 10{sup 10} cm{sup −3} to 1 × 10{sup 11} cm{sup −3}, when the magnetron plasma is exposed to the magnetic mirror field of the ECR ion source. Electron density enhancement is also indicated by magnetron plasma emission photography with a CCD camera. Furthermore, photographs visualize the formation of a localized loss-cone - area, when the magnetron is operated at magnetic mirror field conditions. The inverted cylindrical magnetron supplies a metal atom load rate of R > 1 × 10{sup 18} atoms/s for aluminum, which meets the demand for the production of a milliampere Al{sup +} ion beam.

  16. X-ray Imaging and preliminary studies of the X-ray self-emission from an innovative plasma-trap based on the Bernstein waves heating mechanism

    Science.gov (United States)

    Caliri, C.; Romano, F. P.; Mascali, D.; Gammino, S.; Musumarra, A.; Castro, G.; Celona, L.; Neri, L.; Altana, C.

    2013-10-01

    Electron Cyclotron Resonance Ion Sources (ECRIS) are based on ECR heated plasmas emitting high fluxes of X-rays. Here we illustrate a pilot study of the X-ray emission from a compact plasma-trap in which an off-resonance microwave-plasma interaction has been attempted, highlighting a possible Bernstein-Waves based heating mechanism. EBWs-heating is obtained via the inner plasma EM-to-ES wave conversion and enables to reach densities much larger than the cut-off ones. At LNS-INFN, an innovative diagnostic technique based on the design of a Pinhole Camera (PHC) coupled to a CCD device for X-ray Imaging of the plasma (XRI) has been developed, in order to integrate X-ray traditional diagnostics (XRS). The complementary use of electrostatic probes measurements and X-ray diagnostics enabled us to gain knowledge about the high energy electrons density and temperature and about the spatial structure of the source. The combination of the experimental data with appropriate modeling of the plasma-source allowed to estimate the X-ray emission intensity in different energy domains (ranging from EUV up to Hard X-rays). The use of ECRIS as X-ray source for multidisciplinary applications, is now a concrete perspective due to the intense fluxes produced by the new plasma heating mechanism.

  17. Nonlinear stability of m=1 flute mode in a nonparaxial open plasma device

    International Nuclear Information System (INIS)

    Lanskij, I.M.; Stupakov, G.V.

    1991-01-01

    Plasma flute stability as to high shifts under strong effects of ion Larmor finite radius conditions is studied. System consisting of long axisymmetric paraxial mirror device with stabilizing cells at its edges is considered. Variation of plasma energy as to its shift as a whole is calculated. It is shown, that depending on stabilizer type the force bringing plasma back in equilibrium state with shift growth may both increase and decrease

  18. SERIJSKA POVEZAVA POS TERMINALA INGENICO 5100 Z NAPRAVO ECR

    OpenAIRE

    Černenšek, Matjaž

    2012-01-01

    Diplomsko delo predstavlja korak naprej k poenostavitvi in pospešitvi izvajanja postopkov finančnih transakcij na prodajnem mestu z uporabo plačilnih kartic in POS terminala. Predstavljena in definirana je ideja fizične povezave dveh naprav, vključenih v ta proces. To sta blagajniška naprava ECR in POS terminal, ki ju z uporabo serijske povezave povežemo tako, da si izmenjujeta podatke za uspešno izvršitev finančne transakcije in druge ključne informacije. Podrobneje je opisana fizična izvedb...

  19. Development of an Ethernet enabled microcontroller based module for Superconducting Cyclotron ECR beam line control

    International Nuclear Information System (INIS)

    Chatterjee, M.; Koley, D.; Nabhiraj, P.Y.

    2012-01-01

    An Ethernet enabled control and data acquisition module is developed for remote control and monitoring of the ECR beam line equipment of the Superconducting Cyclotron. The PIC microcontroller based module supports multiple general purpose analog and digital inputs and outputs for interfacing with various equipments and an embedded web server. The remote monitoring and control of the equipment are achieved through the web based user interface. The user authenticated access to control parameters and module configuration parameters ensures the operational safety of the equipment under control. This module is installed in Superconducting Cyclotron ECR beam line for the control and monitoring of vacuum pumping modules, comprising of pumps, gate valves and dual vacuum gauges. The installation of these modules results in a distributed control with localised field cabling and hence better fault diagnosis. (author)

  20. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  1. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  2. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  3. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Odorici, F., E-mail: fabrizio.odorici@bo.infn.it; Malferrari, L.; Montanari, A. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); Rizzoli, R. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); CNR–Istituto per la Microelettronica ed i Microsistemi, Via Gobetti 101, 40129 Bologna (Italy); Mascali, D.; Castro, G.; Celona, L.; Gammino, S.; Neri, L. [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy)

    2016-02-15

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to “screen” the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  4. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources.

    Science.gov (United States)

    Odorici, F; Malferrari, L; Montanari, A; Rizzoli, R; Mascali, D; Castro, G; Celona, L; Gammino, S; Neri, L

    2016-02-01

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to "screen" the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  5. Dry cleaning of fluorocarbon residues by low-power electron cyclotron resonance hydrogen plasma

    CERN Document Server

    Lim, S H; Yuh, H K; Yoon Eui Joon; Lee, S I

    1988-01-01

    A low-power ( 50 W) electron cyclotron resonance hydrogen plasma cleaning process was demonstrated for the removal of fluorocarbon residue layers formed by reactive ion etching of silicon dioxide. The absence of residue layers was confirmed by in-situ reflection high energy electron diffraction and cross-sectional high resolution transmission electron microscopy. The ECR hydrogen plasma cleaning was applied to contact cleaning of a contact string structure, resulting in comparable contact resistance arising during by a conventional contact cleaning procedure. Ion-assisted chemical reaction involving reactive atomic hydrogen species generated in the plasma is attributed for the removal of fluorocarbon residue layers.

  6. Study on wall recycling behaviour in CPD spherical tokamak

    International Nuclear Information System (INIS)

    Bhattacharyay, R.; Zushi, H.; Hirooka, Y.; Sakamoto, M.; Yoshinaga, T.; Okamoto, K.; Kawasaki, S.; Hanada, K.; Sato, K.N.; Nakamura, K.; Idei, H.; Ryoukai, T.; Nakashima, H.; Higashijima, A.

    2008-01-01

    Experiments to study wall recycling behaviour have been performed in the small spherical tokamak compact plasma-wall interaction experimental device (CPD) from the viewpoint of global as well as local plasma wall interaction condition. Electron cyclotron resonance (ECR) plasma of typically ∼50 to 400 ms duration is produced using ∼40 to 80 kW RF power. In order to study the global wall recycling behaviour, pressure measurements are carried out just before and after the ECR plasma in the absence of any external pumping. The recycling behaviour is found to change from release to pumping beyond a certain level of pressure value which is again found to be a function of shot history. The real-time local wall behaviour is studied in similar RF plasma using a rotating tungsten limiter, actively coated with lithium. Measurement of H α light intensity in front of the rotating surface has indicated a clear reduction (∼10%) in the steady-state hydrogen recycling with continuous Li gettering of several minutes

  7. The effect of magnetic field strength on the time evolution of high energy bremsstrahlung radiation created by an electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Ropponen, T. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland)], E-mail: tommi.ropponen@phys.jyu.fi; Tarvainen, O. [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Jones, P.; Peura, P.; Kalvas, T. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland); Suominen, P. [Prizztech Ltd/Magnet Technology Centre, Tiedepuisto 4, FI-28600 Pori (Finland); Koivisto, H.; Arje, J. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland)

    2009-03-11

    An electron cyclotron resonance (ECR) ion source is one of the most used ion source types for high charge state heavy ion production. In ECR plasma the electrons are heated by radio frequency microwaves in order to provide ionization of neutral gases. As a consequence, ECR heating also generates very high electron energies (up to MeV region) which can produce a vast amount of bremsstrahlung radiation causing problems with radiation shielding and heating superconducting cryostat of an ECR ion source. To gain information about the time evolution of the electron energies in ECR plasma radial bremsstrahlung measurements were performed. JYFL 14 GHz ECR ion source was operated in pulsed mode and time evolution measurements were done with different axial magnetic field strengths with oxygen and argon plasmas. Bremsstrahlung data were analyzed with a time interval of 2 ms yielding information at unprecedented detail about the time evolution of high energy bremsstrahlung radiation from an ECR ion source. It was observed, for example, that reaching the steady state phase of the plasma bremsstrahlung requires several hundred milliseconds and the steady state time can be different with different gases.

  8. Ecdysone receptor (EcR) and ultraspiracle (USP) genes from the cyclopoid copepod Paracyclopina nana: Identification and expression in response to water accommodated fractions (WAFs).

    Science.gov (United States)

    Puthumana, Jayesh; Lee, Min-Chul; Han, Jeonghoon; Kim, Hui-Su; Hwang, Dae-Sik; Lee, Jae-Seong

    2017-02-01

    Ecdysteroid hormones are pivotal in the development, growth, and molting of arthropods, and the hormone pathway is triggered by binding ecdysteroid to a heterodimer of the two nuclear receptors; ecdysone receptors (EcR) and ultraspiracle (USP). We have characterized EcR and USP genes, and their 5'-untranslated region (5'-UTR) from the copepod Paracyclopina nana, and studied mRNA transcription levels in post-embryonic stages and in response to water accommodated fractions (WAFs) of crude oil. The open reading frames (ORF) of EcR and USP were 1470 and 1287bp that encoded 490 and 429 amino acids with molecular weight of 121.18 and 105.03kDa, respectively. Also, a well conserved DNA-binding domain (DBD) and ligand-binding domain (LBD) were identified which confirmed by phylogenetic analysis. Messenger RNA transcriptional levels of EcR and USP were developmental stage-specific in early post-embryonic stages (N3-4). However, an evoked expression of USP was observed throughout copepodid stage and in adult females. WAFs (40 and 80%) were acted as an ecdysone agonist in P. nana, and elicited the mRNA transcription levels in adults. Developmental stage-specific transcriptional activation of EcR and USP in response to WAFs was observed. USP gene was down-regulated in the nauplius in response to WAF, whereas up-regulation of USP was observed in the adults. This study represents the first data of molecular elucidation of EcR and USP genes and their regulatory elements from P. nana and the developmental stage specific expression in response to WAFs, which can be used as potential biomarkers for environmental stressors with ecotoxicological evaluations in copepods. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. Study on edge plasma physics and particle control in the Heliotron-E device

    Energy Technology Data Exchange (ETDEWEB)

    Mizuuchi, T; Obiki, T; Noda, N; Matsuura, H; Kondo, K; Akaishi, K; Motojima, O; Kaneko, H; Zushi, H; Takeiri, Y

    1989-04-01

    The edge plasma physics and the particle control under the intrinsic magnetic limiter configuration of a helical system have been studied with the Heliotron-E device, where currentless plasmas of T/sub e//le/1-2 keV, T/sub i//le/1 keV and anti n/sub e//le/2x10/sup 20//m/sup 3/ are produced by a combination of ECRH, NBI and/or ICRH. It is indicated that the separatrix region of the heliotron device is able to act as a divertor magnetic field. According to calculations of the magnetic field line in the edge region, the separatrix region has some different characteristics from the scrape-off layer in tokamak devices; the existence of a fine structure in the separatrix region and asymmetry of the region in toroidal and poloidal directions are observed. A localized pattern of the heat load on the first wall is experimentally observed. This agrees with the heat-load profile expected from the magnetic configuration and the distribution of the plasma in the edge region. A carbonization of the first wall is successfully applied to the Heliotron E device for reduction of metallic impurity contents. The heat load at the divertor trace decreased and that on the other part of the first wall increased in the high recycling conditions after the carbonization. (orig.).

  10. Formation of ECR Plasma in a Dielectric Plasma Guide under Self-Excitation of a Standing Ion-Acoustic Wave

    Science.gov (United States)

    Balmashnov, A. A.; Kalashnikov, A. V.; Kalashnikov, V. V.; Stepina, S. P.; Umnov, A. M.

    2018-01-01

    The formation of a spatially localized plasma with a high brightness has been experimentally observed in a dielectric plasma guide under the electron cyclotron resonance discharge at the excitation of a standing ion-acoustic wave. The results obtained show the possibility of designing compact high-intensity radiation sources with a spectrum determined by the working gas or gas mixture type, high-intensity chemically active particle flow sources, and plasma thrusters for correcting orbits of light spacecraft.

  11. Power source device for thermonuclear device

    International Nuclear Information System (INIS)

    Ozaki, Akira.

    1992-01-01

    The present invention provides a small sized and economical power source device for a thermonuclear device. That is, the device comprises a conversion device having a rated power determined by a power required during a plasma current excitation period and a conversion device having a rated power determined by a power required during a plasma current maintaining period, connected in series to each other. Then, for the former conversion device, power is supplied from an electric power generator and, for the latter, power is supplied from a power system. With such a constitution, during the plasma electric current maintaining period for substantially continuous operation, it is possible to conduct bypassing paired operation for the former conversion device while the electric power generator is put under no load. Further, since a short period rated power may be suffice for the former conversion device and the electric power generator having the great rated power required for the plasma electric current excitation period, they can be reduced in the size and made economical. On the other hand, since the power required for the plasma current maintaining period is relatively small, the capacity of the continuous rated conversion device may be small, and the power can be received from the power system. (I.S.)

  12. Atmospheric pressure plasmas for surface modification of flexible and printed electronic devices: A review

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kyong Nam; Lee, Seung Min; Mishra, Anurag [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); Yeom, Geun Young, E-mail: gyyeom@skku.edu [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of)

    2016-01-01

    Recently, non-equilibrium atmospheric pressure plasma, especially those operated at low gas temperatures, have become a topic of great interest for the processing of flexible and printed electronic devices due to several benefits such as the reduction of process and reactor costs, the employment of easy-to-handle apparatuses and the easier integration into continuous production lines. In this review, several types of typical atmospheric pressure plasma sources have been addressed, and the processes including surface treatment, texturing and sintering for application to flexible and printed electronic devices have been discussed.

  13. ECR ion source and some improvements

    International Nuclear Information System (INIS)

    Liu Zhanwen; Zhang Wen; Zhao Hongwei; Zhang Xuezhen; Yuan Ping; Guo Xiaohong; Zhou Sixin; Ye Feng; Wei Baowen; Efremov, A.

    1994-01-01

    The structure, the principle of a CAPRICE-type ECR ion source and the necessary condition of the source for providing high charged ions are presented. CAPRICE was tested first at the test bench with a newly shaped configuration of the magnetic mirror throat at the injection side. The ion currents of Ar and Ne ions were increased remarkably. Later, CAPRICE was coupled to the injector SFC of HIRFL, and other modifications were made to improve the magnetic field and decrease the electric power consumption in the solenoids of the source. Meanwhile a simple electron gun with cold cathode was tested preliminarily. The result was satisfactory. Last year, some successful changes in the construction of the insulation cover for the hexapole of CAPRICE were achieved also. The new cover is aimed to endure higher extraction voltage, and avoid the condensation of humid air on the exterior of the insulation covers

  14. Comparison of measured and modelled negative hydrogen ion densities at the ECR-discharge HOMER

    Science.gov (United States)

    Rauner, D.; Kurutz, U.; Fantz, U.

    2015-04-01

    As the negative hydrogen ion density nH- is a key parameter for the investigation of negative ion sources, its diagnostic quantification is essential in source development and operation as well as for fundamental research. By utilizing the photodetachment process of negative ions, generally two different diagnostic methods can be applied: via laser photodetachment, the density of negative ions is measured locally, but only relatively to the electron density. To obtain absolute densities, the electron density has to be measured additionally, which induces further uncertainties. Via cavity ring-down spectroscopy (CRDS), the absolute density of H- is measured directly, however LOS-averaged over the plasma length. At the ECR-discharge HOMER, where H- is produced in the plasma volume, laser photodetachment is applied as the standard method to measure nH-. The additional application of CRDS provides the possibility to directly obtain absolute values of nH-, thereby successfully bench-marking the laser photodetachment system as both diagnostics are in good agreement. In the investigated pressure range from 0.3 to 3 Pa, the measured negative hydrogen ion density shows a maximum at 1 to 1.5 Pa and an approximately linear response to increasing input microwave powers from 200 up to 500 W. Additionally, the volume production of negative ions is 0-dimensionally modelled by balancing H- production and destruction processes. The modelled densities are adapted to the absolute measurements of nH- via CRDS, allowing to identify collisions of H- with hydrogen atoms (associative and non-associative detachment) to be the dominant loss process of H- in the plasma volume at HOMER. Furthermore, the characteristic peak of nH- observed at 1 to 1.5 Pa is identified to be caused by a comparable behaviour of the electron density with varying pressure, as ne determines the volume production rate via dissociative electron attachment to vibrationally excited hydrogen molecules.

  15. Process automation system for integration and operation of Large Volume Plasma Device

    International Nuclear Information System (INIS)

    Sugandhi, R.; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-01-01

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  16. Process automation system for integration and operation of Large Volume Plasma Device

    Energy Technology Data Exchange (ETDEWEB)

    Sugandhi, R., E-mail: ritesh@ipr.res.in; Srivastava, P.K.; Sanyasi, A.K.; Srivastav, Prabhakar; Awasthi, L.M.; Mattoo, S.K.

    2016-11-15

    Highlights: • Analysis and design of process automation system for Large Volume Plasma Device (LVPD). • Data flow modeling for process model development. • Modbus based data communication and interfacing. • Interface software development for subsystem control in LabVIEW. - Abstract: Large Volume Plasma Device (LVPD) has been successfully contributing towards understanding of the plasma turbulence driven by Electron Temperature Gradient (ETG), considered as a major contributor for the plasma loss in the fusion devices. Large size of the device imposes certain difficulties in the operation, such as access of the diagnostics, manual control of subsystems and large number of signals monitoring etc. To achieve integrated operation of the machine, automation is essential for the enhanced performance and operational efficiency. Recently, the machine is undergoing major upgradation for the new physics experiments. The new operation and control system consists of following: (1) PXIe based fast data acquisition system for the equipped diagnostics; (2) Modbus based Process Automation System (PAS) for the subsystem controls and (3) Data Utilization System (DUS) for efficient storage, processing and retrieval of the acquired data. In the ongoing development, data flow model of the machine’s operation has been developed. As a proof of concept, following two subsystems have been successfully integrated: (1) Filament Power Supply (FPS) for the heating of W- filaments based plasma source and (2) Probe Positioning System (PPS) for control of 12 number of linear probe drives for a travel length of 100 cm. The process model of the vacuum production system has been prepared and validated against acquired pressure data. In the next upgrade, all the subsystems of the machine will be integrated in a systematic manner. The automation backbone is based on 4-wire multi-drop serial interface (RS485) using Modbus communication protocol. Software is developed on LabVIEW platform using

  17. Discharge initiation experiments in the Tokapole II tokamak

    International Nuclear Information System (INIS)

    Shepard, D.A.

    1984-01-01

    Experiments in the Tokapole II tokamak demonstrate the benefits of high density (n/sub e//n/sub o/ greater than or equal to 0.01) preionization by reducing four quantities at startup: necessary toroidal loop voltage (V 1 ) (50%), volt-second consumption (40-50%), impurity radiation (25-50%), and runaway electron production (approx. 80-100%). A zero-dimensional code models the loop voltage reduction dependence on preionization density and predicts a similar result for reactor scale devices. The code shows low initial resistivity and a high resistivity time derivative contribute to loop voltage reduction. Microwaves at the electron cyclotron resonance (ECR) frequency and plasma gun injection produce high density preionization, which reduces the initial V 1 , volt-second consumption, and runaways. The ECR preionization also reduces impurity radiation by shortening the time from voltage application to current channel formation. This, evidently, reduces the total plasma-wall interaction at startup. The power balance of the ECR plasma in a toroidal-field-only case was studied using Langmuir probes and impurity doping. The vertical electric field and current, which result from curvature drift, were measured as approx. 10 V/cm and 50 amps, respectively, and exceeded expected values for the bulk electron temperature (approx. 10 eV)

  18. High intensity metallic ion beams from an ecr ion source at GANIL

    International Nuclear Information System (INIS)

    Leherissier, P.; Barue, C.; Canet, C.; Dupuis, M.; Flambard, J.L.; Gaubert, G.; Gibouin, S.; Huguet, Y.; Jardin, P.; Lecesne, N.; Lemagnen, F.; Leroy, R.; Pacquet, J.Y.; Pellemoine-Landre, F.; Rataud, J.P.; Jaffres, P.A.

    2001-01-01

    In the recent years, progress concerning the production of high intensity of metallic ions beams ( 58 Ni, 48 Ca, 76 Ge) at Ganil have been performed. The MIV0C method has been successfully used to produce a high intensity nickel beam with the ECR4 ion source: 20 eμA of 58 Ni 11+ at 24 kV extraction voltage. This beam has been maintained for 8 days and accelerated up to 74.5 MeV/u by our cyclotrons with a mean intensity of 0.13 pμA on target. This high intensity, required for experiment, led to the discovery of the doubly magic 48 Ni isotope. The oven method has been first tested with natural metallic calcium on the ECR4 ion source, then used to produce a high power beam (740 W on target i.e. 0.13 pμA accelerated up to 60 MeV/u) of 48 Ca still keeping a low consumption (0.09 mg/h). A germanium beam is now under development, using the oven method with germanium oxide. The ionization efficiencies have been measured and compared. (authors)

  19. AMS of heavy elements with an ECR ion source and the ATLAS linear accelerator

    CERN Document Server

    Paul, M; Ahmad, I; Borasi, F; Caggiano, J; Davids, C N; Greene, J P; Harss, B; Heinz, A; Henderson, D J; Henning, W F; Jiang, C L; Pardo, R C; Rehm, K E; Rejoub, R; Seweryniak, D; Sonzogni, A; Uusitalo, J; Vondrasek, R C

    2000-01-01

    Detection of heavy elements by accelerator mass spectrometry with the electron cyclotron resonance ion source, Argonne linear accelerator and fragment mass analyzer (ECRIS-ATLAS-FMA) system has been developed. The use of the ECR-ATLAS system for AMS of heavy elements has two interesting features: (i) the efficient production of high-charge state ions in the ECR source ensures the elimination of molecular ions at the source stage, a highly attractive feature for any mass-spectrometric use not exploited so far; (ii) the linear acceleration based on velocity matching and the beam transport system act as a powerful mass filter for background suppression. We have shown that our system reaches an abundance sensitivity of 1x10 sup - sup 1 sup 4 for Pb isotopes. The sup 2 sup 3 sup 6 U detection sensitivity is sup 2 sup 3 sup 6 U/U > or approx. 1x10 sup - sup 1 sup 2 , limited mainly by the ion source output.

  20. Surface morphology changes to tungsten under exposure to He ions from an electron cyclotron resonance plasma source

    Science.gov (United States)

    Donovan, David; Maan, Anurag; Duran, Jonah; Buchenauer, Dean; Whaley, Josh

    2015-11-01

    Exposure of tungsten to low energy (ALMT ITER grade tungsten samples. A similar He plasma exposure stage has now been developed at the University of Tennessee-Knoxville with an improved compact ECR plasma source. Status of the new UTK exposure stage will be discussed as well as planned experiments and new material characterization techniques (EBSD, GIXRD). Work supported by US DOE Contract DE-AC04-94AL85000 and the PSI Science Center.

  1. System for deuterium-tritium mixture filling the working chamber of a dense plasma focus device

    International Nuclear Information System (INIS)

    Bondar', A.I.; Vyskubov, V.P.; Gerasimov, S.A.

    1981-01-01

    A gas-vacuum system designed for filling the gas-discharge chamber of a plasma focus device with equal-coaponent deuterium-tritium mixture is described. The system consists of a unit for gaseous mixture prepa ration and a unit for mixture absorption and device evacuation. The system provides the gaseous mixture purification of O 2 and N 2 impurities. Final tritium content in the gas-discharge chamber after tritium removal is not greater than 2x10 8 Bq/l. Tritium content in a sealed box in which the device is placed does not exceed 30 Bq/l that is less than limiting safe value. The conclusion is made that the described system design gives an opportunity to begin experimental studies at plasma focus devices with deuterium-tritium mixture [ru

  2. Analysis of the interaction of deuterium plasmas with tungsten in the Fuego-Nuevo II device

    Science.gov (United States)

    Ramos, Gonzalo; Castillo, Fermín; Nieto, Martín; Martínez, Marco; Rangel, José; Herrera-Velázquez, Julio

    2012-10-01

    Tungsten is one of the main candidate materials for plasma-facing components in future fusion power plants. The Fuego-Nuevo II, a plasma focus device, which can produce dense magnetized helium and deuterium plasmas, has been adapted to address plasma-facing materials questions. In this paper we present results of tungsten targets exposed to deuterium plasmas in the Fuego Nuevo II device, using different experimental conditions. The plasma generated and accelerated in the coaxial gun is expected to have, before the pinch, energies of the order of hundreds eV and velocities of the order of 40,000 m s-1. At the pinch, the ions are reported to have energies of the order of 1.5 keV at most. The samples, analysed with a scanning electron microscope (SEM) in cross section show a damage profile to depths of the order of 580 nm, which are larger than those expected for ions with 1.5 keV, and may be evidence of ion acceleration. An analysis with the SRIM (Stopping Range of Ions in Matter) package calculations is shown.

  3. Magnetic Parameters Of A NB3SN Superconducting Magnet For A 56 HGz ECR Ion Source

    International Nuclear Information System (INIS)

    Ferracin, P.; Caspi, S.; Felice, H.; Leitner, D.; Lyneis, C.M.; Prestemon, S.; Sabbi, G.L.; Todd, D.S.

    2009-01-01

    Third generation Electron Cyclotron Resonance (ECR) ion sources operate at microwave frequencies between 20 and 30 GHz and employ NbTi superconducting magnets with a conductor peak field of 6-7 T. A significant gain in performance can be achieved by replacing NbTi with Nb 3 Sn, allowing solenoids and sextupole coils to reach a field of 15 T in the windings. In this paper we describe the design of a Nb 3 Sn superconducting magnet for a fourth generation ECR source operating at a microwave frequency of 56 GHz. The magnet design features a configuration with an internal sextupole magnet surrounded by three solenoids. A finite element magnetic model has been used to investigate conductor peak fields and the operational margins. Results of the numerical analysis are presented and discussed.

  4. MAGNETIC PARAMETERS OF A NB3SN SUPERCONDUCTING MAGNET FOR A 56 HGz ECR ION SOURCE

    Energy Technology Data Exchange (ETDEWEB)

    Ferracin, P.; Caspi, S.; Felice, H.; Leitner, D.; Lyneis, C. M.; Prestemon, S.; Sabbi, G. L.; Todd, D. S.

    2009-05-04

    Third generation Electron Cyclotron Resonance (ECR) ion sources operate at microwave frequencies between 20 and 30 GHz and employ NbTi superconducting magnets with a conductor peak field of 6-7 T. A significant gain in performance can be achieved by replacing NbTi with Nb{sub 3}Sn, allowing solenoids and sextupole coils to reach a field of 15 T in the windings. In this paper we describe the design of a Nb{sub 3}Sn superconducting magnet for a fourth generation ECR source operating at a microwave frequency of 56 GHz. The magnet design features a configuration with an internal sextupole magnet surrounded by three solenoids. A finite element magnetic model has been used to investigate conductor peak fields and the operational margins. Results of the numerical analysis are presented and discussed.

  5. Linear and nonlinear ion beam instabilities in a double plasma device

    International Nuclear Information System (INIS)

    Lee, S.G.; Diebold, D.; Hershkowitz, N.

    1994-01-01

    Ion beam instabilities in the double plasma device DOLI-1 were found to be quite sensitive to the difference between the source and target chamber plasma potentials when those potentials were within an electron temperature T e /e or so of each other. When the target chamber plasma potential of DOLI-1 was ≤ T e /e more positive than the source chamber plasma potential, a global ion beam-ion beam instability was observed. On the other hand, when the maximum target potential was between approximately 0.5 T e /e and 2.0 T e /e below the source potential, an ion-ion beam instability and a soliton associated with it were observed. This soliton is unique in that it is not launched but rather is self generated by the plasma and beam. When the target potential was less than source potential by more than two or so T e /e, the plasma was quite quiescent, which allowed small amplitude wave packet launched by Langmuir probe to be detected

  6. Investigation of oxygen plasma treatment on the device performance of solution-processed a-IGZO thin film transistors

    International Nuclear Information System (INIS)

    Pu, Haifeng; Zhou, Qianfei; Yue, Lan; Zhang, Qun

    2013-01-01

    We reported the impact of oxygen plasma treatment on solution-processed amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs). Plasma-treated devices showed higher mobility, larger on/off current ratio, but a monotonically increased SS with plasma treatment time as well. The phenomenon was mainly due to two components in oxygen plasma, atomic oxygen and O 2 + , according to the photoluminescence (PL) measurement. Atomic oxygen reacted with oxygen vacancies in channel layer resulting in an improved mobility, and O 2 + tends to aggregated at the surface acting as trapping states simultaneously. Our study suggests that moderate oxygen plasma treatment can be adopted to improve the device performance, while O 2 + should be eliminated to obtain good interfacial states.

  7. CH4/H2/Ar electron cyclotron resonance plasma etching for GaAs-based field effect transistors

    NARCIS (Netherlands)

    Hassel, van J.G.; Es, van C.M.; Nouwens, P.A.M.; Maahury, J.H.; Kaufmann, L.M.F.

    1995-01-01

    Electron cyclotron resonance (ECR) plasma etch processes with CH4/H2/AR have been investigated on different III–Vsemiconductor materials (GaAs, AlGaAs, InGaAs, and InP). The passivation depth as a function of the GaAs carrierconcentration and the recovery upon annealing at different temperatures

  8. The pyrolytic-plasma method and the device for the utilization of hazardous waste containing organic compounds

    Energy Technology Data Exchange (ETDEWEB)

    Opalińska, Teresa [Tele and Radio Research Institute, Ratuszowa 11, 03-450 Warsaw (Poland); Wnęk, Bartłomiej, E-mail: bartlomiej.wnek@itr.org.pl [Tele and Radio Research Institute, Ratuszowa 11, 03-450 Warsaw (Poland); Witowski, Artur; Juszczuk, Rafał; Majdak, Małgorzata [Tele and Radio Research Institute, Ratuszowa 11, 03-450 Warsaw (Poland); Bartusek, Stanilav [VŠB—Technical University of Ostrava, 17. listopadu 15/2172, 708 33 Ostrava − Poruba Czech Republic (Czech Republic)

    2016-11-15

    Highlights: • A first stage of the process of waste utilization consisted in pyrolysis of waste. • Then the pyrolytic gas was oxidized with a use of non-equilibrium plasma. • The device for the process implementation was built and characterized. • Correctness of the device operation was proven with a use of the decomposition of PE. • Usefulness of the method was proven in the process of utilization of EW. - Abstract: This paper is focused on the new method of waste processing. The waste, including hazardous waste, contain organic compounds. The method consists in two main processes: the pyrolysis of waste and the oxidation of the pyrolytic gas with a use of non-equilibrium plasma. The practical implementation of the method requires the design, construction and testing of the new device in large laboratory scale. The experiments were carried out for the two kinds of waste: polyethylene as a model waste and the electronic waste as a real waste. The process of polyethylene decomposition showed that the operation of the device is correct because 99.74% of carbon moles contained in the PE samples was detected in the gas after the process. Thus, the PE samples practically were pyrolyzed completely to hydrocarbons, which were completely oxidized in the plasma reactor. It turned out that the device is useful for decomposition of the electronic waste. The conditions in the plasma reactor during the oxidation process of the pyrolysis products did not promote the formation of PCDD/Fs despite the presence of the oxidizing conditions. An important parameter determining the efficiency of the oxidation of the pyrolysis products is gas temperature in the plasma reactor.

  9. The application of selected radionuclides for monitoring of the D-D reactions produced by dense plasma-focus device.

    Science.gov (United States)

    Jednorog, S; Szydlowski, A; Bienkowska, B; Prokopowicz, R

    The dense plasma focus (DPF) device-DPF-1000U which is operated at the Institute of Plasma Physics and Laser Microfusion is the largest that type plasma experiment in the world. The plasma that is formed in large plasma experiments is characterized by vast numbers of parameters. All of them need to be monitored. A neutron activation method occupies a high position among others plasma diagnostic methods. The above method is off-line, remote, and an integrated one. The plasma which has enough temperature to bring about nuclear fusion reactions is always a strong source of neutrons that leave the reactions area and take along energy and important information on plasma parameters and properties as well. Silver as activated material is used as an effective way of neutrons measurement, especially when they are emitted in the form of short pulses like as it happens from the plasma produced in Dense Plasma-Focus devices. Other elements such as beryllium and yttrium are newly introduced and currently tested at the Institute of Plasma Physics and Laser Microfusion to use them in suitable activation neutron detectors. Some specially designed massive indium samples have been recently adopted for angular neutrons distribution measurements (vertical and horizontal) and have been used in the recent plasma experiment conducted on the DPF-1000U device. This choice was substantiated by relatively long half-lives of the neutron induced isotopes and the threshold character of the 115 In(n,n') 115m In nuclear reaction.

  10. Bursty fluctuation characteristics in SOL/divertor plasmas of large helical device

    International Nuclear Information System (INIS)

    Ohno, N.

    2006-01-01

    Full text: Fluctuation properties in the SOL plasmas were intensively studied to understand the crossfield plasma transport, which determines the SOL structure and heat/particle deposition onto the first wall. Recent studies in tokamaks showed that the SOL density fluctuation is highly intermittent. Convective cross-field transport associated with the intermittent events would have strong influence on recycling processes and impurity generation from the first wall. On the other hand, in helical devices, there are few systematic studies on the SOL fluctuation property focusing on the intermittent bursty fluctuations related to plasma blob transport. Recent theory predicts that the blobs propagate toward a low field side in tokamaks. On the other hand, in the Large Helical Device (LHD), the direction of the gradient in B is not uniform because the high-field and the low-field sides rotates poloidally along the torus in the helical system. Comparison between the intermittent bursty fluctuations in the edge plasma of tokamaks and helical devices makes it possible to understand the essential physics of the blob transport. Recently, fast camera observation showed the radial motion of filaments in the edge of the LHD, suggesting the convective cross-field transport. In this paper, bursty fluctuation properties in the edge of the LHD have been investigated by analyzing the ion saturation currents measured with a probe array embedded in an outboard divertor plate. Statistical analysis based on probability distribution function was employed to determine the intermittent evens in the density fluctuation. Large positive bursty events were often observed in the ion saturation current measured with a divertor probe near a divertor leg at which the magnetic line of force connected to the area of a low-field side with a short connection length. Condition averaging result of the positive bursty events indicates the intermittent feature with a rapid increase and a slow decay is

  11. Development of 2.45GHz compact ECR ion sources with permanent magnets

    International Nuclear Information System (INIS)

    Tojyo, E.; Ohshiro, Y.; Oyaizu, M.; Shirakabe, Y.

    1993-05-01

    Two kinds of new compact ECR ion sources have been developed by use of permanent magnets only, for the purpose of acceleration tests of the 25.5MHz INS split coaxial RFQ linac and the 50MHz one. Confined magnetic fields of sources are constructed by permanent magnets only. In this paper design parameters, structures, magnetic field distributions and extracted beam properties of these sources are described briefly. (author)

  12. Isolation, structure elucidation and antibacterial activity of methyl-4,8-dimethylundecanate from the marine actinobacterium Streptomyces albogriseolus ECR64.

    Science.gov (United States)

    Thirumurugan, Durairaj; Vijayakumar, Ramasamy; Vadivalagan, Chithravel; Karthika, Pushparaj; Alam Khan, Md Khurshid

    2018-05-25

    Around 120 actinobacterial colonies were isolated from various regions of marine East coast region of Tamil Nadu, India. Among them, 33 were morphologically distinct and they were preliminarily screened for their antibacterial activity against Pseudomonas fluorescens, Vibrio cholerae, V. parahaemolyticus, V. alginolyticus, and Aeromonas hydrophila by cross-streak plate technique. Among the isolated, the isolate ECR64 exhibited maximum zone of inhibition against fish pathogenic bacteria. The crude bioactive compounds were extracted from the isolate ECR64 using different organic solvents which exhibited maximum antibacterial activity. Separation and purification of the bioactive compounds were made by column chromatography which yielded 27 fractions and were re-chromatographed to obtain the active compound. Ultra violet (UV), Fourier transform infrared (FT-IR) and nuclear magnetic resonance (NMR) spectral studies were used to predict the structure of the active compound which was identified as methyl-4,8-dimethylundecanate. The potential isolate ECR64 was identified as Streptomyces albogriseolus by phylogenetic, phenotypic and genotypic (16S rRNA gene sequence) analyses. The identified compound methyl-4,8-dimethylundecanate can be used as potential and alternative drug in disease management of aquaculture. Copyright © 2018 Elsevier Ltd. All rights reserved.

  13. Atmospheric pressure plasma jets: an overview of devices and new directions

    International Nuclear Information System (INIS)

    Winter, J; Brandenburg, R; Weltmann, K-D

    2015-01-01

    Atmospheric pressure plasma jets have a long history of more than 50 years. During this time their design and plasma generation mechanism has been developed and adapted to various fields of applications. This review aims at giving an overview of jet devices by starting with a brief history of their development. This is followed by an overview of commonly used terms and definitions as well as a survey of different classification schemes (e.g. geometry, excition frequency or specific energy input) described in literature. A selective update of new designs and novel research achievments on atmospheric pressure plasma jets published in 2012 or later shows the impressive variety and rapid development of the field. Finally, a brief outlook on the future trends and directions is given. (paper)

  14. Electron cyclotron resonance microwave ion sources for thin film processing

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1990-01-01

    Plasmas created by microwave absorption at the electron cyclotron resonance (ECR) are increasingly used for a variety of plasma processes, including both etching and deposition. ECR sources efficiently couple energy to electrons and use magnetic confinement to maximize the probability of an electron creating an ion or free radical in pressure regimes where the mean free path for ionization is comparable to the ECR source dimensions. The general operating principles of ECR sources are discussed with special emphasis on their use for thin film etching. Data on source performance during Cl base etching of Si using an ECR system are presented. 32 refs., 5 figs

  15. Degradation of energy confinement or degradation of plasma-heating. What is the main definite process for Plasma transport in stellarator?

    International Nuclear Information System (INIS)

    Fedynin, O.I.; Andryuklina, E.D.

    1995-01-01

    The analysis of plasma energy balance in stellarators and tokamaks depends on the different assumptions made and may give different and even contradictory results. When assuming full power absorption by thermal plasmas, paradoxical results can be obtained: degradation of the energy confinement time with heating power as well as degradation of plasma thermal conductivity in very short times (t<< tau:E) during power modulation experiments are deduced. On the other hand, assuming that plasma transport characteristics do not change while pain plasma parameters (density and temperature, their gradients, etc.) are kept constant, leads to conclude that heating efficiency is not unity and that it depends on both, plasma parameters and heating power. In this case no contradiction is found when analyzing plasma energy balances. In this paper the results of ECRH experiments on L-2M will be presented. The experiments were aimed to try to answer this important question. Analyses of the fast processes occurring during the switch off phase of the ECR heating, modulation of the heating power, and specific plasma decay phase, have lead to the conclusion that plasma transport characteristics remaining unchanged during fast variations of the heating power is the correct assumption. 2 refs

  16. Initial Results of Optical Vortex Laser Absorption Spectroscopy in the HYPER-I Device

    Science.gov (United States)

    Yoshimura, Shinji; Asai, Shoma; Aramaki, Mitsutoshi; Terasaka, Kenichiro; Ozawa, Naoya; Tanaka, Masayoshi; Morisaki, Tomohiro

    2015-11-01

    Optical vortex beams have a potential to make a new Doppler measurement, because not only parallel but perpendicular movement of atoms against the beam axis causes the Doppler shift of their resonant absorption frequency. As the first step of a proof-of-principle experiment, we have performed the optical vortex laser absorption spectroscopy for metastable argon neutrals in an ECR plasma produced in the HYPER-I device at the National Institute for Fusion Science, Japan. An external cavity diode laser (TOPTICA, DL100) of which center wavelength was 696.735 nm in vacuum was used for the light source. The Hermite-Gaussian (HG) beam was converted into the Laguerre-Gaussian (LG) beam (optical vortex) by a computer-generated hologram displayed on the spatial light modulator (Hamamatsu, LCOS-SLM X10468-07). In order to make fast neutral flow across the LG beam, a high speed solenoid valve system was installed on the HYPER-I device. Initial results including the comparison of absorption spectra for HG and LG beams will be presented. This study was supported by NINS young scientists collaboration program for cross-disciplinary study, NIFS collaboration research program (NIFS13KOAP026), and JSPS KAKENHI grant number 15K05365.

  17. Ion implantation on nickel targets by means of repetitive plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Vitulli, S.; Rapezzi, L. [ENEA Brasimone, Camugnano, Bologna (Italy); Apicella, M.L.; Samuelli, M. [ENEA Frascati, Frascati, Roma (Italy)

    2004-07-01

    Some test has been done in order to assess the possible use of a plasma focus as an implanter. The device utilized is the repetitive Plasma Focus operating in the ENEA Brasimone Center. The implanted sample is a sheet of Nickel with a surface of 17 cm{sup 2} inserted in a rigid sample at a variable distance from the top of the anode. After irradiation the sample is analyzed with Auger spectroscopy that provides the surface concentration of the various elements on the sample at different implantation depths. The result of the analysis shows that the Plasma Focus is an effective implantation source, even for metallurgical applications. (orig.)

  18. Current sheath curvature correlation with the neon soft x-ray emission from plasma focus device

    International Nuclear Information System (INIS)

    Zhang, T; Lin, X; Chandra, K A; Tan, T L; Springham, S V; Patran, A; Lee, P; Lee, S; Rawat, R S

    2005-01-01

    The insulator sleeve length is one of the major parameters that can severely affect the neon soft x-ray yield from a plasma focus. The effect of the insulation sleeve length on various characteristic timings of plasma focus discharges and hence the soft x-ray emission characteristics has been investigated using a resistive divider. The pinhole images and laser shadowgraphy are used to explain the observed variation in the average soft x-ray yield (measured using a diode x-ray spectrometer) with variation of the insulator sleeve length. We have found that for a neon filled plasma focus device the change in insulator sleeve length changes the current sheath curvature angle and thus the length of the focused plasma column. The optimized current sheath curvature angle is found to be between 39 0 and 41 0 , at the specific axial position of 6.2-9.3 cm from the cathode support plate, for our 3.3 kJ plasma focus device. A strong dependence of the neon soft x-ray yield on the current sheath curvature angle has thus been reported

  19. PK-ISIS: a new superconducting ECR ion source at Pantechnik

    International Nuclear Information System (INIS)

    Villari, A.C.; Bieth, C.; Bougy, W.; Brionne, N.; Donzel, X.; Gaubert, G.; Leroy, R.; Sineau, A.; Tasset, O.; Vallerand, C.; Thuillier, T.

    2012-01-01

    The new ECR ion source PK-ISIS was recently commissioned at Pantechnik. Three superconducting coils generate the axial magnetic field configuration while the radial magnetic field is done with multi-layer permanent magnets. Special care was devoted in the design of the hexapolar structure, allowing a maximum magnetic field of 1.32 T at the wall of the 82 mm diameter plasma chamber. The three superconducting coils using Low Temperature Superconducting wires are cooled by a single double stage cryo-cooler (4.2 K). Cryogen-free technology is used, providing reliability, easy maintenance at low cost. The maximum installed RF power (18.0 GHz) is of 2 kW. Metallic beams can be produced with an oven (T max = 1400 C) installed with an angle of 5 degrees with respect to the source axis or a sputtering system, mounted in the axis of the source. The beam extraction system is constituted of three electrodes in accel-decel configuration. The new source of Pantechnik is conceived for reaching optimum performances at 18 GHz RF frequencies. PK-ISIS delivers 5 to 10 times more beam intensity than the original PK-DELIS and/or shifting the charge state distribution to higher values. PK-ISIS is built with Low Temperature Superconducting wire technology (LTS), but keeps the He-free concept, extremely important for a reliable and easy operation. The radial field circuit is permanent magnet made. Finally, PK-ISIS is also conceived for using in a High-Voltage platform with minor power consumption. The paper is followed by the slides of the presentation. (A.C.)

  20. Potential formation in the plasma confinement region of a radio-frequency plugged linear device

    International Nuclear Information System (INIS)

    Fujita, Hideki; Kumazawa, Ryuhei; Howald, A.M.; Okamura, Shoichi; Sato, Teruyuki; Adati, Keizo; Garner, H.R.; Nishimura, Kiyohiko.

    1987-08-01

    Plasma potential formation in an open-ended plasma confinement system with RF plugging (the RFC-XX-M device) is investigated. The plasma potential in the central confinement region is measured with a heavy ion beam probe system and potentials at the RF plug section are measured with multi-grid energy analyzers. The measured plasma potential is compared with that deduced from the generalized Pastukhov formula. Results show that the plasma potential develops as an ambipolar potential to equate ion and electron end losses. During RF plugging, electrons are heated by Landau damping, while ions are not heated since adiabatic conditions apply during ion plugging in this experiment. (author)

  1. Palm top plasma focus device as a portable pulsed neutron source

    International Nuclear Information System (INIS)

    Rout, R. K.; Niranjan, Ram; Srivastava, R.; Rawool, A. M.; Kaushik, T. C.; Gupta, Satish C.; Mishra, P.

    2013-01-01

    Development of a palm top plasma focus device generating (5.2 ± 0.8) × 10 4 neutrons/pulse into 4π steradians with a pulse width of 15 ± 3 ns is reported for the first time. The weight of the system is less than 1.5 kg. The system comprises a compact capacitor bank, a triggered open air spark gap switch, and a sealed type miniature plasma focus tube. The setup is around 14 cm in diameter and 12.5 cm in length. The energy driver for the unit is a capacitor bank of four cylindrical commercially available electrolytic capacitors. Each capacitor is of 2 μF capacity, 4.5 cm in diameter, and 9.8 cm in length. The cost of each capacitor is less than US$ 10. The internal diameter and the effective length of the plasma focus unit are 2.9 cm and 5 cm, respectively. A DC to DC converter power supply powered by two rechargeable batteries charges the capacitor bank to the desired voltage and also provides a trigger pulse of −15 kV to the spark gap. The maximum energy of operation of the device is 100 J (8 μF, 5 kV, 59 kA) with deuterium gas filling pressure of 3 mbar. The neutrons have also been produced at energy as low as 36 J (3 kV) of operation. The neutron diagnostics are carried out with a bank of 3 He detectors and with a plastic scintillator detector. The device is portable, reusable, and can be operated for multiple shots with a single gas filling.

  2. Detection of x-rays emitted from a plasma focus device with energy of 2.8 KJ, and its applications in plasma diagnostic and radiography

    International Nuclear Information System (INIS)

    AL-Hawat, Sh.; Akel, M.

    2011-06-01

    The local plasma focus device was modified by replacing the old capacitors (25μF,20 kV ,1.43μH ) and the open spark gap by new capacitors with (25μF,20 kV ,200 nH ) and a new closed spark gap, so instead of a current of 50 kA as a maximum value we obtained a maximum current about 120 kA. The modified device is capable now to generate x-rays, which was confirmed by taking some radiographies for metallic pieces, electronic elements and others . In addition to that some diagnostics were carried out on the device using Ohm voltage divider to record voltage curves, Rogovskii coil for measuring the current, and five channel diodes to evaluate the temporal evolution of x-rays generated in the device working on argon vs. pressure and voltage. The generation of the soft x-ray emission in a low energy 2.8 kJ plasma focus device operated with argon using a detector of five PIN-Si BPX-65 diodes filtered with different foils of Mylar, Al and Cu. Spectral analysis using the recorded x-ray signals ratio method shows that there are two components in the x-ray emissions: one arising from the focused argon plasma with temperature of 2.5 keV and the other arising from the electron beam activity on copper anode, where the second component is predominant in most of investigated experiments due to the used of solid anode. Numerical experiments were carried out using five phases radiative Lee model RADPF5.15d-dd with N 2 , O 2 , Ar, Ne gases on plasma focus device AECS PF1-2 (or PF SY1-2) for its characterization and soft x-ray optimization. (author)

  3. Computer simulation of a plasma focus device driven by a magnetic pulser

    Energy Technology Data Exchange (ETDEWEB)

    Georgescu, N; Zoita, V [Inst. of Physics and Technology of Radiation Devices, Bucharest (Romania); Larour, J [Ecole Polytechnique, Palaiseau (France). Lab. de Physique des Milieux Ionises

    1997-12-31

    A plasma focus device, driven by a magnetic pulse compression circuit, is simulated by using a PSPICE proffam. The elaborated program is much simpler than the other existing ones, which analyse the circuit by directly solving a system of integral-differential equations. The pre-pulse voltage and the high-voltage rise-times are obtained for a set of values of the bypass impedance (R or L). The optimum bypass impedance turns out to be an inductance. During the discharge period, the plasma load is considered as an LR impedance, each component being time dependent. A method is presented for giving us the possibility to introduce the time varying impedances in a PSPICE program. Finally, a set of simulation results (plasma current and voltage, plasma magnetic energy, plasma sheath mechanical energy, pinch voltage) is shown. The results are in good agreement with the classical experimental data. (author). 2 figs., 4 refs.

  4. Plasma sprayed TiC coatings for first wall protection in fusion devices

    International Nuclear Information System (INIS)

    Groot, P.; Laan, J.G. van der; Laas, L.; Mack, M.; Dvorak, M.

    1989-01-01

    For protection of plasma facing components in nuclear fusion devices thick titanium carbide coatings are being developed. Coatings have been produced by plasma spraying at atmospheric pressure (APS) and low pressure (LPPS) and analyzed with respect to microstructure and chemical composition. Thermo-mechanical evaluation has been performed by applying short pulse laser heat flux tests. The influence of coating thickness and porosity on the resistance to spalling by thermal shocks appears to be more important than aspects of chemical composition. (author)

  5. Transport and emittance study for 18 GHz superconducting-ECR ion source at RCNP.

    Science.gov (United States)

    Yorita, T; Hatanaka, K; Fukuda, M; Ueda, H; Kibayashi, M; Morinobu, S; Tamii, A

    2012-02-01

    As the upgrade program of the azimuthally varying field (AVF) cyclotron is at the cyclotron facility of the RCNP, Osaka University for the improvement of the quality, stability, and intensity of accelerated beams, an 18 GHz superconducting (SC) ECR ion source has been installed to increase beam currents and to extend the variety of ions, especially for highly charged heavy ions which can be accelerated by RCNP AVF cyclotron. The production development of several ions such as B, O, N, Ne, Ar, Ni, Kr, and Xe has been performed by Yorita et al. [Rev. Sci. Instrum. 79, 02A311(2008); 81, 02A332 (2010)]. Further studies for the beam transport have been done in order to improve the beam current more for injection of cyclotron. The effect of field leakage of AVF main coil is not negligible and additional steering magnet has been installed and then beam transmission has been improved. The emittance monitor has also been developed for the purpose of investigating correlation between emittance of beam from ECR ion sources and injection efficiency. The monitor consists with BPM82 with rotating wire for fast measurement for efficient study.

  6. Highly ionized copper contribution to the soft X-ray emission in a plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Zoita, V; Patran, A [Inst. of Physics and Technology of Radiation Devices, Bucharest (Romania); Larour, J [Ecole Polytechnique, Palaiseau (France). Lab. de Physique des Milieux Ionises

    1997-12-31

    In order to discriminate between the contributions of the gas plasma and of the anode (solid or plasma) to the soft X-ray emission in a plasma focus device, a series of experiments was carried out using the following combinations of experimental conditions: various gases, different absorption filters and viewing different regions in front of the centre electrode. The experiments were performed on the IPF-2/20 plasma focus device using the following working gases: helium, neon and helium-argon mixtures. The diagnostics used: magnetic probe for current derivative, PIN diode for the minimum pinch radius detection, PIN diodes for the soft X-ray emission, scintillator-photomultiplier detector for the hard X-ray emission. From the analysis of the various diagnostics data recorded with very good time correlation, it followed that the soft K-ray signals had a strong contribution from optical transitions of the highly ionised Cu (Cu XX to XXII) emitting in the range 0.8-1.3 nm. (author). 7 figs., 9 refs.

  7. A validation of the Experiences in Close Relationships-Relationship Structures scale (ECR-RS) in adolescents

    DEFF Research Database (Denmark)

    Donbaek, Dagmar Feddern; Elklit, Ask

    2014-01-01

    structures in adults and, hence, moves beyond the traditional focus on romantic relationships. The present article explored the psychometric abilities of the ECR-RS across parental and best friend domains in a sample of 15 to 18-year-olds (n = 1999). Two oblique factors were revealed across domains...

  8. Development of ECR ion source and LEBT technology for RIA

    International Nuclear Information System (INIS)

    Leitner, Daniela; Lyneis, Claude M.; Abbott, Steven R.; Dwinell, Roger D.; Leitner, Matthaeus; Silver, Charles S.; Taylor, Clyde E.

    2004-01-01

    The Rare Isotope Accelerator (RIA) Linac driver requires a great variety of high charge state ion beams with up to a magnitude higher intensity than currently achievable for the heaviest masses. The goal of the RIA injector R and D program for VENUS is the reliable production of intense medium charge state ion beams, e.g., 8 puA (particle mu A) of U29+. Therefore, the superconducting ECR ion source VENUS has been designed from the beginning for optimum operation at 28 GHz at high power (10 kW). In addition, a high intensity Low Energy Beam Transport, LEBT, that was developed to analyze and transport these multiply-charged, space charge dominated beams. During the last year VENUS was commissioned at 18 GHz and preparations for 28 GHz operation continued. Tests with various gases and recently metals have been performed with up to 2000 W of 18 GHz RF power. Promising performance has been measured in those preliminary beam tests. For example, 180 p mu A of O6+, 15 p mu A of Ar12+, 7.5 puA of X e20+ and 4puA of Bi24+ were produced in the early commissioning phase, ranking VENUS among the currently highest performance 18 GHz ECR ion sources. In FY04 a 10 kW 28 gyrotron system will be added, which will enable VENUS to reach full performance. The emittance of the beams produced at 18 GHz was measured with a two axis emittance scanner developed with earlier RIA R and D funds

  9. System constitution of plasma high frequency heating device and element equipment

    International Nuclear Information System (INIS)

    Nagashima, Takashi

    1988-01-01

    On the high frequency heating device used for nuclear fusion experiment, the system constitution and the main items of development for the element equipment are described. As for the high frequency heating device, large technical progress was observed in the past 10 years as the second stage heating for tokamaks and one of the main means of current drive. At present, three frequency zones are regarded as promising for plasma high frequency heating in large nuclear fusion devices, and the experiment of 10 MW class is in progress at JT-60, JET and so on. There are electron cyclotron heating, lower hybrid resonance frequency heating and ion cyclotron range of frquency heating. The basic constitution of these heating devices includes a high frequency source, a transmission system, a connection system, and a common system for control, cooling, record and others. The ECH device using gyrotrons of several tens GHz, the LHRF heating device using large power klystrons up to several GHz and the ICRF heating device up to 200 MHz are briefly explained. The main element equipments composing the high frequency heating systems of several tens MW are discussed. (Kako, I.)

  10. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  11. Measurements of ion temperature and flow of pulsed plasmas produced by a magnetized coaxial plasma gun device using an ion Doppler spectrometer

    Science.gov (United States)

    Kitagawa, Y.; Sakuma, I.; Iwamoto, D.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is important to know surface damage characteristics of plasma-facing component materials during transient heat and particle loads such as type I ELMs. A magnetized coaxial plasma gun (MCPG) device has been used as transient heat and particle source in ELM simulation experiments. Characteristics of pulsed plasmas produced by the MCPG device play an important role for the plasma material interaction. In this study, ion temperature and flow velocity of pulsed He plasmas were measured by an ion Doppler spectrometer (IDS). The IDS system consists of a light collection system including optical fibers, 1m-spectrometer and a 16 channel photomultiplier tube (PMT) detector. The IDS system measures the width and Doppler shift of HeII (468.58 nm) emission line with the time resolution of 1 μs. The Doppler broadened and shifted spectra were measured with 45 and 135 degree angles with respect to the plasmoid traveling direction. The observed emission line profile was represented by sum of two Gaussian components to determine the temperature and flow velocity. The minor component at around the wavelength of zero-velocity was produced by the stationary plasma. As the results, the ion velocity and temperature were 68 km/s and 19 eV, respectively. Thus, the He ion flow energy is 97 eV. The observed flow velocity agrees with that measured by a time of flight technique.

  12. Plasma heating in the TM-3 Tokamak at electron-cyclotron resonance with magnetic fields up to 25 ke

    International Nuclear Information System (INIS)

    Alikaev, V.V.; Bobrovskii, G.A.; Poznyak, V.I.; Razumova, K.A.; Sannikov, V.V.; Sokolov, Yu.A.; Shmarin, A.A.

    Experiments were conducted in heating plasma at electron-cyclotron resonance (ECR) with longitudinal magnetic fields up to 25 ke. It was shown by the aid of laser diagnosis that the temperature of the basic component of the electrons increases in accordance with the classical mechanism of heating at ECR in the process of electron-cyclotron heating (ECH). The distribution of the temperature of electrons with respect to radius was measured. The relationship of energetic lifetime in the Tokamak and electron temperature was obtained and the magnitude of energetic lifetime of accelerated electrons in the function of their energy was estimated. The value β/sub tau/ approximately equal to 2.2 was obtained by the aid of ECH in a regime with small discharge currents

  13. ECR-MAPK regulation in liver early development.

    Science.gov (United States)

    Zhao, Xiu-Ju; Zhuo, Hexian

    2014-01-01

    Early growth is connected to a key link between embryonic development and aging. In this paper, liver gene expression profiles were assayed at postnatal day 22 and week 16 of age. Meanwhile another independent animal experiment and cell culture were carried out for validation. Significance analysis of microarrays, qPCR verification, drug induction/inhibition assays, and metabonomics indicated that alpha-2u globulin (extracellular region)-socs2 (-SH2-containing signals/receptor tyrosine kinases)-ppp2r2a/pik3c3 (MAPK signaling)-hsd3b5/cav2 (metabolism/organization) plays a vital role in early development. Taken together, early development of male rats is ECR and MAPK-mediated coordination of cancer-like growth and negative regulations. Our data represent the first comprehensive description of early individual development, which could be a valuable basis for understanding the functioning of the gene interaction network of infant development.

  14. Plasma position control device

    International Nuclear Information System (INIS)

    Takase, Haruhiko.

    1987-01-01

    Purpose: To conduct position control stably to various plasmas and reduce the burden on the control coil power source. Constitution: Among the proportional, integration and differentiation controls, a proportional-differentiation control section and an integration control section are connected in parallel. Then, a signal switching circuit is disposed to the control signal input section for the proportional-differentiation control section such that either a present position of plasmas or deviation between the present plasma position and an aimed value can be selected as a control signal depending on the control procedures or the state of the plasmas. For instance, if a rapid response is required for the control, the deviation between the present plasma position and the aimed value is selected as the input signal to conduct proportional, integration and differentiation controls. While on the other hand, if it is intended to reduce the burden on the control coil power source, it is adapted such that the control signal inputted to the proportional-differentiation control section itself can select the present plasma position. (Yoshihara, H.)

  15. Escala de Ciúme Romântico (ECR: Evidências Psicométricas de uma Versão Reduzida

    Directory of Open Access Journals (Sweden)

    Valdiney Veloso Gouveia

    Full Text Available Este artigo objetivou descrever a elaboração da versão reduzida da Escala de Ciúme Romântico (ECR, reunindo evidências de sua adequação psicométrica. Dois estudos foram realizados. No Estudo 1 participaram 301 pessoas com idade média de 29 anos (54,2% mulheres. Estes responderam a ECR e perguntas demográficas. Os resultados apoiaram a adequação psicométrica da ECR, cuja análise de componentes principais mostrou dois componentes (ameaça e não ameaça com alfas de Cronbach (α superiores a 0,70. NoEstudo 2 participaram 281 pessoas com idade média de 24 anos (51,6% mulheres, as quais responderam o mesmo questionário do estudo prévio. Os resultados da análise fatorial confirmatória endossaram a solução bifatorial, resultando em uma medida breve com 15 itens, cujos fatores também apresentaram αs acima de 0,70. Concluindo, esta parece ser uma medida que apresenta evidências de validade fatorial e consistência interna, podendo ser útil em contextos de pesquisa e clínico.

  16. Studies of electron cyclotron resonance ion source plasma physics

    International Nuclear Information System (INIS)

    Tarvainen, O.

    2005-01-01

    This thesis consists of an introduction to the plasma physics of electron cyclotron resonance ion sources (ECRIS) and a review of the results obtained by the author and co-workers including discussion of related work by others. The thesis begins with a theoretical discussion dealing with plasma physics relevant for the production of highly charged ions in ECR ion source plasmas. This is followed by an overview of different techniques, such as gas mixing and double frequency heating, that can be used to improve the performance of this type of ion source. The experimental part of the work consists of studies related to ECRIS plasma physics. The effect of the gas mixing technique on the production efficiency of different ion beams was studied with both gaseous and solid materials. It was observed that gas mixing improves the confinement of the heavier element while the confinement of the lighter element is reduced. When the effect of gas mixing on MIVOC-plasmas was studied with several mixing gases it was observed that applying this technique can reduce the inevitable carbon contamination by a significant factor. In order to understand the different plasma processes taking place in ECRIS plasmas, a series of plasma potential and emittance measurements was carried out. An instrument, which can be used to measure the plasma potential in a single measurement without disturbing the plasma, was developed for this work. Studying the plasma potential of ECR ion sources is important not only because it helps to understand different plasma processes, but also because the information can be used as an input parameter for beam transport simulations and ion source extraction design. The experiments performed have revealed clear dependencies of the plasma potential on certain source parameters such as the amount of carbon contamination accumulated on the walls of the plasma chamber during a MIVOC-run. It was also observed that gas mixing affects not only the production efficiency

  17. Polarization reversal of electron cyclotron wave due to radial boundary condition

    International Nuclear Information System (INIS)

    Takahashi, K.; Kaneko, T.; Hatakeyama, R.

    2004-01-01

    The electron cyclotron wave is an important plasma wave in the fields of basic plasma physics and nuclear fusion. Propagation and absorption of electromagnetic waves with electron cyclotron resonance (ECR) frequency are experimentally and theoretically investigated for the case of inhomogeneously magnetized plasma column with peripheral vacuum layer, when a left-hand polarized wave (LHPW) is selectively launched. The polarization reversal from the LHPW to the right-hand polarized wave is found to occur near the ECR point. As a result, it is clarified that the LHPW, which has been considered not to be absorbed at the ECR point, is absorbed near the ECR point. The phenomena can be explained by taking into account the effects of the radial boundary conditions. In addition, it is found that the polarization reversal point can be adjusted by the external parameters, for example, plasma radius. (authors)

  18. Optimization of neon soft X-ray emission from 200 J plasma focus device for application in soft X-ray lithography

    International Nuclear Information System (INIS)

    Kalaiselvi, S.M. P.; Tan, T.L.; Talebitaher, A.; Lee, Paul; Rawat, R.S.

    2014-01-01

    The Fast Miniature Plasma Focus (FMPF) device is basically made up of coaxial electrodes with centrally placed anode and six cathode rods surrounding them concentrically. They are enclosed in a vacuum chamber, filled with low pressure operating gas. However, in our experiments, these cathode rods were removed to investigate the influence of them on neon soft X-ray (SXR) and hard X-ray (HXR) emission from the device. On removal of cathode rods, the cathode base plate serves as cathode and the plasma sheath is formed between the anode and the base plate of cathode. Neon was used as the operating gas for our experiments and the FMPF device used is of 235 J energy capacities. The experimental results showed that the FMPF device was able to focus better and the SXR emission efficiency was five times higher without cathode rods than with cathode rods. On the contrary, HXR emission did not vary with and without cathode rods. This observed phenomenon was further cross-checked through imaging of plasma dynamics, with and without cathode rods. FMPF device consists of 4 Pseudo Spark Gap (PSG) switches, which need to operate synchronously to deliver high voltage from capacitors to the anode. It was also seen that, the presence or absence of cathode rods also influence the synchronous operation of PSG switches. It also implies that this is one definite way to optimize the SXR emission from the FMPF device. This study reveals an important finding that, cathode rods play a vital role in the formation of plasma sheath with consequential influence on the radiation emission from plasma focus devices. Enhancement of the X-ray emission from this device is definitely a stepping stone in the realization of this device for industrial applications such as X-ray lithography for semiconductor industries. (author)

  19. Dust in fusion devices-a multi-faceted problem connecting high- and low-temperature plasma physics

    International Nuclear Information System (INIS)

    Winter, J

    2004-01-01

    Small particles with sizes between a few nanometers and a few 10 μm (dust) are formed in fusion devices by plasma-surface interaction processes. Though it is not a major problem today, dust is considered a problem that could arise in future long pulse fusion devices. This is primarily due to its radioactivity and due to its very high chemical reactivity. Dust formation is particularly pronounced when carbonaceous wall materials are used. Dust particles can be transported in the tokamak over significant distances. Radioactivity leads to electrical charging of dust and to its interaction with plasmas and electric fields. This may cause interference with the discharge but may also result in options for particle removal. This paper discusses some of the multi-faceted problems using information both from fusion research and from low-temperature dusty plasma work

  20. Magnetic pulse compression circuits for plasma devices

    Energy Technology Data Exchange (ETDEWEB)

    Georgescu, N; Zoita, V; Presura, R [Inst. of Physics and Technology of Radiation Devices, Bucharest (Romania)

    1997-12-31

    Two magnetic pulse compression circuits (MPCC), for two different plasma devices, are presented. The first is a 20 J/pulse, 3-stage circuit designed to trigger a low pressure discharge. The circuit has 16-18 kV working voltage, and 200 nF in each stage. The saturable inductors are realized with toroidal 25 {mu}m strip-wound cores, made of a Fe-Ni alloy, with 1.5 T saturation induction. The total magnetic volume is around 290 cm{sup 3}. By using a 25 kV/1 A thyratron as a primary switch, the time compression is from 3.5 {mu}s to 450 ns, in a short-circuit load. The second magnetic pulser is a 200 J/pulse circuit, designed to drive a high average power plasma focus soft X-ray source, for X-ray microlithography as the main application. The 3-stage pulser should supply a maximum load current of 100 kA with a rise-time of 250 - 300 ns. The maximum pulse voltage applied on the plasma discharge chamber is around 20 - 25 kV. The three saturable inductors in the circuit are made of toroidal strip-wound cores with METGLAS 2605 CO amorphous alloy as the magnetic material. The total, optimized mass of the magnetic material is 34 kg. The maximum repetition rate is limited at 100 Hz by the thyratron used in the first stage of the circuit, the driver supplying to the load about 20 kW average power. (author). 1 tab., 3 figs., 3 refs.

  1. Comparison of measured and modelled negative hydrogen ion densities at the ECR-discharge HOMER

    Energy Technology Data Exchange (ETDEWEB)

    Rauner, D.; Kurutz, U.; Fantz, U. [Max-Planck-Institut für Plasmaphysik, Boltzmannstr. 2, 85748 Garching (Germany); AG Experimentelle Plasmaphysik, Universität Augsburg, 86135 Augsburg (Germany)

    2015-04-08

    As the negative hydrogen ion density n{sub H{sup −}} is a key parameter for the investigation of negative ion sources, its diagnostic quantification is essential in source development and operation as well as for fundamental research. By utilizing the photodetachment process of negative ions, generally two different diagnostic methods can be applied: via laser photodetachment, the density of negative ions is measured locally, but only relatively to the electron density. To obtain absolute densities, the electron density has to be measured additionally, which induces further uncertainties. Via cavity ring-down spectroscopy (CRDS), the absolute density of H{sup −} is measured directly, however LOS-averaged over the plasma length. At the ECR-discharge HOMER, where H{sup −} is produced in the plasma volume, laser photodetachment is applied as the standard method to measure n{sub H{sup −}}. The additional application of CRDS provides the possibility to directly obtain absolute values of n{sub H{sup −}}, thereby successfully bench-marking the laser photodetachment system as both diagnostics are in good agreement. In the investigated pressure range from 0.3 to 3 Pa, the measured negative hydrogen ion density shows a maximum at 1 to 1.5 Pa and an approximately linear response to increasing input microwave powers from 200 up to 500 W. Additionally, the volume production of negative ions is 0-dimensionally modelled by balancing H{sup −} production and destruction processes. The modelled densities are adapted to the absolute measurements of n{sub H{sup −}} via CRDS, allowing to identify collisions of H{sup −} with hydrogen atoms (associative and non-associative detachment) to be the dominant loss process of H{sup −} in the plasma volume at HOMER. Furthermore, the characteristic peak of n{sub H{sup −}} observed at 1 to 1.5 Pa is identified to be caused by a comparable behaviour of the electron density with varying pressure, as n{sub e} determines

  2. Study on MHD instabilities in the CECI plasma device using Fourier probes

    International Nuclear Information System (INIS)

    Rosal, A.C.; Aso, Y.; Ueda, M.

    1991-01-01

    A magnetic diagnostics called Fourier analyser aiming to study MHD instabilities by Fourier series expansion of poloidal magnetic field for m ≤ 3 modes was developed and tested. The diagnostics will be used in the RFP (reversed field pinch) type toroidal plasma device. (M.C.K.)

  3. Code improvements and applications of a two-dimensional edge plasma model for toroidal devices

    International Nuclear Information System (INIS)

    Baelmans, M.

    1994-03-01

    This thesis focuses mainly on plasma behaviour in boundary layers of magnetically confined plasmas. Increasing emphasis has been put on edge studies during the last decade, as it became evident that some aspects of Tokamak operations are largely controlled, or even dominated, by edge processes. Therefore, the motivation for this research is to improve understanding of plasma behaviour in general, and edge plasma behaviour in particular, firstly in present experiments, and also to predict edge plasma conditions in future nuclear fusion devices. In a first section some fundamental concepts and principles of controlled fusion are described. Two different types of plasma confinement concepts which have promising features with regard to the above mentioned goal are outlined in a next section, 1.2. In section 1.3 an introduction to plasma edge phenomena is given. In a last section, 1.4, the outline of the thesis is described. (orig.)

  4. High density high performance plasma with internal diffusion barrier in Large Helical Device

    International Nuclear Information System (INIS)

    Sakamoto, R.; Kobayashi, M.; Miyazawa, J.

    2008-10-01

    A attractive high density plasma operational regime, namely an internal diffusion barrier (IDB), has been discovered in the intrinsic helical divertor configuration on the Large Helical Device (LHD). The IDB which enables core plasma to access a high density/high pressure regime has been developed. It is revealed that the IDB is reproducibly formed by pellet fueling in the magnetic configurations shifted outward in major radius. Attainable central plasma density exceeds 1x10 21 m -3 . Central pressure reaches 1.5 times atmospheric pressure and the central β value becomes fairly high even at high magnetic field, i.e. β(0)=5.5% at B t =2.57 T. (author)

  5. Radially sheared azimuthal flows and turbulent transport in a cylindrical helicon plasma device

    International Nuclear Information System (INIS)

    Tynan, G R; Burin, M J; Holland, C; Antar, G; Diamond, P H

    2004-01-01

    A radially sheared azimuthal flow is observed in a cylindrical helicon plasma device. The shear flow is roughly azimuthally symmetric and contains both time-stationary and slowly varying components. The turbulent radial particle flux is found to peak near the density gradient maximum and vanishes at the shear layer location. The shape of the radial plasma potential profile associated with the azimuthal E x B flow is predicted accurately by theory. The existence of the mean shear flow in a plasma with finite flow damping from ion-neutral collisions and no external momentum input implies the existence of radial angular momentum transport from the turbulent Reynolds-stress

  6. Plasma facing materials and components for future fusion devices - development, characterization and performance under fusion specific loading conditions

    Energy Technology Data Exchange (ETDEWEB)

    Linke, J. [Forschungszentrum Juelich (Germany). Inst. fuer Plasmaphysik

    2006-04-15

    The plasma exposed components in existing and future fusion devices are strongly affected by the plasma material interaction processes. These mechanisms have a strong influence on the plasma performance; in addition they have major impact on the lifetime of the plasma facing armour and the joining interface between the plasma facing material (PFM) and the heat sink. Besides physical and chemical sputtering processes, high heat quasi-stationary fluxes during normal and intense thermal transients are of serious concern for the engineers who develop reliable wall components. In addition, the material and component degradation due to intense fluxes of energetic neutrons is another critical issue in D-T-burning fusion devices which requires extensive RandD. This paper presents an overview on the materials development and joining, the testing of PFMs and components, and the analysis of the neutron irradiation induced degradation.

  7. Plasma facing materials and components for future fusion devices - development, characterization and performance under fusion specific loading conditions

    International Nuclear Information System (INIS)

    Linke, J.

    2006-01-01

    The plasma exposed components in existing and future fusion devices are strongly affected by the plasma material interaction processes. These mechanisms have a strong influence on the plasma performance; in addition they have major impact on the lifetime of the plasma facing armour and the joining interface between the plasma facing material (PFM) and the heat sink. Besides physical and chemical sputtering processes, high heat quasi-stationary fluxes during normal and intense thermal transients are of serious concern for the engineers who develop reliable wall components. In addition, the material and component degradation due to intense fluxes of energetic neutrons is another critical issue in D-T-burning fusion devices which requires extensive RandD. This paper presents an overview on the materials development and joining, the testing of PFMs and components, and the analysis of the neutron irradiation induced degradation

  8. ECR-MAPK Regulation in Liver Early Development

    Directory of Open Access Journals (Sweden)

    Xiu-Ju Zhao

    2014-01-01

    Full Text Available Early growth is connected to a key link between embryonic development and aging. In this paper, liver gene expression profiles were assayed at postnatal day 22 and week 16 of age. Meanwhile another independent animal experiment and cell culture were carried out for validation. Significance analysis of microarrays, qPCR verification, drug induction/inhibition assays, and metabonomics indicated that alpha-2u globulin (extracellular region-socs2 (-SH2-containing signals/receptor tyrosine kinases-ppp2r2a/pik3c3 (MAPK signaling-hsd3b5/cav2 (metabolism/organization plays a vital role in early development. Taken together, early development of male rats is ECR and MAPK-mediated coordination of cancer-like growth and negative regulations. Our data represent the first comprehensive description of early individual development, which could be a valuable basis for understanding the functioning of the gene interaction network of infant development.

  9. Experimental study of the performance of a very small repetitive plasma focus device in different working conditions

    Energy Technology Data Exchange (ETDEWEB)

    Goudarzi, S., E-mail: sgoudarzi@aeoi.org.ir; Babaee, H.; Esmaeli, A.; Nasiri, A. [Atomic Energy Organization of Iran, Plasma and Nuclear Fusion Research School, Nuclear Science and Technology Research Institute (Iran, Islamic Republic of)

    2017-01-15

    SORENA-1 is a very small repetitive Mather-type plasma focus device (20 J) that can operate at frequencies up to 1 Hz. This device has been designed and constructed in the Plasma and Nuclear Fusion Research School of the Nuclear Science and Technology Research Institute of Iran. In this article, the structure of SORENA-1 is described and results of experiments with Ar, Ne, and D{sub 2} working gases at several discharge voltages and initial pressures are presented and analyzed.

  10. Preparation of poly(2-chloroaniline) membrane and plasma surface modification

    International Nuclear Information System (INIS)

    Kir, E.; Oksuz, L.; Helhel, S.

    2006-01-01

    P2ClAn membranes were obtained from chemically synthesized poly(2-chloroaniline) (P2ClAn) by casting method. These membranes were cast from dimethyl formamide (DMF) and were in the undoped state. P2ClAn membranes were characterized by Fourier infrared spectroscopy and scanning electron microscopy. Measurements of water content capacity, membrane thickness and ion-exchange capacity of the cast membranes were carried out. P2ClAn membranes were treated by electron cylotron resonance (ECR) plasma for surface modification. Plasma treatment has been successfully utilized for improving the surface properties of P2ClAn membranes such as increasing pore diameters and number of pores for better anion or molecule transportation

  11. Hole injection enhancement in organic light emitting devices using plasma treated graphene oxide

    Energy Technology Data Exchange (ETDEWEB)

    Jesuraj, P. Justin; Parameshwari, R. [Centre for Nanoscience and Nanotechnology, School of Physics, Bharathidasan University, Tiruchirappalli, 620 024, Tamil Nadu (India); Kanthasamy, K.; Koch, J. [Institut für Festkörperphysik, ATMOS, Appelstr. 2, D-30167, Hannover (Germany); Pfnür, H. [Institut für Festkörperphysik, ATMOS, Appelstr. 2, D-30167, Hannover (Germany); Laboratorium für Nano- und Quantene$ngineering, Schneiderberg 30, D-30167, Hannover (Germany); Jeganathan, K., E-mail: kjeganathan@yahoo.com [Centre for Nanoscience and Nanotechnology, School of Physics, Bharathidasan University, Tiruchirappalli, 620 024, Tamil Nadu (India)

    2017-03-01

    Graphical abstract: Plasma treated Graphene oxide for hole injection enhancement in OLEDs. - Highlights: • Oxygen (O{sub 2}) and hydrogen (H{sub 2}) plasma exposed graphene oxide (GO) sheets have been demonstrated as hole buffer layers in OLEDs. • O{sub 2} plasma exposure induces assimilation of oxygen contents in GO lattice resulting in improved work function that reduced the hole injection barrier further. Whereas, H{sub 2} plasma contrastingly reduced the GO by excluding oxygen which ensuing lower work function. • X-ray photoelectron spectroscopy and ultraviolet photoelectron spectroscopy investigations reveal the capricious amount of oxygen in GO lattice and its corresponding work function variations. • GO and O{sub 2} plasma treated GO significantly improves the current efficiency of OLEDs more than one order with notable reduction in turn on voltage. - Abstract: The hole injection layer (HIL) with high work function (WF) is desirable to reduce the injection barrier between anode and hole transport layer in organic light emitting devices (OLED). Here, we report a novel approach to tune the WF of graphene oxide (GO) using oxygen and hydrogen plasma treatment and its hole injection properties in OLEDs. The mild exposure of oxygen plasma on GO (O{sub 2}-GO) significantly reduces the injection barrier by increasing the WF of anode (4.98 eV) through expansion of C−O bonds. In contrast, the hole injection barrier was drastically increased for hydrogen plasma treated GO (H{sub 2}-GO) layers as the WF is lowered by the contraction of C−O bond. By employing active O{sub 2}-GO as HIL in OLEDs found to exhibit superior current efficiency of 4.2 cd/A as compared to 3.3 cd/A for pristine GO. Further, the high injection efficiency of O{sub 2}-GO infused hole only device can be attributed to the improved energy level matching. Ultraviolet and X-ray photoelectron spectroscopy were used to correlate the WF of HIL infused anode towards the enhanced performance of

  12. Convective plasma stability consistent with MHD equilibrium in magnetic confinement systems with a decreasing field

    International Nuclear Information System (INIS)

    Tsventoukh, M. M.

    2010-01-01

    A study is made of the convective (interchange, or flute) plasma stability consistent with equilibrium in magnetic confinement systems with a magnetic field decreasing outward and large curvature of magnetic field lines. Algorithms are developed which calculate convective plasma stability from the Kruskal-Oberman kinetic criterion and in which the convective stability is iteratively consistent with MHD equilibrium for a given pressure and a given type of anisotropy in actual magnetic geometry. Vacuum and equilibrium convectively stable configurations in systems with a decreasing, highly curved magnetic field are calculated. It is shown that, in convectively stable equilibrium, the possibility of achieving high plasma pressures in the central region is restricted either by the expansion of the separatrix (when there are large regions of a weak magnetic field) or by the filamentation of the gradient plasma current (when there are small regions of a weak magnetic field, in which case the pressure drops mainly near the separatrix). It is found that, from the standpoint of equilibrium and of the onset of nonpotential ballooning modes, a kinetic description of convective stability yields better plasma confinement parameters in systems with a decreasing, highly curved magnetic field than a simpler MHD model and makes it possible to substantially improve the confinement parameters for a given type of anisotropy. For the Magnetor experimental compact device, the maximum central pressure consistent with equilibrium and stability is calculated to be as high as β ∼ 30%. It is shown that, for the anisotropy of the distribution function that is typical of a background ECR plasma, the limiting pressure gradient is about two times steeper than that for an isotropic plasma. From a practical point of view, the possibility is demonstrated of achieving better confinement parameters of a hot collisionless plasma in systems with a decreasing, highly curved magnetic field than those

  13. Characterization of light ion beams generated by a plasma focus device

    International Nuclear Information System (INIS)

    Koo, Bon Cheul

    1999-02-01

    Plasma focus device has been studied as neutron and X-ray sources generated from the high pressure fusion reaction during Z-pinch. Recently, the scope of the device is focused on efficient neutron generation, X-ray lithography, preliminary fusion experiment, and ion/electron beam generation devices. A Hexagonal Beam Generator with six parallel capacitors has been developed and generated ion beams from 30kJ(C=6 μ F, V= 100kV) maximum energy. To find the optimum condition of ion beam generation, the correlation among charging voltage(20∼30kV), operation pressure of chamber(0.1∼5 torr), and length of electrode has been studied. To measure ion beam, a Faraday Cup and 3 Rogowski coils were installed. Energy of ion beam was obtained by adopting time-of -flight method between Rogowski coils

  14. A hybrid electron cyclotron resonance metal ion source with integrated sputter magnetron for the production of an intense Al{sup +} ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma Technology FEP, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Philipp, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2015-09-15

    A metal ion source prototype has been developed: a combination of magnetron sputter technology with 2.45 GHz electron cyclotron resonance (ECR) ion source technology—a so called magnetron ECR ion source (MECRIS). An integrated ring-shaped sputter magnetron with an Al target is acting as a powerful metal atom supply in order to produce an intense current of singly charged metal ions. Preliminary experiments show that an Al{sup +} ion current with a density of 167 μA/cm{sup 2} is extracted from the source at an acceleration voltage of 27 kV. Spatially resolved double Langmuir probe measurements and optical emission spectroscopy were used to study the plasma states of the ion source: sputter magnetron, ECR, and MECRIS plasma. Electron density and temperature as well as Al atom density were determined as a function of microwave and sputter magnetron power. The effect of ECR heating is strongly pronounced in the center of the source. There the electron density is increased by one order of magnitude from 6 × 10{sup 9} cm{sup −3} to 6 × 10{sup 10} cm{sup −3} and the electron temperature is enhanced from about 5 eV to 12 eV, when the ECR plasma is ignited to the magnetron plasma. Operating the magnetron at constant power, it was observed that its discharge current is raised from 1.8 A to 4.8 A, when the ECR discharge was superimposed with a microwave power of 2 kW. At the same time, the discharge voltage decreased from about 560 V to 210 V, clearly indicating a higher plasma density of the MECRIS mode. The optical emission spectrum of the MECRIS plasma is dominated by lines of excited Al atoms and shows a significant contribution of lines arising from singly ionized Al. Plasma emission photography with a CCD camera was used to prove probe measurements and to identify separated plasma emission zones originating from the ECR and magnetron discharge.

  15. Challenges for Plasma Diagnostic in a Next Step Device (FIRE)

    International Nuclear Information System (INIS)

    Young, Kenneth M.

    2002-01-01

    The physics program of any next-step tokamak such as FIRE [Fusion Ignition Research Experiment] sets demands for plasma measurement which are at least as comprehensive as on present tokamaks, with the additional capabilities needed for control of the plasma and for understanding the effects of the alpha-particles. The diagnostic instrumentation must be able to provide the fine spatial and temporal resolution required for the advanced tokamak plasma scenarios. It must also be able to overcome the effects of neutron- and gamma-induced electrical noise in ceramic components or detectors, and fluorescence and absorption in optical components. There are practical engineering issues of minimizing radiation streaming while providing essential diagnostic access to the plasma. Many diagnostics will require components at or close to the first wall, e.g., ceramics and MI cable for magnetic diagnostics and mirrors for optical diagnostics; these components must be mounted to operate, and survive, i n fluxes which require special material selection. A better set of diagnostics of alpha-particles than that available for the TFTR [Tokamak Fusion Test Reactor] is essential; it must be qualified well before moving into D-T [deuterim-tritium] experiments. A start has been made to assessing the potential implementation of key diagnostics for the FIRE device. The present status is described

  16. Nitriding of Ti substrate using energetic ions from plasma focus device

    International Nuclear Information System (INIS)

    Henriquez, A; Bhuyan, H; Favre, M; Bora, B; Wyndham, E; Chuaqui, H; Mändl, S; Gerlach, J W; Manova, D

    2012-01-01

    Plasma Focus (PF) discharge is a pulsed plasma producing discharge that generates high temperature and high density plasma for a short duration. PF devices are known to emit intense ion beams pulses of characteristic energy in the keV to a few MeV range, in a time scale of tens of nanoseconds. We have previously investigated the ion flux and energy spectrum of ion beams emitted from a low energy PF, operating at 20 kV, with 1.8 kJ stored energy. It was observed that the ion beams have wide range of energy and intensity spectra with a clear angular anisotropy. Due to the wide range of ion energy and intensity spectra PF has become a subject of current interest for its applications in material sciences including surface modification and thin film deposition. The purpose of this study is the formation of titanium nitride (TiN) thin film and to investigate the structural properties of the TiN thin films in terms of PF angular positions. Substrates like Ti and Ti/Si were nitrided in a 1.8 kJ PF device at different angular positions with respect to the PF axis in order to correlate their surface properties with ion beam parameters. Preliminary characterizations of the ion implanted substrates have been conducted, using SEM, EDX and XRD. Our results indicate the formation of nanocrystalline TiN thin film only in certain angular positions. Angular dependency of the surface morphology was observed, which shows that the surface features strongly depends on ion beam energy and flux. With increasing angular positions, a reduction in the deposition rate and the sputter rate is observed. A pronounced nanostructured surface is only observed at the axis of the pinched plasma column, indicating the dominant role of sputtering and perhaps melting and fast re-crystallization of the surface in creating the nanostructures.

  17. Performance of large electron energy filter in large volume plasma device

    International Nuclear Information System (INIS)

    Singh, S. K.; Srivastava, P. K.; Awasthi, L. M.; Mattoo, S. K.; Sanyasi, A. K.; Kaw, P. K.; Singh, R.

    2014-01-01

    This paper describes an in-house designed large Electron Energy Filter (EEF) utilized in the Large Volume Plasma Device (LVPD) [S. K. Mattoo, V. P. Anita, L. M. Awasthi, and G. Ravi, Rev. Sci. Instrum. 72, 3864 (2001)] to secure objectives of (a) removing the presence of remnant primary ionizing energetic electrons and the non-thermal electrons, (b) introducing a radial gradient in plasma electron temperature without greatly affecting the radial profile of plasma density, and (c) providing a control on the scale length of gradient in electron temperature. A set of 19 independent coils of EEF make a variable aspect ratio, rectangular solenoid producing a magnetic field (B x ) of 100 G along its axis and transverse to the ambient axial field (B z ∼ 6.2 G) of LVPD, when all its coils are used. Outside the EEF, magnetic field reduces rapidly to 1 G at a distance of 20 cm from the center of the solenoid on either side of target and source plasma. The EEF divides LVPD plasma into three distinct regions of source, EEF and target plasma. We report that the target plasma (n e ∼ 2 × 10 11  cm −3 and T e ∼ 2 eV) has no detectable energetic electrons and the radial gradients in its electron temperature can be established with scale length between 50 and 600 cm by controlling EEF magnetic field. Our observations reveal that the role of the EEF magnetic field is manifested by the energy dependence of transverse electron transport and enhanced transport caused by the plasma turbulence in the EEF plasma

  18. Dose-current discharge correlation analysis in a Mather type Plasma Focus device for medical applications

    Science.gov (United States)

    Sumini, M.; Mostacci, D.; Tartari, A.; Mazza, A.; Cucchi, G.; Isolan, L.; Buontempo, F.; Zironi, I.; Castellani, G.

    2017-11-01

    In a Plasma Focus device the plasma collapses into the pinch where it reaches thermonuclear conditions for a few tens of nanoseconds, becoming a multi-radiation source. The nature of the radiation generated depends on the gas filling the chamber and the device working parameters. The self-collimated electron beam generated in the backward direction with respect to the plasma motion is one of the main radiation sources of interest also for medical applications. The electron beam may be guided against a high Z material target to produce an X-ray beam. This technique offers an ultra-high dose rate source of X-rays, able to deliver during the pinch a massive dose (up to 1 Gy per discharge for the PFMA-3 test device), as measured with EBT3 GafchromicⒸfilm tissue equivalent dosimeters. Given the stochastic behavior of the discharge process, a reliable on-line estimate of the dose-delivered is a very challenging task, in some way preventing a systematic application as a potentially interesting therapy device. This work presents an approach to linking the dose registered by the EBT3 GafchromicⒸfilms with the information contained in the signal recorded during the current discharge process. Processing the signal with the Wigner-Ville distribution, a spectrogram was obtained, displaying the information on intensity at various frequency scales, identifying the band of frequencies representative of the pinch events and define some patterns correlated with the dose.

  19. Plasma parameter estimations for the Large Helical Device based on the gyro-reduced Bohm scaling

    International Nuclear Information System (INIS)

    Okamoto, Masao; Nakajima, Noriyoshi; Sugama, Hideo.

    1991-10-01

    A model of gyro-reduced Bohm scaling law is incorporated into a one-dimensional transport code to predict plasma parameters for the Large Helical Device (LHD). The transport code calculations reproduce well the LHD empirical scaling law and basic parameters and profiles of the LHD plasma are calculated. The amounts of toroidal currents (bootstrap current and beam-driven current) are also estimated. (author)

  20. A mode converter to generate a Gaussian-like mode for injection into the VENUS electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Lyneis, C., E-mail: CMLyneis@lbl.gov; Benitez, J.; Hodgkinson, A.; Strohmeier, M.; Todd, D. [Nuclear Science Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Plaum, B. [Institut für Grenzflächenverfahrenstechnik und Plasmatechnologie (IGVP), Stuttgart (Germany); Thuillier, T. [Laboratoire de Physique Subatomique et de Cosmologie, Université Joseph Fourier Grenoble 1, CNRS/IN2P3, Institut Polytechnique de Grenoble, 53 rue des martyrs 38026 Grenoble cedex (France)

    2014-02-15

    A number of superconducting electron cyclotron resonance (ECR) ion sources use gyrotrons at either 24 or 28 GHz for ECR heating. In these systems, the microwave power is launched into the plasma using the TE{sub 01} circular waveguide mode. This is fundamentally different and may be less efficient than the typical rectangular, linearly polarized TE{sub 10} mode used for launching waves at lower frequencies. To improve the 28 GHz microwave coupling in VENUS, a TE{sub 01}-HE{sub 11} mode conversion system has been built to test launching HE{sub 11} microwave power into the plasma chamber. The HE{sub 11} mode is a quasi-Gaussian, linearly polarized mode, which should couple strongly to the plasma electrons. The mode conversion is done in two steps. First, a 0.66 m long “snake” converts the TE{sub 01} mode to the TE{sub 11} mode. Second, a corrugated circular waveguide excites the HE{sub 11} mode, which is launched directly into the plasma chamber. The design concept draws on the development of similar devices used in tokamaks and stellerators. The first tests of the new coupling system are described below.

  1. Compact and tunable focusing device for plasma wakefield acceleration

    Science.gov (United States)

    Pompili, R.; Anania, M. P.; Chiadroni, E.; Cianchi, A.; Ferrario, M.; Lollo, V.; Notargiacomo, A.; Picardi, L.; Ronsivalle, C.; Rosenzweig, J. B.; Shpakov, V.; Vannozzi, A.

    2018-03-01

    Plasma wakefield acceleration, either driven by ultra-short laser pulses or electron bunches, represents one of the most promising techniques able to overcome the limits of conventional RF technology and allows the development of compact accelerators. In the particle beam-driven scenario, ultra-short bunches with tiny spot sizes are required to enhance the accelerating gradient and preserve the emittance and energy spread of the accelerated bunch. To achieve such tight transverse beam sizes, a focusing system with short focal length is mandatory. Here we discuss the development of a compact and tunable system consisting of three small-bore permanent-magnet quadrupoles with 520 T/m field gradient. The device has been designed in view of the plasma acceleration experiments planned at the SPARC_LAB test-facility. Being the field gradient fixed, the focusing is adjusted by tuning the relative position of the three magnets with nanometer resolution. Details about its magnetic design, beam-dynamics simulations, and preliminary results are examined in the paper.

  2. Proceedings of US/Japan workshop, Q219 on high heat flux components and plasma surface interactions for next fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Ulrickson, M.A.; Stevens, P.L.; Hino, T.; Hirohata, Y. [eds.

    1996-12-01

    This report contains the viewgraphs from the proceedings of US/Japan Workshop on High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices. Some of the general topics covered by this report are: PFC/PSI in tokamak and helical devices; development of high heat flux components; PSIS and plasma facing materials;tritium; and material damage.

  3. Proceedings of US/Japan workshop, Q219 on high heat flux components and plasma surface interactions for next fusion devices

    International Nuclear Information System (INIS)

    Ulrickson, M.A.; Stevens, P.L.; Hino, T.; Hirohata, Y.

    1996-12-01

    This report contains the viewgraphs from the proceedings of US/Japan Workshop on High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices. Some of the general topics covered by this report are: PFC/PSI in tokamak and helical devices; development of high heat flux components; PSIS and plasma facing materials;tritium; and material damage

  4. Current scaling of plasma focus devices

    International Nuclear Information System (INIS)

    Schiuma, C.; Herold, H.; Kaeppeler, H.J.; Shakhatre, M.; Auluck, S.K.H.

    1990-03-01

    In continuation of the work by G. Decker et al. on current and neutron yield scaling of plasma focus devices an analytical solution for the circuit equation (with resistance R = 0) in the compression phase was derived. Together with the solution for the rundown phase from G. Decker et al, which was extended for finite resistance (R ≠ 0), there follows an analytical scaling theory for maximum and pinch currents. At the same time there exists the possibility to discuss the influence of finite resistance on current variation and scaling parameters. The model solutions were checked out by numerical integrations of the current equation. While at the beginning of the rundown phase the ohmic resistance cannot be neglected (the magnitude R/L plays an important role), its influence at the end of the rundown phase and in the compression phase is negligible. The theoretically determined values are compared with the results of numerous probe measurements. (orig.)

  5. Research program for plasma confinement and heating in ELMO bumpy torus devices

    International Nuclear Information System (INIS)

    Dandl, R.A.; Dory, R.A.; Eason, H.O.

    1975-06-01

    A sequence of experimental devices and related research activities which leads progressively toward an attractive full-scale reactor is described. The implementation of the steps in this sequence hinges on the development of microwave power sources, with high specific power levels, at millimeter wavelengths. Two proposed steps in this sequence are described. The first step proposed here, denoted EBT-S, requires increasing the EBT magnetic field to permit microwave heating at 18 and 28 GHz, as compared to the present 10.6 and 18-GHz configuration. A three-fold increase in plasma density, some increase in the temperatures, and an opportunity to test the validity of the transport models presently used to predict the plasma parameters are anticipated. This step will provide important operating experience with the 28-GHz power supplies, which are prototype tubes for millimeter sources at 120 GHz In the second step a new superconducting bumpy torus, EBT-II, would be fabricated to permit microwave heating at 90 and 120 GHz. This device would be designed to produce plasma densities and temperatures comparable to those of present-day tokamaks. This report reviews the experimental and theoretical research on EBT that has been carried out to date or formulated for the near future, and provides a status report as well as a research program plan. (U.S.)

  6. Interaction of dense nitrogen plasma with SS304 surface using APF plasma focus device

    Science.gov (United States)

    Afrashteh, M.; Habibi, M.; Heydari, E.

    2012-04-01

    The nitridation of SS304 surfaces is obtained by irradiating nitrogen ions from Amirkabir plasma focus device, which use multiple focus deposition shots at optimum distance 10 cm from the anode. The Vickers Micro-Hardness values are improved more than twice for the nitrided samples comparing to the nonnitrided ones. The X-ray diffraction (XRD) analysis is carried out in order to explore the phase changes in the near surface structure of the metals. The results of Scanning Electron Microscopy (SEM) indicate changes in surface morphology which are the emergence of smooth and uniform film on the surface of the nitrided metals.

  7. The measurement of potential distribution of plasma in MM-4 fusion device

    International Nuclear Information System (INIS)

    Tian Zhongyu; Ming Linzhou; Feng Xiaozhen; Feng Chuntang; Yi Youjun; Wang Jihai; Liu Yihua

    1988-11-01

    Some experimental results of the potential distribution in MM-4 fusion device are presented by measuring the floating potential of probe. The results showed that the distribution of axial potential is asymmetrical, but the radial potential is symmetrical. There are double ion potential wells in the plasma. The depth of the deepest potential well become deeper is the strength of the magnetic field and injection current are increasing. The location of the deepest well is moved towards the device center along with the increasing of injection energy. This is different from others results. The mechanism of causing this distribution in also discussed

  8. Plasma centrifuges

    International Nuclear Information System (INIS)

    Karchevskij, A.I.; Potanin, E.P.

    2000-01-01

    The review of the most important studies on the isotope separation processes in the rotating plasma is presented. The device is described and the characteristics of operation of the pulse plasma centrifuges with weakly and strongly ionized plasma as well as the stationary plasma centrifuges with the medium weak ionization and devices, applying the stationary vacuum arc with the high ionization rate and the stationary beam-plasma discharge with complete ionization, are presented. The possible mechanisms of the isotope separation in plasma centrifuges are considered. The specific energy consumption for isotope separation in these devices is discussed [ru

  9. Occurrence and location of concentrated load and generator regions observed by Cluster in the plasma sheet

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2009-11-01

    Full Text Available Here, and in a companion paper by Hamrin et al. (2009 [Scale size and life time of energy conversion regions observed by Cluster in the plasma sheet], we investigate localized energy conversion regions (ECRs in the Earth's plasma sheet. In total we have studied 151 ECRs within 660 h of plasma sheet data from the summer and fall of 2001 when Cluster was close to apogee at an altitude of about 15–20 RE. Cluster offers appropriate conditions for the investigation of energy conversion by the evaluation of the power density, E·J, where E is the electric field and J the current density. From the sign of the power density, we have identified more than three times as many Concentrated Load Regions (CLRs as Concentrated Generator Regions (CGRs. We also note that the CLRs appear to be stronger. To our knowledge, these are the first in situ observations confirming the general notion of the plasma sheet, on the average, behaving as a load. At the same time the plasma sheet appears to be highly structured, with energy conversion occurring in both directions between the fields and the particles. From our data we also find that the CLRs appear to be located closer to the neutral sheet, while CGRs prefer locations towards the plasma sheet boundary layer (PSBL. For both CLRs and CGRs, E and J in the GSM y (cross-tail direction dominate the total power density, even though the z contribution occasionally can be significant. The prevalence of the y-direction seems to be weaker for the CGRs, possibly related to a higher fluctuation level near the PSBL.

  10. State of art data acquisition system for large volume plasma device

    International Nuclear Information System (INIS)

    Sugandhi, Ritesh; Srivastava, Pankaj; Sanyasi, Amulya Kumar; Srivastav, Prabhakar; Awasthi, Lalit Mohan; Mattoo, Shiban Krishna; Parmar, Vijay; Makadia, Keyur; Patel, Ishan; Shah, Sandeep

    2015-01-01

    The Large volume plasma device (LVPD) is a cylindrical device (ϕ = 2m, L = 3m) dedicated for carrying out investigations on plasma physics problems ranging from excitation of whistler structures to plasma turbulence especially, exploring the linear and nonlinear aspects of electron temperature gradient(ETG) driven turbulence, plasma transport over the entire cross section of LVPD. The machine operates in a pulsed mode with repetition cycle of 1 Hz and acquisition pulse length of duration of 15 ms, presently, LVPD has VXI data acquisition system but this is now in phasing out mode because of non-functioning of its various amplifier stages, expandability and unavailability of service support. The VXI system has limited capabilities to meet new experimental requirements in terms of numbers of channel (16), bit resolutions (8 bit), record length (30K points) and calibration support. Recently, integration of new acquisition system for simultaneous sampling of 40 channels of data, collected over multiple time scales with high speed is successfully demonstrated, by configuring latest available hardware and in-house developed software solutions. The operational feasibility provided by LabVIEW platform is not only for operating DAQ system but also for providing controls to various subsystems associated with the device. The new system is based on PXI express instrumentation bus and supersedes the existing VXI based data acquisition system in terms of instrumentation capabilities. This system has capability to measure 32 signals at 60 MHz sampling frequency and 8 signals with 1.25 GHz with 10 bit and 12 bit resolution capability for amplitude measurements. The PXI based system successfully addresses and demonstrate the issues concerning high channel count, high speed data streaming and multiple I/O modules synchronization. The system consists of chassis (NI 1085), 4 high sampling digitizers (NI 5105), 2 very high sampling digitizers (NI 5162), data streaming RAID drive (NI

  11. A new multi-line cusp magnetic field plasma device (MPD) with variable magnetic field

    Science.gov (United States)

    Patel, A. D.; Sharma, M.; Ramasubramanian, N.; Ganesh, R.; Chattopadhyay, P. K.

    2018-04-01

    A new multi-line cusp magnetic field plasma device consisting of electromagnets with core material has been constructed with a capability to experimentally control the relative volume fractions of magnetized to unmagnetized plasma volume as well as accurate control on the gradient length scales of mean density and temperature profiles. Argon plasma has been produced using a hot tungsten cathode over a wide range of pressures 5 × 10-5 -1 × 10-3 mbar, achieving plasma densities ranging from 109 to 1011 cm-3 and the electron temperature in the range 1-8 eV. The radial profiles of plasma parameters measured along the non-cusp region (in between two consecutive magnets) show a finite region with uniform and quiescent plasma, where the magnetic field is very low such that the ions are unmagnetized. Beyond that region, both plasma species are magnetized and the profiles show gradients both in temperature and density. The electrostatic fluctuation measured using a Langmuir probe radially along the non-cusp region shows less than 1% (δIisat/Iisat physics parameter space relevant to both laboratory multi-scale plasmas and astrophysical plasmas.

  12. Study of the Plasma Evolution in the PF-1000 Device by Means of Optical Diagnostics

    International Nuclear Information System (INIS)

    Kasperczuk, A.; Kumar, R.; Miklaszewski, R.; Paduch, M.; Pisarczyk, T.; Scholz, M.; Tomaszewski, K.

    2002-01-01

    Investigation of a plasma evolution was carried out in a PF-1000 device with the following parameters: diameter of the inner electrode -24.4 cm, diameter of the outer one -36.8 cm, charging voltage in the range of 30-40 kV and deuterium pressure in the range of 1-5 1 To study the evolution of the plasma, an optical frame camera and YAGlaser shadowgraphy, both with exposure times of about 1 ns, were employed. Among the cases analyzed of plasma focus discharges, two types of plasma sheath disturbance can be distinguished: type I - classical MHD m = 0 instability (a wave with four maxima in the main), type 11 - singular great scale disturbance. On the basis of the plasma images, the dynamics of the plasma sheath, characteristic periods of the plasma evolution and plasma dimensions were determined. In order to reconstruct the spatial distribution of the electron density a special method was prepared

  13. High-Z plasma facing components in fusion devices: boundary conditions and operational experiences

    Science.gov (United States)

    Neu, R.

    2006-04-01

    In present day fusion devices optimization of the performance and experimental freedom motivates the use of low-Z plasma facing materials (PFMs). However, in a future fusion reactor, for economic reasons, a sufficient lifetime of the first wall components is essential. Additionally, tritium retention has to be small to meet safety requirements. Tungsten appears to be the most realistic material choice for reactor plasma facing components (PFCs) because it exhibits the lowest erosion. But besides this there are a lot of criteria which have to be fulfilled simultaneously in a reactor. Results from present day devices and from laboratory experiments confirm the advantages of high-Z PFMs but also point to operational restrictions, when using them as PFCs. These are associated with the central impurity concentration, which is determined by the sputtering yield, the penetration of the impurities and their transport within the confined plasma. The restrictions could exclude successful operation of a reactor, but concomitantly there exist remedies to ameliorate their impact. Obviously some price has to be paid in terms of reduced performance but lacking of materials or concepts which could substitute high-Z PFCs, emphasis has to be put on the development and optimization of reactor-relevant scenarios which incorporate the experiences and measures.

  14. High-Z plasma facing components in fusion devices: boundary conditions and operational experiences

    International Nuclear Information System (INIS)

    Neu, R.

    2006-01-01

    In present day fusion devices optimization of the performance and experimental freedom motivates the use of low-Z plasma facing materials (PFMs). However, in a future fusion reactor, for economic reasons, a sufficient lifetime of the first wall components is essential. Additionally, tritium retention has to be small to meet safety requirements. Tungsten appears to be the most realistic material choice for reactor plasma facing components (PFCs) because it exhibits the lowest erosion. But besides this there are a lot of criteria which have to be fulfilled simultaneously in a reactor. Results from present day devices and from laboratory experiments confirm the advantages of high-Z PFMs but also point to operational restrictions, when using them as PFCs. These are associated with the central impurity concentration, which is determined by the sputtering yield, the penetration of the impurities and their transport within the confined plasma. The restrictions could exclude successful operation of a reactor, but concomitantly there exist remedies to ameliorate their impact. Obviously some price has to be paid in terms of reduced performance but lacking of materials or concepts which could substitute high-Z PFCs, emphasis has to be put on the development and optimization of reactor-relevant scenarios which incorporate the experiences and measures

  15. Measurement of the 60 GHz ECR ion source using megawatt magnets - SEISM magnetic field map

    International Nuclear Information System (INIS)

    Marie-Jeanne, M.; Jacob, J.; Lamy, T.; Latrasse, L.; Debray, F.; Matera, J.; Pfister, R.; Trophine, C.

    2012-01-01

    LPSC has developed a 60 GHz Electron Cyclotron Resonance (ECR) Ion Source prototype called SEISM. The magnetic structure uses resistive poly-helix coils designed in collaboration with the French National High Magnetic Fields Facility (LNCMI) to produce a CUSP magnetic configuration. A dedicated test bench and appropriate electrical and water cooling environments were built to study the validity of the mechanics, the thermal behaviour and magnetic field characteristics obtained at various current intensities. During the last months, measurements were performed for several magnetic configurations, with up to 7000 A applied on the injection and extraction coils sets. The magnetic field achieved at 13000 A is expected to allow 28 GHz ECR condition, so by extrapolation 60 GHz should be possible at about 28000 A. However, cavitation issues that appeared around 7000 A are to be solved before carrying on with the tests. This contribution will recall some of the crucial steps in the prototype fabrication, and show preliminary results from the measurements at 7000 A. Possible explanations for the differences observed between the results and the simulation will be given. The paper is followed by the slides of the presentation. (authors)

  16. The influence of ambipolarity on plasma confinement and on the performance of electron cyclotron resonance ion sources.

    Science.gov (United States)

    Schachter, L; Dobrescu, S; Stiebing, K E; Thuillier, T; Lamy, T

    2008-02-01

    Charge diffusion in an electron cyclotron resonance ion source (ECRIS) discharge is usually characterized by nonambipolar behavior. While the ions are transported to the radial walls, electrons are lost axially from the magnetic trap. Global neutrality is maintained via compensating currents in the conducting walls of the vacuum chamber. It is assumed that this behavior reduces the ion breeding times compared to a truly ambipolar plasma. We have carried out a series of dedicated experiments in which the ambipolarity of the ECRIS plasma was influenced by inserting special metal-dielectric structures (MD layers) into the plasma chamber of the Frankfurt 14 GHz ECRIS. The measurements demonstrate the positive influence on the source performance when the ECR plasma is changed toward more ambipolar behavior.

  17. Plasma devices for focusing extreme light pulses

    International Nuclear Information System (INIS)

    Fuchs, J.; Gonoskov, A.A.; Nakatsutsumi, M.; Nazarov, W.; Quere, F.; Sergeev, A.M.; Yan, X.Q.

    2014-01-01

    Since the inception of the laser, there has been a constant push toward increasing the laser peak intensity, as this has lead to opening the exploration of new territories, and the production of compact sources of particles and radiation with unprecedented characteristics. However, increasing the peak laser intensity is usually performed by enhancing the produced laser properties, either by lowering its duration or increasing its energy, which involves a great level of complexity for the laser chain, or comes at great cost. Focusing tightly is another possibility to increase the laser intensity, but this comes at the risk of damaging the optics with target debris, as it requires their placement in close proximity to the interaction region. Plasma devices are an attractive, compact alternative to tightly focus extreme light pulses and further increase the final laser intensity. (authors)

  18. Ion beams: from plasma physics to applications in analysis and irradiation fields

    International Nuclear Information System (INIS)

    Khodja, Hicham

    2012-01-01

    In this HDR (Accreditation to supervise research) report, the author proposes an overview of his research activities. A first part comments a research which aimed at determining the distribution of ion populations in an electron cyclotron resonance (ECR) plasma. Then, after a brief recall of the principles and techniques of analysis based on ion beams, he presents some characteristics of the CEA/Saclay nuclear microprobe. He reports various works related to material science and to biology, and discusses the associated perspectives [fr

  19. A comprehensive study of electrostatic turbulence and transport in the laboratory basic plasma device TORPEX

    Science.gov (United States)

    Furno, I.; Fasoli, A.; Avino, F.; Bovet, A.; Gustafson, K.; Iraji, D.; Labit, B.; Loizu, J.; Ricci, P.; Theiler, C.

    2012-04-01

    TORPEX is a toroidal device located at the CRPP-EPFL in Lausanne. In TORPEX, a vertical magnetic field superposed on a toroidal field creates helicoidal field lines with both ends terminating on the torus vessel. The turbulence driven by magnetic curvature and plasma gradients causes plasma transport in the radial direction while at the same time plasma is progressively lost along the field lines. The relatively simple magnetic geometry and diagnostic access of the TORPEX configuration facilitate the experimental study of low frequency instabilities and related turbulent transport, and make an accurate comparison between simulations and experiments possible. We first present a detailed investigation of electrostatic interchange turbulence, associated structures and their effect on plasma using high-resolution diagnostics of plasma parameters and wave fields throughout the whole device cross-section, fluid models and numerical simulations. Interchange modes nonlinearly develop blobs, radially propagating filaments of enhanced plasma pressure. Blob velocities and sizes are obtained from probe measurements using pattern recognition and are described by an analytical expression that includes ion polarization currents, parallel sheath currents and ion-neutral collisions. Then, we describe recent advances of a non-perturbative Li 6+ miniaturized ion source and a detector for the investigation of the interaction between supra thermal ions and interchange-driven turbulence. We present first measurements of the spatial and energy space distribution of the fast ion beam in different plasma scenarios, in which the plasma turbulence is fully characterized. The experiments are interpreted using two-dimensional fluid simulations describing the low-frequency interchange turbulence, taking into account the plasma source and plasma losses at the torus vessel. By treating fast ions as test particles, we integrate their equations of motion in the simulated electromagnetic fields, and

  20. Air core poloidal magnetic field system for a toroidal plasma producing device

    International Nuclear Information System (INIS)

    Marcus, F.B.

    1978-01-01

    A poloidal magnetics system for a plasma producing device of toroidal configuration is provided that reduces both the total volt-seconds requirement and the magnitude of the field change at the toroidal field coils. The system utilizes an air core transformer wound between the toroidal field (TF) coils and the major axis outside the TF coils. Electric current in the primary windings of this transformer is distributed and the magnetic flux returned by air core windings wrapped outside the toroidal field coils. A shield winding that is closely coupled to the plasma carries a current equal and opposite to the plasma current. This winding provides the shielding function and in addition serves in a fashion similar to a driven conducting shell to provide the equilibrium vertical field for the plasma. The shield winding is in series with a power supply and a decoupling coil located outside the TF coil at the primary winding locations. The present invention requires much less energy than the usual air core transformer and is capable of substantially shielding the toroidal field coils from poloidal field flux

  1. Creation and behavior of radicals and ions in the Acetylene/Argon microwave ECR discharge

    Czech Academy of Sciences Publication Activity Database

    Pokorný, Petr; Musil, Jindřich; Novotný, Michal; Lančok, Ján; Fitl, Přemysl; Vlček, Jan

    2017-01-01

    Roč. 14, č. 12 (2017), s. 1-9, č. článku 1700062. ISSN 1612-8850 R&D Projects: GA ČR GA17-13427S; GA MŠk LO1409; GA MŠk LM2015088 Institutional support: RVO:68378271 Keywords : acetylene * ECR discharge * ions * mass spectrometry * radicals Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 2.846, year: 2016

  2. High electronegativity multi-dipolar electron cyclotron resonance plasma source for etching by negative ions

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, M.

    2012-01-01

    A large area plasma source based on 12 multi-dipolar ECR plasma cells arranged in a 3 x 4 matrix configuration was built and optimized for silicon etching by negative ions. The density ratio of negative ions to electrons has exceeded 300 in Ar/SF6 gas mixture when a magnetic filter was used...... to reduce the electron temperature to about 1.2 eV. Mass spectrometry and electrostatic probe were used for plasma diagnostics. The new source is free of density jumps and instabilities and shows a very good stability for plasma potential, and the dominant negative ion species is F-. The magnetic field...... in plasma volume is negligible and there is no contamination by filaments. The etching rate by negative ions measured in Ar/SF6/O-2 mixtures was almost similar with that by positive ions reaching 700 nm/min. (C) 2012 American Institute of Physics...

  3. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  4. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  5. Characteristics of an Electron Cyclotron Resonance Plasma Source for the Production of Active Nitrogen Species in III-V Nitride Epitaxy

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    A simple analysis is provided to determine the characteristics of an electron cyclotron resonance (ECR) plasma source for the generation of active nitrogen species in the molecular beam epitaxy of III-V nitrides. The effects of reactor geometry, pressure, power, and flow rate on the dissociation efficiency and ion flux are presented. Pulsing the input power is proposed to reduce the ion flux.

  6. A high intensity Stern-Gerlach polarized hydrogen source for the Munich MP-Tandem laboratory using ECR ionization and charge exchange in cesium vapor

    International Nuclear Information System (INIS)

    Hertenberger, R.; Eisermann, Y.; Metz, A.; Schiemenz, P.; Graw, G.

    2001-01-01

    The 14 year old Lamb-Shift hydrogen source of the Munich Tandem laboratory is presently replaced by a newly developed Stern-Gerlach type atomic beam source (ABS) with electron-cyclotron-resonance (ECR) ionization and subsequent double charge exchange in a supersonic cesium vapor jet target. The atomic beam source provides an intensity of 6.4*10 16 atoms/sec of polarized hydrogen and of about 5*10 16 atoms/sec of polarized deuterium. Beam intensities larger than 100 μA were observed for positive H-vector + and D-vector + ion beams after ECR ionization and intensities larger than 10 μA for negative D-vector - ion beams in three magnetic substates

  7. Contributions to the 7th International Conference on plasma surface interactions in controlled fusion devices

    International Nuclear Information System (INIS)

    1986-01-01

    The report contains three papers presented in the 7th International Conference on plasma surface interactions in controlled fusion devices held in Princeton (USA) 5-9 May 1986, all referred to the FT Tokamak

  8. Stable confinement of toroidal electron plasma in an internal conductor device Prototype-Ring Trap

    International Nuclear Information System (INIS)

    Saitoh, H.; Yoshida, Z.; Watanabe, S.

    2005-01-01

    A pure electron plasma has been produced in an internal conductor device Prototype-Ring Trap (Proto-RT). The temporal evolution of the electron plasma was investigated by the measurement of electrostatic fluctuations. Stable confinement was realized when the potential profile adjusted to match the magnetic surfaces. The confinement time varies as a function of the magnetic field strength and the neutral gas pressure, and is comparable to the diffusion time of electrons determined by the classical collisions with neutral gas. Although the addition of a toroidal magnetic field stabilized the electrostatic fluctuation of the plasma, the effects of the magnetic shear shortened the stable confinement time, possibly because of the obstacles of coil support structures

  9. A very sensitive ion collection device for plasma-laser characterization.

    Science.gov (United States)

    Cavallaro, S; Torrisi, L; Cutroneo, M; Amato, A; Sarta, F; Wen, L

    2012-06-01

    In this paper a very sensitive ion collection device, for diagnostic of laser ablated-target plasma, is described. It allows for reducing down to few microvolts the signal threshold at digital scope input. A standard ion collector is coupled to a transimpedance amplifier, specially designed, which increases data acquisition sensitivity by a gain ≈1100 and does not introduce any significant distortion of input signal. By time integration of current intensity, an amount of charge as small as 2.7 × 10(-2) pC can be detected for photopeak events.

  10. Hard X-ray sources from miniature plasma focus devices

    International Nuclear Information System (INIS)

    Raspa, V.; Silva, P.; Moreno, J.; Zambra, M.; Soto, L.

    2004-01-01

    As first stage of a program to design a repetitive pulsed radiation generator for industrial applications, two miniature plasma foci have been designed and constructed at the Chilean commission of nuclear energy. The devices operate at an energy level of the order of tens of joules (PF-50 J, 160 nF capacitor bank, 20-35 kV, 32-100 J, ∼ 150 ns time to peak current) and hundred of joules (PF-400 J, 880 nF, 20-35 kV, 176-539 J, ∼ 300 ns time to peak current). Hard X-rays are being studied in these devices operating with hydrogen. Images of metallic plates with different thickness were obtained on commercial radiographic film, Agfa Curix ST-G2, in order to characterize the energy of the hard X-ray outside of the discharge chamber of PF-400 J. An effective energy of the order of 90 keV was measured under those conditions. X ray images of different metallic objects also have been obtained. (authors)

  11. Hard X-ray sources from miniature plasma focus devices

    Energy Technology Data Exchange (ETDEWEB)

    Raspa, V. [Buenos Aires Univ., PLADEMA, CONICET and INFIP (Argentina); Silva, P.; Moreno, J.; Zambra, M.; Soto, L. [Comision Chilena de Energia Nuclear, Santiago (Chile)

    2004-07-01

    As first stage of a program to design a repetitive pulsed radiation generator for industrial applications, two miniature plasma foci have been designed and constructed at the Chilean commission of nuclear energy. The devices operate at an energy level of the order of tens of joules (PF-50 J, 160 nF capacitor bank, 20-35 kV, 32-100 J, {approx} 150 ns time to peak current) and hundred of joules (PF-400 J, 880 nF, 20-35 kV, 176-539 J, {approx} 300 ns time to peak current). Hard X-rays are being studied in these devices operating with hydrogen. Images of metallic plates with different thickness were obtained on commercial radiographic film, Agfa Curix ST-G2, in order to characterize the energy of the hard X-ray outside of the discharge chamber of PF-400 J. An effective energy of the order of 90 keV was measured under those conditions. X ray images of different metallic objects also have been obtained. (authors)

  12. Simulation analysis of dust-particle transport in the peripheral plasma in the Large Helical Device

    International Nuclear Information System (INIS)

    Shoji, Mamoru; Masuzaki, Suguru; Kawamura, Gakushi; Yamada, Hiroshi; Tanaka, Yasunori; Uesugi, Yoshihiko; Pigarov, Alexander Yu.; Smirnov, Roman D.

    2014-01-01

    The function of the peripheral plasma in the Large Helical Device (LHD) on transport of dusts is investigated using a dust transport simulation code (DUSTT) in a non-axisymmetric geometry. The simulation shows that the transport of the dusts is dominated by the plasma flow (mainly by ion drag force) formed in the peripheral plasma. The trajectories of dusts are investigated in two probable situations: release of spherical iron dusts from the inboard side of the torus, and drop of spherical carbon dusts from a divertor plate installed near an edge of an upper port. The trajectories in these two situations are calculated in various sized dust cases. From a viewpoint of protection of the main plasma from dust penetration, it proves that there are two functions in the LHD peripheral plasma. One is sweeping of dusts by the effect of the plasma flow in the divertor legs, and another one is evaporation/sublimation of dusts by heat load onto the dusts in the ergodic layer. (author)

  13. Investigation of the neutron production phases of a large plasma focus device

    International Nuclear Information System (INIS)

    Hayd, A.; Maurer, M.; Meinke, P.; Herold, H.; Bertalot, L.; Deutsch, R.; Grauf, W.; Jaeger, U.; Kaeppeler, H.J.; Lepper, F.; Oppenlaender, T.; Schmidt, H.; Schmidt, R.; Schwarz, J.; Schwoerer, K.; Shakhatre, M.

    1982-09-01

    Plasma dynamic behavior and neutron production in large focus devices with pinch currents of approximately 1 MA have been studied with theoretical as well as experimental methods. For treating turbulent plasma motion, a hybrid code based on the analytical computer algorithm REDUCE was developed. Experimental diagnostics include schlieren photographs, reaction proton localization with pinhole cameras and neutron measurements with Ag-counters and scintillators. Calculated and measured data concern the 280 kJ, 60 kV operational mode of the POSEIDON plasma focus. It is shown that for large pinch currents ( > 500 kA), neutron emission also appears before m = 0 onset in the intermediate phase. This part of the neutron production becomes predominant for very large currents. The lifetime of this intermediate phase strongly increases with increasing current. According to theory, the late phase of the focus is governed by strong turbulence phenomena. The lifetime of the turbulence packets is approximately 150 ns and seems to explain the long lasting neutron emission in this phase. (orig.)

  14. Proceedings of 1999 U.S./Japan Workshop (99FT-05) On High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices

    International Nuclear Information System (INIS)

    NYGREN, RICHARD E.; STAVROS, DIANA T.

    2000-01-01

    The 1999 US-Japan Workshop on High Heat Flux Components and Plasma Surface Interactions in Next Step Fusion Devices was held at the St. Francis Hotel in Santa Fe, New Mexico, on November 1-4, 1999. There were 42 presentations as well as discussion on technical issues and planning for future collaborations. The participants included 22 researchers from Japan and the United States as well as seven researchers from Europe and Russia. There have been important changes in the programs in both the US and Japan in the areas of plasma surface interactions and plasma facing components. The US has moved away from a strong focus on the ITER Project and has introduced new programs on use of liquid surfaces for plasma facing components, and operation of NSTX has begun. In Japan, the Large Helical Device began operation. This is the first large world-class confinement device operating in a magnetic configuration different than a tokamak. In selecting the presentations for this workshop, the organizers sought a balance between research in laboratory facilities or confinement devices related to plasma surface interactions and experimental research in the development of plasma facing components. In discussions about the workshop itself, the participants affirmed their preference for a setting where ''work-in-progress'' could be informally presented and discussed

  15. Use of a dry-plasma collection device to overcome problems with storage and transportation of blood samples for epidemiology studies in developing countries.

    Science.gov (United States)

    Nurgalieva, Z Z; Almuchambetova, R; Machmudova, A; Kapsultanova, D; Osato, M S; Peacock, J; Zoltek, R P; Marchildon, P A; Graham, D Y; Zhangabylov, A

    2000-11-01

    Studies are difficult in areas lacking modern facilities due to the inability to reliably collect, store, and ship samples. Thus, we sought to evaluate the use of a dry plasma collection device for seroepidemiology studies. Plasma was obtained by fingerstick using a commercial dry plasma collection device (Chemcard Plasma Collection Device) and serum (venipuncture) from individuals in Kazakhstan. Plasma samples were air dried for 15 min and then stored desiccated in foil zip-lock pouches at 4 to 6 degrees C and subsequently shipped to the United States by air at ambient temperature. Serum samples remained frozen at -20 degrees C until assayed. Helicobacter pylori status was determined by enzyme-linked immunosorbent assay (HM-CAP EIA) for the dry plasma and the serum samples. The results were concordant in 250 of the 289 cases (86.5%). In 25 cases (8.6%), the dry plasma samples gave indeterminate results and could not be retested because only one sample was collected. Five serum samples were positive, and the corresponding dry plasma samples were negative; one serum sample was negative, and the corresponding plasma sample was positive. The relative sensitivity and specificity of the Chemcard samples to serum were 97.6 and 97.9%, respectively, excluding those with indeterminate results. Repeated freeze-thawing had no adverse effect on the accuracy of the test. We found the dry plasma collection device to provide an accurate and practical alternative to serum when venipuncture may be difficult or inconvenient and sample storage and handling present difficulties, especially for seroepidemiologic studies in rural areas or developing countries and where freeze-thawing may be unavoidable.

  16. Performance analyses of Elmo Bumpy Torus plasmas and plasma support systems

    International Nuclear Information System (INIS)

    Fenstermacher, M.E.

    1979-01-01

    The development and applcation of the OASIS Code (Operational Analysis of ELMO Bumpy Torus Support and Ignition Systems) for the study of EBT device and plasma performance are presented. The code performs a time-independent, zero-dimensional self-consistent calculation of plasma and plasmasupport systems parameters for the physics and engineering of EBT devices. The features of OASIS modeling for the EBT plasma include: (1) particle balance of the bulk toroidal and electron ring plasma components for experimental (H-H, D-D, He-He etc.) as well as reactor (D-T) devices; (2) energy balance in the bulk and ring plasmas for externally heated or ignition devices; (3) alpha particle effects for reactor devices; (4) auxiliary heating effects, including microwave (ECRH), RF heating (e.g., ICRH), and neutral beam methods; and (5) ignition conditions, including fusion power, alpha power and neutron wall loading. The performance studies using OASIS focussed on variation in plasma and device size and on microwave input power and frequency. An additional study was performed to determine the characteristics of an EBT reactor proof-of-principle device operated with a deuterium-tritium plasma. Sensitivity studies were performed for variation in the input microwave power sharing fractions and the dependence of the bulk n tau scaling law on bulk electron temperature

  17. Review and perspectives of electrostatic turbulence and transport studies in the basic plasma physics device TORPEX

    Science.gov (United States)

    Avino, Fabio; Bovet, Alexandre; Fasoli, Ambrogio; Furno, Ivo; Gustafson, Kyle; Loizu, Joaquim; Ricci, Paolo; Theiler, Christian

    2012-10-01

    TORPEX is a basic plasma physics toroidal device located at the CRPP-EPFL in Lausanne. In TORPEX, a vertical magnetic field superposed on a toroidal field creates helicoidal field lines with both ends terminating on the torus vessel. We review recent advances in the understanding and control of electrostatic interchange turbulence, associated structures and their effect on suprathermal ions. These advances are obtained using high-resolution diagnostics of plasma parameters and wave fields throughout the whole device cross-section, fluid models and numerical simulations. Furthermore, we discuss future developments including the possibility of generating closed field line configurations with rotational transform using an internal toroidal wire carrying a current. This system will also allow the study of innovative fusion-relevant configurations, such as the snowflake divertor.

  18. Aquaregia and Oxygen Plasma Treatments on Fluorinated Tin Oxide for Assembly of PLEDs Devices Using OC1C10-PPV as Emissive Polymer

    Directory of Open Access Journals (Sweden)

    Emerson Roberto SANTOS

    2009-02-01

    Full Text Available In this work were carried out treatments with oxygen plasma and aquaregia on fluorinated tin oxide (FTO films varying the treatment times. After treatments, the samples were analyzed by techniques measurements: sheet resistance, thickness, Hall effect, transmittance and superficial roughness. Devices using FTO/PEDOT:PSS/OC1C10-PPV/Al were assembled. In this experiment some variations were observed by sheet resistance and thickness and Hall effect measurements indicated most elevated carriers concentration and resistivity for aquaregia than that oxygen plasma. The roughness was elevated for the first minutes with treatment by aquaregia too. In the I-V curves the aquaregia devices presented the lowest threshold voltage for 30 minutes and devices treated by oxygen plasma presented a behavior most resistivity different of typical curves for PLEDs devices.

  19. Plasma electron density measurement with multichannel microwave interferometer on the HL-1 tokamak device

    International Nuclear Information System (INIS)

    Xu Deming; Zhang Hongyin; Liu Zetian; Ding Xuantong; Li Qirui; Wen Yangxi

    1989-11-01

    A multichannel microwave interferometer which is composed of different microwave interferometers (one 2 mm band, one 4 mm band and two 8 mm band) has been used to measure the plasma electron density on HL-1 tokamak device. The electron density approaching to 5 x 10 13 cm -3 is measured by a 2 mm band microwave interferometer. In the determinable range, the electron density profile in the cross-section on HL-1 device has been measured by this interferometer. A microcomputer data processing system is also developed

  20. On non-equilibrium atmospheric pressure plasma jets and plasma bullet

    Science.gov (United States)

    Lu, Xinpei

    2012-10-01

    Because of the enhanced plasma chemistry, atmospheric pressure nonequilibrium plasmas (APNPs) have been widely studied for several emerging applications such as biomedical applications. For the biomedical applications, plasma jet devices, which generate plasma in open space (surrounding air) rather than in confined discharge gaps only, have lots of advantages over the traditional dielectric barrier discharge (DBD) devices. For example, it can be used for root canal disinfection, which can't be realized by the traditional plasma device. On the other hand, currently, the working gases of most of the plasma jet devices are noble gases or the mixtures of the noble gases with small amount of O2, or air. If ambient air is used as the working gas, several serious difficulties are encountered in the plasma generation process. Amongst these are high gas temperatures and disrupting instabilities. In this presentation, firstly, a brief review of the different cold plasma jets developed to date is presented. Secondly, several different plasma jet devices developed in our lab are reported. The effects of various parameters on the plasma jets are discussed. Finally, one of the most interesting phenomena of APNP-Js, the plasma bullet is discussed and its behavior is described. References: [1] X. Lu, M. Laroussi, V. Puech, Plasma Sources Sci. Technol. 21, 034005 (2012); [2] Y. Xian, X. Lu, S. Wu, P. Chu, and Y. Pan, Appl. Phys. Lett. 100, 123702 (2012); [3] X. Pei, X. Lu, J. Liu, D. Liu, Y. Yang, K. Ostrikov, P. Chu, and Y. Pan, J. Phys. D 45, 165205 (2012).

  1. Conditioning of TJ-II Stellarator during the ECRH Plasmas Period; Acondicionamiento del Stellarator TJ-II durante la Etapa de Plasmas ECRH

    Energy Technology Data Exchange (ETDEWEB)

    Tafalla, D.; Tabares, F.L.

    2001-07-01

    The TJ-II stellarator has been conditioned by glow discharge (GD) during the first campaigns of operation, working only with ECR heating and all metal walls. The application of a He GD during the overnight period before the operation has been required in order to obtain reproducible discharges. However, the density control of the ECRH discharges was not possible because of the He implanted on the wall during GS. An short Ar GD({<=}30 min) applied before the operation allows desorbes part of the implanted He. By applying this procedure (HeGD+ArGD), reproducible and density controlled plasmas have been achieved in H{sub 2} and He. (Author) 20 refs.

  2. Plasma focus breeder

    International Nuclear Information System (INIS)

    Ikuta, Kazunari.

    1981-09-01

    Instead of using linear accelerators, it is possible to breed fissile fuels with the help of high current plasma focus device. A mechanism of accelerating proton beam in plasma focus device to high energy would be a change of inductance in plasma column because of rapid growth of plasma instability. A possible scheme of plasma focus breeder is also proposed. (author)

  3. Lightweight Portable Plasma Medical Device - Plasma Engineering Research Laboratory

    Science.gov (United States)

    2015-12-01

    monocytic leukemia cancer cells ( THP -1) were also tested and the results 19 demonstrate that a preference for apoptosis in plasma treated THP -1...unanswered questions. We have tested the effects of indirect exposure of non-thermal air plasma on monocytic leukemia cancer cells ( THP -1) and deciphering... tested and the results are shown in Fig. above. The results demonstrate that a preference for apoptosis in plasma treated THP -1 cells under

  4. Proceedings of 1999 U.S./Japan Workshop (99FT-05) On High Heat Flux Components and Plasma Surface Interactions for Next Fusion Devices

    Energy Technology Data Exchange (ETDEWEB)

    NYGREN,RICHARD E.; STAVROS,DIANA T.

    2000-06-01

    The 1999 US-Japan Workshop on High Heat Flux Components and Plasma Surface Interactions in Next Step Fusion Devices was held at the St. Francis Hotel in Santa Fe, New Mexico, on November 1-4, 1999. There were 42 presentations as well as discussion on technical issues and planning for future collaborations. The participants included 22 researchers from Japan and the United States as well as seven researchers from Europe and Russia. There have been important changes in the programs in both the US and Japan in the areas of plasma surface interactions and plasma facing components. The US has moved away from a strong focus on the ITER Project and has introduced new programs on use of liquid surfaces for plasma facing components, and operation of NSTX has begun. In Japan, the Large Helical Device began operation. This is the first large world-class confinement device operating in a magnetic configuration different than a tokamak. In selecting the presentations for this workshop, the organizers sought a balance between research in laboratory facilities or confinement devices related to plasma surface interactions and experimental research in the development of plasma facing components. In discussions about the workshop itself, the participants affirmed their preference for a setting where ''work-in-progress'' could be informally presented and discussed.

  5. Realization of write-once-read-many-times memory device with O{sub 2} plasma-treated indium gallium zinc oxide thin film

    Energy Technology Data Exchange (ETDEWEB)

    Liu, P., E-mail: liup0013@ntu.edu.sg; Chen, T. P., E-mail: echentp@ntu.edu.sg; Li, X. D.; Wong, J. I. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Liu, Z. [School of Materials and Energy, Guangdong University of Technology, Guangzhou 510006 (China); Liu, Y. [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, Sichuan 610054 (China); Leong, K. C. [GLOBALFOUNDRIES Singapore Pte Ltd, 60 Woodlands Industrial Park D Street 2, Singapore 738406 (Singapore)

    2014-01-20

    A write-once-read-many-times (WORM) memory devices based on O{sub 2} plasma-treated indium gallium zinc oxide (IGZO) thin films has been demonstrated. The device has a simple Al/IGZO/Al structure. The device has a normally OFF state with a very high resistance (e.g., the resistance at 2 V is ∼10{sup 9} Ω for a device with the radius of 50 μm) as a result of the O{sub 2} plasma treatment on the IGZO thin films. The device could be switched to an ON state with a low resistance (e.g., the resistance at 2 V is ∼10{sup 3} Ω for the radius of 50 μm) by applying a voltage pulse (e.g., 10 V/1 μs). The WORM device has good data-retention and reading-endurance capabilities.

  6. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    Science.gov (United States)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  7. Plasma potentials and performance of the advanced electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.

    1994-01-01

    The mean plasma potential was measured on the LBL advanced electron cyclotron resonance (AECR) ion source for a variety of conditions. The mean potentials for plasmas of oxygen, argon, and argon mixed with oxygen in the AECR were determined. These plasma potentials are positive with respect to the plasma chamber wall and are on the order of tens of volts. Electrons injected into the plasma by an electron gun or from an aluminum oxide wall coating with a very high secondary electron emission reduce the plasma potential as does gas mixing. A lower plasma potential in the AECR source coincides with enhanced production of high charged state ions indicating longer ion confinement times. The effect of the extra electrons from external injection or wall coatings is to lower the average plasma potential and to increase the n e τ i of the ECR plasma. With sufficient extra electrons, the need for gas mixing can be eliminated or reduced to a lower level, so the source can operate at lower neutral pressures. A reduction of the neutral pressure decreases charge exchange between ions and neutrals and enhances the production of high charge state ions. An aluminum oxide coating results in the lowest plasma potential among the three methods discussed and the best source performance

  8. DLTS Analysis and Interface Engineering of Solution Route Fabricated Zirconia Based MIS Devices Using Plasma Treatment

    Science.gov (United States)

    Kumar, Arvind; Mondal, Sandip; Koteswara Rao, K. S. R.

    2018-02-01

    In this work, we have fabricated low-temperature sol-gel spin-coated and oxygen (O2) plasma treated ZrO2 thin film-based metal-insulator-semiconductor devices. To understand the impact of plasma treatment on the Si/ZrO2 interface, deep level transient spectroscopy measurements were performed. It is reported that the interface state density ( D it) comes down to 7.1 × 1010 eV-1 cm-2 from 4 × 1011 eV-1 cm-2, after plasma treatment. The reduction in D it is around five times and can be attributed to the passivation of oxygen vacancies near the Si/ZrO2 interface, as they try to relocate near the interface. The energy level position ( E T) of interfacial traps is estimated to be 0.36 eV below the conduction band edge. The untreated ZrO2 film displayed poor leakage behavior due to the presence of several traps within the film and at the interface; O2 plasma treated films show improved leakage current density as they have been reduced from 5.4 × 10-8 A/cm2 to 1.98 × 10-9 A/cm2 for gate injection mode and 6.4 × 10-8 A/cm2 to 6.3 × 10-10 A/cm2 for substrate injection mode at 1 V. Hence, we suggest that plasma treatment might be useful in future device fabrication technology.

  9. Effect of inserted metal at anode tip on formation of pulsed X-ray emitting zone of plasma focus device

    Science.gov (United States)

    Miremad, Seyed Milad; Shirani Bidabadi, Babak

    2018-04-01

    The effect of the anode's insert material of a plasma focus device on the properties of X-ray emission zone was studied. Inserts were fabricated out of six different materials including aluminum, copper, zinc, tin, tungsten, and lead to cover a wide range of atomic numbers. For each anode's insert material at different gas pressures and different voltages, the shape of X-ray emission zone was recorded by three pinhole cameras, which were installed on sidewall and roof of the chamber of plasma focus device. The results indicated that by changing the gas pressure and the charge voltage of capacitor, the X-ray source of plasma focus emerges with different forms as a concentrated column or conical shape with sharp or cloudy edges. These structures are in the form of a combination of plasma emission and anode-tip emission with different intensities. These observations indicate that the material of the anode-tip especially affects the structure of X-ray emission zone.

  10. Production and acceleration of Ca-beams with the ECR source in the Jinr-Ganil experiment

    International Nuclear Information System (INIS)

    Lukyanov, S.M.; Artukh, A.G.; Gvozdev, B.A.; Kutner, V.B.; Penionzhkevich, Y.E.; Bex, L.; Bourgarel, M.P.; Ferme, J.

    1989-01-01

    The results of production and acceleration of 48 Ca-beams with the ECR-source are described. For this purpose a special technique has been developed which allows the metal to be extracted from the oxide with the aluminium as an acceptor. The mean rate of consumption of 48 Ca was about 2 mg/h and the beam intensity was about 15μA on charge state 6. The method for recuperation of used calcium is presented

  11. Radiation losses plasma with multicharged ions under non stationary conditions in the T-10 tokamak

    International Nuclear Information System (INIS)

    Abramov, V.A.; Vertiporokh, A.N.; Lisitsa, V.S.; Notkin, G.E.; Shcheglov, D.A.

    1984-01-01

    Results are presented of experimental investigations of changes in the radi iation power of impurities at the initial stage of the plasma ECR-heating at the t-10 plant. An increase in the radiation losses is observed which is not as ssociated with a further addition of impurities. The mechanism is proposed which enables to explain this effect and is based on assumption of a growing pla asma mixing rate in the central zone at a local heating of the electron componen

  12. Neutron measuring device

    International Nuclear Information System (INIS)

    Hatayama, Akiyoshi; Seki, Eiji; Kita, Yoshio; Nishitani, Takeo.

    1993-01-01

    The device of the present invention concerns measurement for neutrons in a tokamak type thermonuclear device and it can measure total amount of generated neutrons accurately throughout the operation period even if an error is caused in counted values by plasma disruption. That is, the device comprises (1) a means for detecting presence or absence of occurrence of plasma disruption and the time for the initiation of the occurrence, (2) a first data processing means for processing detection signals, (3) a means for detecting neutrons generated in plasmas and (4) a second data processing means for calculating integrated values for the number of neutrons generated from the start to the completion of electric discharge when no disruption occurs and calculating integrated values for the number of generated neutrons from the start of electric discharge to the time at the initiation of occurrence of the disruption when disruption is present. In the thus constituted device, even if an error is caused by frequent occurrence of plasma disruption, total time integrated amount of neutrons generated in the plasmas can be measured accurately. (I.S.)

  13. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  14. Preliminary Results Of A 600 Joules Small Plasma Focus Device

    International Nuclear Information System (INIS)

    Lee, S. H.; Yap, S. L.; Wong, C. S.

    2009-01-01

    Preliminary results of a 600 J (3.7 μF, 18 kV) Mather type plasma focus device operated at low pressure will be presented. The discharge is formed between a solid anode with length of 6 cm and six symmetrically and coaxially arranged cathode rods of same lengths. The cathode base is profiled in a knife-edge design and a set of coaxial plasma gun are attached to it in order to initiate the breakdown and enhance the current sheath formation. The experiments have been performed in argon gas under a low pressure condition of several microbars. The discharge current and the voltage across the electrodes during the discharge are measured with high voltage probe and current coil. The current and voltage characteristics are used to determine the possible range of operating pressure that gives good focusing action. At a narrow pressure regime of 9.0±0.5 μbar, focusing action is observed with good reproducibility. Preliminary result of ion beam energy is presented. More work will be carried out to investigate the radiation output.

  15. MicroRNA-281 regulates the expression of ecdysone receptor (EcR) isoform B in the silkworm, Bombyx mori

    Science.gov (United States)

    Hundreds of Bombyx mori miRNAs had been identified in recent years, but their function in vivo remains poorly understood. The silkworm EcR gene (BmEcR) has three transcriptional isoforms, A, B1 and B2. Isoform sequences are different in the 3’UTR region of the gene, which is the case only in insects...

  16. Steady-state operation of magnetic fusion devices: Plasma control and plasma facing components. Report on the IAEA technical committee meeting held at Fukuoka, 25-29 October 1999

    International Nuclear Information System (INIS)

    Engelmann, F.

    2000-01-01

    An IAEA Technical Committee Meeting on Steady-State Operation of Magnetic Fusion Devices - Plasma Control and Plasma Facing Components was held at Fukuoka, Japan, from 25 to 29 October 1999. The meeting was the second IAEA Techical Committee Meeting on the subject, following the one held at Hefei, China, a year earlier. The meeting was attended by over 150 researchers from 10 countries

  17. Silicon Nitride Deposition for Flexible Organic Electronic Devices by VHF (162 MHz)-PECVD Using a Multi-Tile Push-Pull Plasma Source.

    Science.gov (United States)

    Kim, Ki Seok; Kim, Ki Hyun; Ji, You Jin; Park, Jin Woo; Shin, Jae Hee; Ellingboe, Albert Rogers; Yeom, Geun Young

    2017-10-19

    Depositing a barrier film for moisture protection without damage at a low temperature is one of the most important steps for organic-based electronic devices. In this study, the authors investigated depositing thin, high-quality SiN x film on organic-based electronic devices, specifically, very high-frequency (162 MHz) plasma-enhanced chemical vapor deposition (VHF-PECVD) using a multi-tile push-pull plasma source with a gas mixture of NH 3 /SiH 4 at a low temperature of 80 °C. The thin deposited SiN x film exhibited excellent properties in the stoichiometry, chemical bonding, stress, and step coverage. Thin film quality and plasma damage were investigated by the water vapor transmission rate (WVTR) and by electrical characteristics of organic light-emitting diode (OLED) devices deposited with SiN x , respectively. The thin deposited SiN x film exhibited a low WVTR of 4.39 × 10 -4  g (m 2 · day) -1 for a single thin (430 nm thick) film SiN x and the electrical characteristics of OLED devices before and after the thin SiN x film deposition on the devices did not change, which indicated no electrical damage during the deposition of SiN x on the OLED device.

  18. Intermittent transport in edge plasma with a 3-D magnetic geometry in the Large Helical Device

    International Nuclear Information System (INIS)

    Tanaka, H.; Masuzaki, S.; Ohno, N.; Morisaki, T.; Tsuji, Y.

    2013-01-01

    Blobby plasma transport is a universally observed phenomenon in magnetic confinement devices, and it is considered to be closely related to edge plasma physics. We have investigated such an intermittent event observed inside the divertor region of the Large Helical Device by using a fast-scanning Langmuir probe with two electrodes. Ion saturation current fluctuations showed negative spikes in the divertor leg and positive spikes in the private region. Further, the time delay between the two fluctuations followed a unique trajectory in the positive-skewness region. We found common as well as different fluctuation characteristics between the LHD and tokamaks. We discuss the analysis results in relation to the blob-generation and propagation behaviors in the three-dimensional magnetic geometry around the divertor leg. In addition, we quantitatively estimated the blob propagation velocity and size based on a theoretical assumption

  19. Electron cyclotron resonance breakdown studies in a linear plasma ...

    Indian Academy of Sciences (India)

    Microwave power in the experimental system is delivered by a magnetron at 2.45 ... required for ECR in the system is such that the fundamental ECR surface ( ... The operating parameters such as working gas pressure (1 × 10-5 -1 × 10-2 ...

  20. A novel method of sensing temperatures of magnet coils of SINP-MaPLE plasma device

    International Nuclear Information System (INIS)

    Pal, A M; Bhattacharya, S; Biswas, S; Basu, S; Pal, R

    2014-01-01

    A set of 36 magnet coils is used to produce a continuous, uniform magnetic field of about 0.35 Tesla inside the vacuum chamber of the MaPLE Device, a linear laboratory plasma device (3 m long and 0.30 m in diameter) built for studying basic magnetized plasma physics phenomena. To protect the water cooled-coils from serious damage due to overheating temperatures of all the coils are monitored electronically using low cost temperature sensor IC chips, a technique first being used in similar magnet system. Utilizing the Parallel Port of a Personal Computer a novel scheme is used to avoid deploying microprocessor that is associated with involved circuitry and low level programming to address and control the large number of sensors. The simple circuits and a program code to implement the idea are developed, tested and presently in operation. The whole arrangement comes out to be not only attractive, but also simple, economical and easy to install elsewhere

  1. Influence of the density of the microwave plasma in the nitridation of the AISI 4140 steel; Influencia de la densidad del plasma de microondas en la nitruracion de acero AISI 4140

    Energy Technology Data Exchange (ETDEWEB)

    Chirino O, S.; Camps C, E.; Escobar A, L.; Mejia H, J.A. [ININ, 52045 Ocoyoacac, Estado de Mexico (Mexico)

    2004-07-01

    A source of microwaves plasma type ECR was used to modify those mechanical properties of the surface of steel pieces AISI 4140. The experiments were carried out in a range of pressure among 4 X 10{sup -4} and 7 X 10{sup -4} Torr using one mixture of gases 60/40 hydrogen / nitrogen and an incident power of the microwaves of 400 W. Previous to the treatment of the samples, the plasma was studied using one Langmuir probe to determine the temperature of the electrons and the density of the plasma, the species excited in the plasma were determined by means of Optical emission spectroscopy. All the samples were treated during 50 min in a regime of low temperature (- 250 C), and the surface hardness it was increased up of 100% of their initial value, with a depth of penetration of the nitrogen of 4.5 {mu} m. The biggest hardness and depth of penetration of the nitrogen were obtained when the biggest density in the plasma was used to carry out the experiments. (Author)

  2. Publisher's Note: ''The MaPLE device of Saha Institute of Nuclear Physics: Construction and its plasma aspects'' [Rev. Sci. Instrum. 81, 073507 (2010)

    International Nuclear Information System (INIS)

    Pal, Rabindranath; Biswas, Subir; Basu, Subhasis; Chattopadhyay, Monobir; Basu, Debjyoti; Chaudhuri, Manis

    2010-01-01

    The Magnetized Plasma Linear Experimental (MaPLE) device is a low cost laboratory plasma device at Saha Institute of Nuclear Physics fabricated in-house with the primary aim of studying basic plasma physics phenomena such as plasma instabilities, wave propagation, and their nonlinear behavior in magnetized plasma regime in a controlled manner. The machine is specially designed to be a versatile laboratory device that can provide a number of magnetic and electric scenario to facilitate such studies. A total of 36 number of 20-turn magnet coils, designed such as to allow easy handling, is capable of producing a uniform, dc magnetic field of about 0.35 T inside the plasma chamber of diameter 0.30 m. Support structure of the coils is planned in an innovative way facilitating straightforward fabrication and easy positioning of the coils. Further special feature lies in the arrangement of the spacers between the coils that can be maneuvered rather easily to create different magnetic configurations. Various methods of plasma production can be suitably utilized according to the experimental needs at either end of the vacuum vessel. In the present paper, characteristics of a steady state plasma generated by electron cyclotron resonance method using 2.45 GHz microwave power are presented. Scans using simple probe drives revealed that a uniform and long plasma column having electron density ∼ 3–5×1010 cm−3 and temperature ∼ 7–10 eV, is formed in the center of the plasma chamber which is suitable for wave launching experiments.

  3. Heat and momentum transport of ion internal transport barrier plasmas on Large Helical Device

    International Nuclear Information System (INIS)

    Nagaoka, K.; Ida, K.; Yoshinuma, M.

    2010-11-01

    The peaked ion-temperature profile with steep gradient so called ion internal transport barrier (ion ITB) was formed in the neutral beam heated plasmas on the Large Helical Device (LHD) and the high-ion-temperature regime of helical plasmas has been significantly extended. The ion thermal diffusivity in the ion ITB plasma decreases down to the neoclassical transport level. The heavy ion beam probe (HIBP) observed the smooth potential profile with negative radial electric field (ion root) in the core region where the ion thermal diffusivity decreases significantly. The large toroidal rotation was also observed in the ion ITB core and the transport of toroidal momentum was analyzed qualitatively. The decrease of momentum diffusivity with ion temperature increase was observed in the ion ITB core. The toroidal rotation driven by ion temperature gradient so called intrinsic rotation is also identified. (author)

  4. Experiments on Li pellet injection into Heliotron E

    International Nuclear Information System (INIS)

    Sergeev, V.Yu.; Khlopenkov, K.V.; Kuteev, B.V.; Sudo, S.; Kondo, K.; Zushi, H.; Besshou, S.; Sano, F.; Okada, H.; Mizuuchi, T.; Nagasaki, K.; Obiki, T.; Kurimoto, Y.

    1998-01-01

    Li pellets of large size were injected into electron cyclotron resonance (ECR) heated plasmas and neutral beam injection (NBI) heated plasmas of Heliotron E. The discharge behaviour, pellet ablation and wall conditioning were studied. The electron pressure is doubled after injection into the NBI plasma and remains unchanged in the case of ECR heating. This may be due to the energy exchange between the electrons and thermal ions with the fast ions from the neutral beam. The observed discrepancy between the experimental and modelled ablation rates may be caused by both the plasma cooling due to pellet ablatant and the ablation stimulated by the fast ions in the NBI-heated regime and by the fast electrons in the ECR-heated regime. In preliminary experiments on wall conditioning by Li pellet injection, no improvement of plasma performance after Li pellet injection was observed in the divertor or limiter configuration, with the limiter radii r L =24-25cm. (author)

  5. Study of potential applications of compact ECRIS to analytical system

    International Nuclear Information System (INIS)

    Kidera, M.; Takahashi, K.; Seto, Y.; Kishi, S.; Enomoto, S.; Nagamatsu, T.; Tanaka, T.

    2012-01-01

    The objective of this study is to develop a desktop-sized system of element mass analysis (element analysis system) with a compact electron cyclotron resonance (ECR) ion source in the ionization section. This system is different from other element analysis systems in terms of the effective use of ionization by ECR plasma. A compact ECR ion source is required to fit in the desktop-sized element analysis system. This paper reporting the development of the compact ECR ion source, is followed by the associated poster. (authors)

  6. Short structured feedback training is equivalent to a mechanical feedback device in two-rescuer BLS: a randomised simulation study.

    Science.gov (United States)

    Pavo, Noemi; Goliasch, Georg; Nierscher, Franz Josef; Stumpf, Dominik; Haugk, Moritz; Breckwoldt, Jan; Ruetzler, Kurt; Greif, Robert; Fischer, Henrik

    2016-05-13

    Resuscitation guidelines encourage the use of cardiopulmonary resuscitation (CPR) feedback devices implying better outcomes after sudden cardiac arrest. Whether effective continuous feedback could also be given verbally by a second rescuer ("human feedback") has not been investigated yet. We, therefore, compared the effect of human feedback to a CPR feedback device. In an open, prospective, randomised, controlled trial, we compared CPR performance of three groups of medical students in a two-rescuer scenario. Group "sCPR" was taught standard BLS without continuous feedback, serving as control. Group "mfCPR" was taught BLS with mechanical audio-visual feedback (HeartStart MRx with Q-CPR-Technology™). Group "hfCPR" was taught standard BLS with human feedback. Afterwards, 326 medical students performed two-rescuer BLS on a manikin for 8 min. CPR quality parameters, such as "effective compression ratio" (ECR: compressions with correct hand position, depth and complete decompression multiplied by flow-time fraction), and other compression, ventilation and time-related parameters were assessed for all groups. ECR was comparable between the hfCPR and the mfCPR group (0.33 vs. 0.35, p = 0.435). The hfCPR group needed less time until starting chest compressions (2 vs. 8 s, p feedback or by using a mechanical audio-visual feedback device was similar. Further studies should investigate whether extended human feedback training could further increase CPR quality at comparable costs for training.

  7. Three-dimensional two-fluid Braginskii simulations of the large plasma device

    Energy Technology Data Exchange (ETDEWEB)

    Fisher, Dustin M., E-mail: dustin.m.fisher.gr@dartmouth.edu; Rogers, Barrett N., E-mail: barrett.rogers@dartmouth.edu [Department of Physics and Astronomy, Dartmouth College, Hanover, New Hampshire 03755 (United States); Rossi, Giovanni D.; Guice, Daniel S.; Carter, Troy A. [Department of Physics and Astronomy, University of California, Los Angeles, California 90095-1547 (United States)

    2015-09-15

    The Large Plasma Device (LAPD) is modeled using the 3D Global Braginskii Solver code. Comparisons to experimental measurements are made in the low-bias regime in which there is an intrinsic E × B rotation of the plasma. In the simulations, this rotation is caused primarily by sheath effects and may be a likely mechanism for the intrinsic rotation seen in LAPD. Simulations show strong qualitative agreement with the data, particularly the radial dependence of the density fluctuations, cross-correlation lengths, radial flux dependence outside of the cathode edge, and camera imagery. Kelvin Helmholtz (KH) turbulence at relatively large scales is the dominant driver of cross-field transport in these simulations with smaller-scale drift waves and sheath modes playing a secondary role. Plasma holes and blobs arising from KH vortices in the simulations are consistent with the scale sizes and overall appearance of those in LAPD camera images. The addition of ion-neutral collisions in the simulations at previously theorized values reduces the radial particle flux by about a factor of two, from values that are somewhat larger than the experimentally measured flux to values that are somewhat lower than the measurements. This reduction is due to a modest stabilizing contribution of the collisions on the KH-modes driving the turbulent transport.

  8. Studies of plasma breakdown and electron heating on a 14 GHz ECR ion source through measurement of plasma bremsstrahlung

    Energy Technology Data Exchange (ETDEWEB)

    Ropponen, T; Machicoane, G; Leitner, D [National Superconducting Cyclotron Laboratory, MSU, East Lansing, MI 48824 (United States); Tarvainen, O; Toivanen, V; Koivisto, H; Kalvas, T; Peura, P; Jones, P [University of Jyvaeskylae, Department of Physics, PO Box 35 (YFL), 40500 Jyvaeskylae (Finland); Izotov, I; Skalyga, V; Zorin, V [Institute of Applied Physics, RAS, 46 Ulyanov St., 603950 Nizhny Novgorod (Russian Federation); Noland, J, E-mail: tommi.ropponen@gmail.com, E-mail: olli.tarvainen@jyu.fi [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, CA 94720 (United States)

    2011-10-15

    Temporal evolution of plasma bremsstrahlung emitted by a 14 GHz electron cyclotron resonance ion source (ECRIS) operated in pulsed mode is presented in the energy range 1.5-400 keV with 100 {mu}s resolution. Such a high temporal resolution together with this energy range has never been measured before with an ECRIS. Data are presented as a function of microwave power, neutral gas pressure, magnetic field configuration and seed electron density. The saturation time of the bremsstrahlung count rate is almost independent of the photon energy up to 100 keV and exhibits similar characteristics with the neutral gas balance. The average photon energy during the plasma breakdown is significantly higher than that during the steady state and depends strongly on the density of seed electrons. The results are consistent with a theoretical model describing the evolution of the electron energy distribution function during the preglow transient.

  9. Effects of fusion relevant transient energetic radiation, plasma and thermal load on PLANSEE double forged tungsten samples in a low-energy plasma focus device

    Science.gov (United States)

    Javadi, S.; Ouyang, B.; Zhang, Z.; Ghoranneviss, M.; Salar Elahi, A.; Rawat, R. S.

    2018-06-01

    Tungsten is the leading candidate for plasma facing component (PFC) material for thermonuclear fusion reactors and various efforts are ongoing to evaluate its performance or response to intense fusion relevant radiation, plasma and thermal loads. This paper investigates the effects of hot dense decaying pinch plasma, highly energetic deuterium ions and fusion neutrons generated in a low-energy (3.0 kJ) plasma focus device on the structure, morphology and hardness of the PLANSEE double forged tungsten (W) samples surfaces. The tungsten samples were provided by Forschungszentrum Juelich (FZJ), Germany via International Atomic Energy Agency, Vienna, Austria. Tungsten samples were irradiated using different number of plasma focus (PF) shots (1, 5 and 10) at a fixed axial distance of 5 cm from the anode top and also at various distances from the top of the anode (5, 7, 9 and 11 cm) using fixed number (5) of plasma focus shots. The virgin tungsten sample had bcc structure (α-W phase). After PF irradiation, the XRD analysis showed (i) the presence of low intensity new diffraction peak corresponding to β-W phase at (211) crystalline plane indicating the partial structural phase transition in some of the samples, (ii) partial amorphization, and (iii) vacancy defects formation and compressive stress in irradiated tungsten samples. Field emission scanning electron microscopy showed the distinctive changes to non-uniform surface with nanometer sized particles and particle agglomerates along with large surface cracks at higher number of irradiation shots. X-ray photoelectron spectroscopy analysis demonstrated the reduction in relative tungsten oxide content and the increase in metallic tungsten after irradiation. Hardness of irradiated samples initially increased for one shot exposure due to reduction in tungsten oxide phase, but then decreased with increasing number of shots due to increasing concentration of defects. It is demonstrated that the plasma focus device provides

  10. Discharge cleaning for a tokamak

    International Nuclear Information System (INIS)

    Ishii, Shigeyuki

    1983-01-01

    Various methods of discharge cleaning for tokamaks are described. The material of the first walls of tokamaks is usually stainless steel, inconel, titanium and so on. Hydrogen is exclusively used as the discharge gas. Glow discharge cleaning (GDC), Taylor discharge cleaning (TDC), and electron cyclotron resonance discharge cleaning (ECR-DC) are discussed in this paper. The cleaning by GDC is made by moving a movable anode to the center of a tokamak vassel. Taylor found the good cleaning effect of induced discharge by high pressure and low power discharge. This is called TDC. When the frequency of high frequency discharge in a magnetic field is equal to that of the electron cyclotron resonance, the break down potential is lowered if the pressure is sufficiently low. The ECR-CD is made by using this effect. In TDC and ECR-DC, the electron temperature, which has a close relation to the production rate of H 0 , can be controlled by the pressure. In GDC, the operating pressure was improved by the radio frequency glow (RG) method. However, there is still the danger of arcing. In case of GDC and ECR-DC, the position of plasma can be controlled, but not in case of TDC. The TDC is accepted by most of takamak devices in the world. (Kato, T.)

  11. An in situ accelerator-based diagnostic for plasma-material interactions science on magnetic fusion devices.

    Science.gov (United States)

    Hartwig, Zachary S; Barnard, Harold S; Lanza, Richard C; Sorbom, Brandon N; Stahle, Peter W; Whyte, Dennis G

    2013-12-01

    This paper presents a novel particle accelerator-based diagnostic that nondestructively measures the evolution of material surface compositions inside magnetic fusion devices. The diagnostic's purpose is to contribute to an integrated understanding of plasma-material interactions in magnetic fusion, which is severely hindered by a dearth of in situ material surface diagnosis. The diagnostic aims to remotely generate isotopic concentration maps on a plasma shot-to-shot timescale that cover a large fraction of the plasma-facing surface inside of a magnetic fusion device without the need for vacuum breaks or physical access to the material surfaces. Our instrument uses a compact (~1 m), high-current (~1 milliamp) radio-frequency quadrupole accelerator to inject 0.9 MeV deuterons into the Alcator C-Mod tokamak at MIT. We control the tokamak magnetic fields--in between plasma shots--to steer the deuterons to material surfaces where the deuterons cause high-Q nuclear reactions with low-Z isotopes ~5 μm into the material. The induced neutrons and gamma rays are measured with scintillation detectors; energy spectra analysis provides quantitative reconstruction of surface compositions. An overview of the diagnostic technique, known as accelerator-based in situ materials surveillance (AIMS), and the first AIMS diagnostic on the Alcator C-Mod tokamak is given. Experimental validation is shown to demonstrate that an optimized deuteron beam is injected into the tokamak, that low-Z isotopes such as deuterium and boron can be quantified on the material surfaces, and that magnetic steering provides access to different measurement locations. The first AIMS analysis, which measures the relative change in deuterium at a single surface location at the end of the Alcator C-Mod FY2012 plasma campaign, is also presented.

  12. Interaction of powerful hot plasma and fast ion streams with materials in dense plasma focus devices

    Energy Technology Data Exchange (ETDEWEB)

    Chernyshova, M., E-mail: maryna.chernyshova@ipplm.pl [Institute of Plasma Physics and Laser Microfusion, Warsaw (Poland); Gribkov, V.A. [Institute of Plasma Physics and Laser Microfusion, Warsaw (Poland); Institution of Russian Academy of Sciences A.A. Baikov Institute of Metallurgy and Material Science RAS, Moscow (Russian Federation); Kowalska-Strzeciwilk, E.; Kubkowska, M.; Miklaszewski, R.; Paduch, M.; Pisarczyk, T.; Zielinska, E. [Institute of Plasma Physics and Laser Microfusion, Warsaw (Poland); Demina, E.V.; Pimenov, V.N.; Maslyaev, S.A. [Institution of Russian Academy of Sciences A.A. Baikov Institute of Metallurgy and Material Science RAS, Moscow (Russian Federation); Bondarenko, G.G. [National Research University Higher School of Economics (HSE), Moscow (Russian Federation); Vilemova, M.; Matejicek, J. [Institute of Plasma Physics of the CAS, Prague (Czech Republic)

    2016-12-15

    Highlights: • Materials perspective for use in mainstream nuclear fusion facilities were studied. • Powerful streams of hot plasma and fast ions were used to induce irradiation. • High temporal, spatial, angular and spectral resolution available in experiments. • Results of irradiation were investigated by number of analysis techniques. - Abstract: A process of irradiating and ablating solid-state targets with hot plasma and fast ion streams in two Dense Plasma Focus (DPF) devices – PF-6 and PF-1000 was examined by applying a number of diagnostics of nanosecond time resolution. Materials perspective for use in chambers of the mainstream nuclear fusion facilities (mainly with inertial plasma confinement like NIF and Z-machine), intended both for the first wall and for constructions, have been irradiated in these simulators. Optical microscopy, SEM, Atomic Emission Spectroscopy, images in secondary electrons and in characteristic X-ray luminescence of different elements, and X-ray elemental analysis, gave results on damageability for a number of materials including low-activated ferritic and austenitic stainless steels, β-alloy of Ti, as well as two types of W and a composite on its base. With an increase of the number of shots irradiating the surface, its morphology changes from weakly pronounced wave-like structures or ridges to strongly developed ones. At later stages, due to the action of the secondary plasma produced near the target materials they melted, yielding both blisters and a fracturing pattern: first along the grain and then “in-between” the grains creating an intergranular net of microcracks. At the highest values of power flux densities multiple bubbles appeared. Furthermore, in this last case the cracks were developed because of microstresses at the solidification of melt. Presence of deuterium within the irradiated ferritic steel surface nanolayers is explained by capture of deuterons in lattice defects of the types of impurity atoms

  13. Protein-protein interface detection using the energy centrality relationship (ECR characteristic of proteins.

    Directory of Open Access Journals (Sweden)

    Sanjana Sudarshan

    Full Text Available Specific protein interactions are responsible for most biological functions. Distinguishing Functionally Linked Interfaces of Proteins (FLIPs, from Functionally uncorrelated Contacts (FunCs, is therefore important to characterizing these interactions. To achieve this goal, we have created a database of protein structures called FLIPdb, containing proteins belonging to various functional sub-categories. Here, we use geometric features coupled with Kortemme and Baker's computational alanine scanning method to calculate the energetic sensitivity of each amino acid at the interface to substitution, identify hotspots, and identify other factors that may contribute towards an interface being FLIP or FunC. Using Principal Component Analysis and K-means clustering on a training set of 160 interfaces, we could distinguish FLIPs from FunCs with an accuracy of 76%. When these methods were applied to two test sets of 18 and 170 interfaces, we achieved similar accuracies of 78% and 80%. We have identified that FLIP interfaces have a stronger central organizing tendency than FunCs, due, we suggest, to greater specificity. We also observe that certain functional sub-categories, such as enzymes, antibody-heavy-light, antibody-antigen, and enzyme-inhibitors form distinct sub-clusters. The antibody-antigen and enzyme-inhibitors interfaces have patterns of physical characteristics similar to those of FunCs, which is in agreement with the fact that the selection pressures of these interfaces is differently evolutionarily driven. As such, our ECR model also successfully describes the impact of evolution and natural selection on protein-protein interfaces. Finally, we indicate how our ECR method may be of use in reducing the false positive rate of docking calculations.

  14. Comparison between off-resonance and electron Bernstein waves heating regime in a microwave discharge ion source

    Energy Technology Data Exchange (ETDEWEB)

    Castro, G.; Di Giugno, R.; Miracoli, R. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Universita degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S. Sofia 64, 95123 Catania (Italy); Mascali, D. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Romano, F. P. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CNR-IBAM Via Biblioteca 4, 95124 Catania (Italy); Celona, L.; Gammino, S.; Lanaia, D.; Ciavola, G. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Serafino, T. [CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Di Bartolo, F. [Universita di Messina, Ctr. da Papardo-Sperone, 98100 Messina (Italy); Gambino, N. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Universita degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S. Sofia 64, 95123 Catania (Italy); IET-Institute of Energy Technology, LEC-Laboratory for Energy Conversion, ETH Zurich, Sonneggstrasse 3, CH-8092 Zurich (Switzerland)

    2012-02-15

    A microwave discharge ion source (MDIS) operating at the Laboratori Nazionali del Sud of INFN, Catania has been used to compare the traditional electron cyclotron resonance (ECR) heating with an innovative mechanisms of plasma ignition based on the electrostatic Bernstein waves (EBW). EBW are obtained via the inner plasma electromagnetic-to-electrostatic wave conversion and they are absorbed by the plasma at cyclotron resonance harmonics. The heating of plasma by means of EBW at particular frequencies enabled us to reach densities much larger than the cutoff ones. Evidences of EBW generation and absorption together with X-ray emissions due to high energy electrons will be shown. A characterization of the discharge heating process in MDISs as a generalization of the ECR heating mechanism by means of ray tracing will be shown in order to highlight the fundamental physical differences between ECR and EBW heating.

  15. Modelling ion cyclotron emission from KSTAR tokamak and LHD helical device plasmas

    Science.gov (United States)

    Dendy, Richard; Chapman, Ben; Reman, Bernard; Chapman, Sandra; Akiyama, Tsuyoshi; Yun, Gunsu

    2017-10-01

    New high quality measurements of ion cyclotron emission (ICE) from KSTAR and LHD greatly extend the scope and diversity of plasma conditions under which ICE is observed. Variables include the origin (fusion reactions or neutral beam injection) and energy (sub- or super-Alfvénic) of the minority energetic ions that drive ICE; the composition of the bulk plasma (hydrogen or deuterium) which supports the modes excited; plasma density in the emitting region, and the timescale on which it changes; and toroidal magnetic field geometry (tokamak or helical device). Future exploitation of ICE as a diagnostic for energetic ion populations in JET D-T plasmas and in ITER rests on quantitative understanding of the physics of the emission. This is tested and extended by current KSTAR and LHD measurements of ICE. We report progress on direct numerical simulation using full orbit ion kinetic codes that solve the Maxwell-Lorentz equations for hundreds of millions of particles. In the saturated regime, these simulations yield excited field spectra that correspond directly to the measured ICE spectra under diverse KSTAR and LHD regimes. At early times, comparison of simulation outputs with linear analytical theory confirms the magnetoacoustic cyclotron instability as the basic driver of ICE. Supported by RCUK Energy Programme Grant EP/P012450/1, NRF Korea Grant 2014M1A7A1A03029881, NIFS budget ULHH029 and Euratom.

  16. Development of a double plasma gun device for investigation of effects of vapor shielding on erosion of PFC materials under ELM-like pulsed plasma bombardment

    Science.gov (United States)

    Sakuma, I.; Iwamoto, D.; Kitagawa, Y.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is considered that thermal transient events such as type I edge localized modes (ELMs) could limit the lifetime of plasma-facing components (PFCs) in ITER. We have investigated surface damage of tungsten (W) materials under transient heat and particle loads by using a magnetized coaxial plasma gun (MCPG) device at University of Hyogo. The capacitor bank energy for the plasma discharge is 144 kJ (2.88 mF, 10 kVmax). Surface melting of a W material was clearly observed at the energy density of ˜2 MJ/m2. It is known that surface melting and evaporation during a transient heat load could generate a vapor cloud layer in front of the target material [1]. Then, the subsequent erosion could be reduced by the vapor shielding effect. In this study, we introduce a new experiment using two MCPG devices (MCPG-1, 2) to understand vapor shielding effects of a W surface under ELM-like pulsed plasma bombardment. The capacitor bank energy of MCPG-2 is almost same as that of MCPG-1. The second plasmoid is applied with a variable delay time after the plasmoid produced by MCPG-1. Then, a vapor cloud layer could shield the second plasma load. To verify the vapor shielding effects, surface damage of a W material is investigated by changing the delay time. In the conference, the preliminary experimental results will be shown.[4pt] [1] A. Hassanein et al., J. Nucl. Mater. 390-391, pp. 777-780 (2009).

  17. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  18. Effects of admixture gas on the production of {sup 18}F radioisotope in plasma focus devices

    Energy Technology Data Exchange (ETDEWEB)

    Talaei, Ahmad [Nuclear Science and Technology Research Institute (NSTR), Nuclear Science Research School, A.E.O.I., 14155-1339 Tehran (Iran, Islamic Republic of); Sadat Kiai, S.M., E-mail: sadatkiai@yahoo.co [Nuclear Science and Technology Research Institute (NSTR), Nuclear Science Research School, A.E.O.I., 14155-1339 Tehran (Iran, Islamic Republic of); Zaeem, A.A. [Department of Physics, Khaje Nasir University of Technology (K.N. Toosi), 1541846911 Tehran (Iran, Islamic Republic of)

    2010-12-15

    In this article, the effect of admixture gas on the heating and cooling of pinched plasma directly related to the enhancement or reduction of {sup 18}F production through the {sup 16}O({sup 3}He, p){sup 18}F is considered in the plasma focus devices. It is shown that by controlling the velocity of added Oxygen particles mixed with the working helium gas into the plasma focus chamber, one can increase the current and decrease the confinement time (plasma heating) or vice verse (plasma cooling). The highest level of nuclear activities of {sup 18}F was found around 16% of the Oxygen admixture participation and was about 0.35 MBq in the conditions of 20 kJ, 0.1 Hz and after 2 min operating of Dena PF. However, in the same condition, but for the frequency of 1 Hz, the level of activity increased up to 3.4 MBq.

  19. Conditioning of TJ-II Stellarator during the ECRH Plasmas Period

    International Nuclear Information System (INIS)

    Tafalla, D.; Tabares, F. L.

    2001-01-01

    The TJ-II stellarator has been conditioned by glow discharge (GD) during the first campaigns of operation, working only with ECR heating and all metal walls. The application of a He GD during the overnight period before the operation has been required in order to obtain reproducible discharges. However, the density control of the ECRH discharges was not possible because of the He implanted on the wall during GS. An short Ar GD(≤30 min) applied before the operation allows desorbes part of the implanted He. By applying this procedure (HeGD+ArGD), reproducible and density controlled plasmas have been achieved in H 2 and He. (Author) 20 refs

  20. A workstation based spectrometry application for ECR ion source [Paper No.: G5

    International Nuclear Information System (INIS)

    Suresh Babu, R.M.; . PS Div.)

    1993-01-01

    A program for an Electron Cyclotron Resonance (ECR) Ion Source beam diagnostics application in a X-Windows/Motif based workstation environment is discussed. The application program controls the hardware and acquires data via a front end computer across a local area network. The data is subsequently processed for displaying on the workstation console. The timing for data acquisition and control is determined by the particle source timing. The user interface has been implemented using the Motif widget set and the actions have been implemented through call back routines. The equipment interface is through a set of database driven calls across the network. (author). 7 refs., 1 fig