WorldWideScience

Sample records for echelle gratings

  1. Echelle grating multi-order imaging spectrometer utilizing a catadioptric lens

    Science.gov (United States)

    Chrisp, Michael P; Bowers, Joel M

    2014-05-27

    A cryogenically cooled imaging spectrometer that includes a spectrometer housing having a first side and a second side opposite the first side. An entrance slit is on the first side of the spectrometer housing and directs light to a cross-disperser grating. An echelle immersions grating and a catadioptric lens are positioned in the housing to receive the light. A cryogenically cooled detector is located in the housing on the second side of the spectrometer housing. Light from the entrance slit is directed to the cross-disperser grating. The light is directed from the cross-disperser grating to the echelle immersions grating. The light is directed from the echelle immersions grating to the cryogenically cooled detector on the second side of the spectrometer housing.

  2. Determination of chemical concentration with a 2 dimensional CCD array in the Echelle grating spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Lewis, D.K. [Lawrence Livermore National Lab., CA (United States); Stevens, C.G.

    1994-11-15

    The Echelle grating spectrometer (EGS) uses a stepped Echelle grating, prisms and a folded light path to miniaturize an infrared spectrometer. Light enters the system through a slit and is spread out along Y by a prism. This light then strikes the grating and is diffracted out along X. This spreading results in a superposition of spectral orders since the grating has a high spectral range. These orders are then separated by again passing through a prism. The end result of a measurement is a 2 dimensional image which contains the folded spectrum of the region under investigation. The data lies in bands from top to bottom, for example, with wavenumber increments as small as 0.1 lying from left to right such that the right end of band N is the same as the left end of band N+1. This is the image which must be analyzed.

  3. Post-SM4 Sensitivity Calibration of the STIS Echelle Modes

    Science.gov (United States)

    Bostroem, K. Azalee; Aloisi, A.; Bohlin, R.; Hodge, P.; Proffitt, C.

    2012-01-01

    On-orbit sensitivity curves for all echelle modes were derived for post - servicing mis- sion 4 data using observations of the DA white dwarf G191-B2B. Additionally, new echelle ripple tables and grating dependent bad pixel tables were created for the FUV and NUV MAMA. We review the procedures used to derive the adopted throughputs and implement them in the pipeline as well as the motivation for the modification of the additional reference files and pipeline procedures.

  4. The re-flight of the Colorado high-resolution Echelle stellar spectrograph (CHESS): improvements, calibrations, and post-flight results

    Science.gov (United States)

    Hoadley, Keri; France, Kevin; Kruczek, Nicholas; Fleming, Brian; Nell, Nicholas; Kane, Robert; Swanson, Jack; Green, James; Erickson, Nicholas; Wilson, Jacob

    2016-07-01

    In this proceeding, we describe the scientific motivation and technical development of the Colorado High- resolution Echelle Stellar Spectrograph (CHESS), focusing on the hardware advancements and testing supporting the second flight of the payload (CHESS-2). CHESS is a far ultraviolet (FUV) rocket-borne instrument designed to study the atomic-to-molecular transitions within translucent cloud regions in the interstellar medium (ISM). CHESS is an objective f/12.4 echelle spectrograph with resolving power > 100,000 over the band pass 1000 - 1600 Å. The spectrograph was designed to employ an R2 echelle grating with "low" line density. We compare the FUV performance of experimental echelle etching processes (lithographically by LightSmyth, Inc. and etching via electron-beam technology by JPL Microdevices Laboratory) with traditional, mechanically-ruled gratings (Bach Research, Inc. and Richardson Gratings). The cross-dispersing grating, developed and ruled by Horiba Jobin-Yvon, is a holographically-ruled, "low" line density, powered optic with a toroidal surface curvature. Both gratings were coated with aluminum and lithium fluoride (Al+LiF) at Goddard Space Flight Center (GSFC). Results from final efficiency and reflectivity measurements for the optical components of CHESS-2 are presented. CHESS-2 utilizes a 40mm-diameter cross-strip anode readout microchannel plate (MCP) detector fabricated by Sensor Sciences, Inc., to achieve high spatial resolution with high count rate capabilities (global rates 1 MHz). We present pre-flight laboratory spectra and calibration results. CHESS-2 launched on 21 February 2016 aboard NASA/CU sounding rocket mission 36.297 UG. We observed the intervening ISM material along the sightline to epsilon Per and present initial characterization of the column densities, temperature, and kinematics of atomic and molecular species in the observation.

  5. The assembly, calibration, and preliminary results from the Colorado high-resolution Echelle stellar spectrograph (CHESS)

    Science.gov (United States)

    Hoadley, Keri; France, Kevin; Nell, Nicholas; Kane, Robert; Schultz, Ted; Beasley, Matthew; Green, James; Kulow, Jen; Kersgaard, Eliot; Fleming, Brian

    2014-07-01

    The Colorado High-resolution Echelle Stellar Spectrograph (CHESS) is a far ultraviolet (FUV) rocket-borne experiment designed to study the atomic-to-molecular transitions within translucent interstellar clouds. CHESS is an objective echelle spectrograph operating at f/12.4 and resolving power of 120,000 over a band pass of 100 - 160 nm. The echelle flight grating is the product of a research and development project with LightSmyth Inc. and was coated at Goddard Space Flight Center (GSFC) with Al+LiF. It has an empirically-determined groove density of 71.67 grooves/mm. At the Center for Astrophysics and Space Astronomy (CASA) at the University of Colorado (CU), we measured the efficiencies of the peak and adjacent dispersion orders throughout the 90 - 165 nm band pass to characterize the behavior of the grating for pre-flight calibrations and to assess the scattered-light behavior. The crossdispersing grating, developed and ruled by Horiba Jobin-Yvon, is a holographically-ruled, low line density (351 grooves/mm), powered optic with a toroidal surface curvature. The CHESS cross-disperser was also coated at GSFC; Cr+Al+LiF was deposited to enhance far-UV efficiency. Results from final efficiency and reflectivity measurements of both optics are presented. We utilize a cross-strip anode microchannel plate (MCP) detector built by Sensor Sciences to achieve high resolution (25 μm spatial resolution) and data collection rates (~ 106 photons/second) over a large format (40mm round, digitized to 8k x 8k) for the first time in an astronomical sounding rocket flight. The CHESS instrument was successfully launched from White Sands Missile Range on 24 May 2014. We present pre-flight sensitivity, effective area calculations, lab spectra and calibration results, and touch on first results and post-flight calibration plans.

  6. Correcting groove error in gratings ruled on a 500-mm ruling engine using interferometric control.

    Science.gov (United States)

    Mi, Xiaotao; Yu, Haili; Yu, Hongzhu; Zhang, Shanwen; Li, Xiaotian; Yao, Xuefeng; Qi, Xiangdong; Bayinhedhig; Wan, Qiuhua

    2017-07-20

    Groove error is one of the most important factors affecting grating quality and spectral performance. To reduce groove error, we propose a new ruling-tool carriage system based on aerostatic guideways. We design a new blank carriage system with double piezoelectric actuators. We also propose a completely closed-loop servo-control system with a new optical measurement system that can control the position of the diamond relative to the blank. To evaluate our proposed methods, we produced several gratings, including an echelle grating with 79  grooves/mm, a grating with 768  grooves/mm, and a high-density grating with 6000  grooves/mm. The results show that our methods effectively reduce groove error in ruled gratings.

  7. Spectrometer system using a modular echelle spectrograph and a laser-driven continuum source for simultaneous multi-element determination by graphite furnace absorption spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Geisler, Sebastian; Okruss, Michael; Becker-Ross, Helmut; Huang, Mao Dong, E-mail: huang@isas.de; Esser, Norbert; Florek, Stefan

    2015-05-01

    A multi-element absorption spectrometer system has been developed based on a laser-driven xenon continuum source and a modular simultaneous echelle spectrograph (MOSES), which is characterized by a minimized number of optical components resulting in high optical throughput, high transmittance and high image quality. The main feature of the new optical design is the multifunction usage of a Littrow prism, which is attached on a rotation stage. It operates as an order-sorter for the echelle grating in a double-pass mode, as a fine positioning device moving the echelle spectrum on the detector, and as a forwarder to address different optical components, e.g., echelle gratings, in the setup. Using different prisms, which are mounted back to back on the rotation stage, a multitude of different spectroscopic modes like broad-range panorama observations, specific UV–VIS and NIR studies or high resolution zoom investigations of variable spectral channels can be realized. In the UV panorama mode applied in this work, MOSES has simultaneously detectable wavelength coverage from 193 nm to 390 nm with a spectral resolution λ/Δλ of 55,000 (3-pixel criterion). In the zoom mode the latter can be further increased by a factor of about two for a selectable section of the full wavelength range. The applicability and the analytical performance of the system were tested by simultaneous element determination in a graphite furnace, using eight different elements. Compared to an instrument operating in the optimized single line mode, the achieved analytical sensitivity using the panorama mode was typically a factor of two lower. Using the zoom mode for selected elements, comparable sensitivities were obtained. The results confirm the influence of the different spectral resolutions. - Highlights: • Echelle spectrometer with a full frame CCD array detector • High and variable spectral resolution from λ/Δλ of 55,000 to 95,000 • Laser-driven continuum light source

  8. An echelle spectrograph for middle ultraviolet solar spectroscopy from rockets.

    Science.gov (United States)

    Tousey, R; Purcell, J D; Garrett, D L

    1967-03-01

    An echelle grating spectrograph is ideal for use in a rocket when high resolution is required becaus itoccupies a minimum of space. The instrument described covers the range 4000-2000 A with a resolution of 0.03 A. It was designed to fit into the solar biaxial pointing-control section of an Aerobee-150 rocket. The characteristics of the spectrograph are illustrated with laboratory spectra of iron and carbon are sources and with solar spectra obtained during rocket flights in 1961 and 1964. Problems encountered in analyzing the spectra are discussed. The most difficult design problem was the elimination of stray light when used with the sun. Of the several methods investigated, the most effective was a predispersing system in the form of a zero-dispersion double monochromator. This was made compact by folding the beam four times.

  9. Post - SM4 Flux Calibration of the STIS Echelle Modes

    Science.gov (United States)

    Bostroem, Azalee; Aloisi, A.; Bohlin, R. C.; Proffitt, C. R.; Osten, R. A.; Lennon, D.

    2010-07-01

    Like all STIS spectroscopic modes, STIS echelle modes show a wavelength dependent decline in detector sensitivity with time. The echelle sensitivity is further affected by a time-dependent shift in the blaze function. To better correct the effects of the echelle sensitivity loss and the blaze function changes, we derive new baselines for echelle sensitivities from post-HST Servicing Mission 4 observations of the standard star G191-B2B. We present how these baseline sensitivities compare to pre-failure trends.

  10. Development of infrared Echelle spectrograph and mid-infrared heterodyne spectrometer on a small telescope at Haleakala, Hawaii for planetary observation

    Science.gov (United States)

    Sakanoi, Takeshi; Kasaba, Yasumasa; Kagitani, Masato; Nakagawa, Hiromu; Kuhn, Jeff; Okano, Shoichi

    2014-08-01

    We report the development of infrared Echelle spectrograph covering 1 - 4 micron and mid-infrared heterodyne spectrometer around 10 micron installed on the 60-cm telescope at the summit of Haleakala, Hawaii (alt.=3000m). It is essential to carry out continuous measurement of planetary atmosphere, such as the Jovian infrared aurora and the volcanoes on Jovian satellite Io, to understand its time and spatial variations. A compact and easy-to-use high resolution infrared spectrometer provide the good opportunity to investigate these objects continuously. We are developing an Echelle spectrograph called ESPRIT: Echelle Spectrograph for Planetary Research In Tohoku university. The main target of ESPRIT is to measure the Jovian H3+ fundamental line at 3.9 micron, and H2 nu=1 at 2.1 micron. The 256x256 pixel CRC463 InSb array is used. An appropriate Echelle grating is selected to optimize at 3.9 micron and 2.1 micron for the Jovian infrared auroral observations. The pixel scale corresponds to the atmospheric seeing (0.3 arcsec/pixel). This spectrograph is characterized by a long slit field-of-view of ~ 50 arcsec with a spectral resolution is over 20,000. In addition, we recently developed a heterodyne spectrometer called MILAHI on the 60 cm telescope. MILAHI is characterized by super high-resolving power (more than 1,500,000) covering from 7 - 13 microns. Its sensitivity is 2400 K at 9.6 micron with a MCT photo diode detector of which bandwidth of 3000 MHz. ESPRIT and MILAHI is planned to be installed on 60 cm telescope is planned in 2014.

  11. Compact high-resolution echelle-AOTF NIR spectrometer for atmospheric measurements

    Science.gov (United States)

    Korablev, Oleg I.; Bertaux, Jean-Loup; Vinogradov, Imant I.; Kalinnikov, Yurii K.; Nevejans, D.; Neefs, E.; Le Barbu, T.; Durry, G.

    2017-11-01

    A new concept of a high-resolution near-IR spectrometer consisting of an echelle grating combined with an acousto-optic tunable filter (AOTF) for separation of diffraction orders, is developed for space-borne studies of planetary atmospheres. A compact design with no moving parts within the mass budget of 3-5 kg allows to reach the resolving power λ/Δλ of 20000-30000. Only a small piece of spectrum in high diffraction orders can be measured at a time, but thanks to flexibility of the AOTF electrical tuning, such pieces of spectrum can be measured randomly and rapidly within the spectral range. This development can be used for accurate measurements of important atmospheric gases, such as CO2 in terrestrial atmosphere, isotopic ratios and minor gases. A spectrometer, based on this principle, SOIR (Solar Occultation InfraRed) is being built for Venus Express (2005) ESA mission. Instruments based on this principle have high potential for the studies of the Earth, in particular for measurements of isotopes of water in the lower atmosphere, either in solar occultation profiling (tangent altitude <10 km), or observing solar glint for integral quantities of the components. Small size of hardware makes them ideal for micro-satellites, which are now agile enough to provide necessary pointing for solar occultation or glint observations. Also, the atmosphere of Mars has never been observed at local scales with such a high spectral resolution. A laboratory prototype consisting of 275-mm echelle spectrometer with Hamamatsu InGaAs 512-pixel linear array and the AOTF has demonstrated λ/Δλ≍30000 in the spectral range of 1-1.7 μm. The next set up, covering the spectral ranges of 1-1.7 μm and 2.3-4.3 μm, and the Venus Express SOIR are briefly discussed.

  12. The third flight of the Colorado high-resolution echelle stellar spectrograph (CHESS): improvements, calibrations, and preliminary results

    Science.gov (United States)

    Kruczek, Nicholas; Nell, Nicholas; France, Kevin; Hoadley, Keri; Fleming, Brian; Kane, Robert; Ulrich, Stefan; Egan, Arika; Beatty, Dawson

    2017-08-01

    In this proceeding, we describe the scientific motivation and technical development of the Colorado HighResolution Echelle Stellar Spectrograph (CHESS), focusing on the hardware advancements and testing of components for the third launch of the payload (CHESS-3). CHESS is a far ultraviolet rocket-borne instrument designed to study the atomic-to-molecular transitions within translucent cloud regions in the interstellar medium. CHESS is an objective echelle spectrograph, which uses a mechanically-ruled echelle and a powered (f/12.4) crossdispersing grating, and is designed to achieve a resolving power R > 100,000 over the bandpass λλ 1000-1600 Å. Results from final efficiency and reflectivity measurements for the optical components of CHESS-3 are presented. An important role of sounding rocket experiments is the testing and verification of the space flight capabilities of experimental technologies. CHESS-3 utilizes a 40mm-diameter cross-strip anode microchannel plate detector fabricated by Sensor Sciences LLC, capable of achieving high spatial resolution and a high global count rate (˜1 MHz). We present pre-flight laboratory spectra and calibration results, including wavelength solution and resolving power of the instrument. The fourth launch of CHESS (CHESS-4) will demonstrate a δ-doped CCD, assembled in collaboration with the Microdevices Laboratory at JPL and Arizona State University. In support of CHESS-4, the CHESS-3 payload included a photomultiplier tube, used as a secondary confirmation of the optical alignment of the payload during flight. CHESS-3 launched on 26 June 2017 aboard NASA/CU sounding rocket mission 36.323 UG. We present initial flight results for the CHESS-3 observation of the β1 Scorpii sightline.

  13. High performance Si immersion gratings patterned with electron beam lithography

    Science.gov (United States)

    Gully-Santiago, Michael A.; Jaffe, Daniel T.; Brooks, Cynthia B.; Wilson, Daniel W.; Muller, Richard E.

    2014-07-01

    by a factor of 5. (3) The serial write process for typical gratings yields write times of about 24 hours- this makes prototyping costly. We discuss work with negative e-beam resist to reduce the fill factor of exposure, and therefore limit the exposure time. We also discuss the tradeoffs of long write-time serial write processes like e-beam with UV photomask lithography. We show the results of experiments on small pattern size prototypes on silicon wafers. Current prototypes now exceed 30 dB of suppression on spectral and spatial dimension ghosts compared to monochromatic spectral purity measurements of the backside of Si echelle gratings in reflection at 632 nm. We perform interferometry at 632 nm in reflection with a 25 mm circular beam on a grating with a blaze angle of 71.6°. The measured wavefront error is 0.09 waves peak to valley.

  14. A Python Script for Aligning the STIS Echelle Blaze Function

    Science.gov (United States)

    Baer, Malinda; Proffitt, Charles R.; Lockwood, Sean A.

    2018-01-01

    Accurate flux calibration for the STIS echelle modes is heavily dependent on the proper alignment of the blaze function for each spectral order. However, due to changes in the instrument alignment over time and between exposures, the blaze function can shift in wavelength. This may result in flux calibration inconsistencies of up to 10%. We present the stisblazefix Python module as a tool for STIS users to correct their echelle spectra. The stisblazefix module assumes that the error in the blaze alignment is a linear function of spectral order, and finds the set of shifts that minimizes the flux inconsistencies in the overlap between spectral orders. We discuss the uses and limitations of this tool, and show that its use can provide significant improvements to the default pipeline flux calibration for many observations.

  15. Birefringence Bragg Binary (3B) grating, quasi-Bragg grating and immersion gratings

    Science.gov (United States)

    Ebizuka, Noboru; Morita, Shin-ya; Yamagata, Yutaka; Sasaki, Minoru; Bianco, Andorea; Tanabe, Ayano; Hashimoto, Nobuyuki; Hirahara, Yasuhiro; Aoki, Wako

    2014-07-01

    A volume phase holographic (VPH) grating achieves high angular dispersion and very high diffraction efficiency for the first diffraction order and for S or P polarization. However the VPH grating could not achieve high diffraction efficiency for non-polarized light at a large diffraction angle because properties of diffraction efficiencies for S and P polarizations are different. Furthermore diffraction efficiency of the VPH grating extinguishes toward a higher diffraction order. A birefringence binary Bragg (3B) grating is a thick transmission grating with optically anisotropic material such as lithium niobate or liquid crystal. The 3B grating achieves diffraction efficiency up to 100% for non-polarized light by tuning of refractive indices for S and P polarizations, even in higher diffraction orders. We fabricated 3B grating with liquid crystal and evaluated the performance of the liquid crystal grating. A quasi-Bragg (QB) grating, which consists long rectangle mirrors aligned in parallel precisely such as a window shade, also achieves high diffraction efficiency toward higher orders. We fabricated QB grating by laminating of silica glass substrates and glued by pressure fusion of gold films. A quasi-Bragg immersion (QBI) grating has smooth mirror hypotenuse and reflector array inside the hypotenuse, instead of step-like grooves of a conventional immersion grating. An incident beam of the QBI grating reflects obliquely at a reflector, then reflects vertically at the mirror surface and reflects again at the same reflector. We are going to fabricate QBI gratings by laminating of mirror plates as similar to fabrication of the QB grating. We will also fabricate silicon and germanium immersion gratings with conventional step-like grooves by means of the latest diamond machining methods. We introduce characteristics and performance of these gratings.

  16. Establishment and correction of an Echelle cross-prism spectrogram reduction model

    Science.gov (United States)

    Zhang, Rui; Bayanheshig; Li, Xiaotian; Cui, Jicheng

    2017-11-01

    The accuracy of an echelle cross-prism spectrometer depends on the matching degree between the spectrum reduction model and the actual state of the spectrometer. However, the error of adjustment can change the actual state of the spectrometer and result in a reduction model that does not match. This produces an inaccurate wavelength calibration. Therefore, the calibration of a spectrogram reduction model is important for the analysis of any echelle cross-prism spectrometer. In this study, the spectrogram reduction model of an echelle cross-prism spectrometer was established. The image position laws of a spectrometer that varies with the system parameters were simulated to the influence of the changes in prism refractive index, focal length and so on, on the calculation results. The model was divided into different wavebands. The iterative method, least squares principle and element lamps with known characteristic wavelength were used to calibrate the spectral model in different wavebands to obtain the actual values of the system parameters. After correction, the deviation of actual x- and y-coordinates and the coordinates calculated by the model are less than one pixel. The model corrected by this method thus reflects the system parameters in the current spectrometer state and can assist in accurate wavelength extraction. The instrument installation and adjustment would be guided in model-repeated correction, reducing difficulty of equipment, respectively.

  17. Optical fiber Bragg gratings. Part II. Modeling of finite-length gratings and grating arrays.

    Science.gov (United States)

    Passaro, Vittorio M N; Diana, Roberto; Armenise, Mario N

    2002-09-01

    A model of both uniform finite-length optical fiber Bragg gratings and grating arrays is presented. The model is based on the Floquet-Bloch formalism and allows rigorous investigation of all the physical aspects in either single- or multiple-periodic structures realized on the core of a monomodal fiber. Analytical expressions of reflectivity and transmittivity for both single gratings and grating arrays are derived. The influence of the grating length and the index modulation amplitude on the reflected and transmitted optical power for both sinusoidal and rectangular profiles is evaluated. Good agreement between our method and the well-known coupled-mode theory (CMT) approach has been observed for both single gratings and grating arrays only in the case of weak index perturbation. Significant discrepancies exist there in cases of strong index contrast because of the increasing approximation of the CMT approach. The effects of intragrating phase shift are also shown and discussed.

  18. Design of FHiRE: the Fiber High Resolution Echelle Spectrograph

    Science.gov (United States)

    Pierce, Michael J.; McLane, Jacob N.; Pilachowski, C. A.; Kobulnicky, Henry; Jang-Condell, Hannah

    2018-01-01

    The enormous success of the Kepler mission in the discovery of transiting exoplanets implies that the majority of stars have planetary systems. NASA's upcomming Transiting Exoplanet Survey Satellite (TESS) is designed to survey the brightest stars over the entire sky, systems that are accessible to spectroscopic follow-up with mid-sized telescopes. We have undertaken the development of a precision radial velocity spectrograph with the goal of providing ground-based suppoert for TESS. The instrument, known as FHiRE (Fiber High Resolution Echelle spectrograph), is being developed in collaboration with Indiana University and will deployed at the 2.3-meter telescope of the Wyoming InfraRed Observatory (WIRO). FHiRE features a traditional white pupil echelle design with R ~ 60,000 that is fed via two optical fibers from the telescope. Both the science fiber and a simultaneously sampled Thorium-Argon comparison fiber will make use of double mode scramblers. FHiRE itself will be housed within a vacuum enclosure in order to minimize any temperatue variations of the instrument and maximize its radial velocity precision. Together, these two features should enable FHiRE to reach a long-term velocity precision of < 1 m/s. We present the design of FHiRE and its expected performance. In a companion poster (Jang-Condell et al.) we will present the exoplanet science goals of the project.

  19. Point-by-point written fiber-Bragg gratings and their application in complex grating designs.

    Science.gov (United States)

    Marshall, Graham D; Williams, Robert J; Jovanovic, Nemanja; Steel, M J; Withford, Michael J

    2010-09-13

    The point-by-point technique of fabricating fibre-Bragg gratings using an ultrafast laser enables complete control of the position of each index modification that comprises the grating. By tailoring the local phase, amplitude and spacing of the grating's refractive index modulations it is possible to create gratings with complex transmission and reflection spectra. We report a series of grating structures that were realized by exploiting these flexibilities. Such structures include gratings with controlled bandwidth, and amplitude- and phase-modulated sampled (or superstructured) gratings. A model based on coupled-mode theory provides important insights into the manufacture of such gratings. Our approach offers a quick and easy method of producing complex, non-uniform grating structures in both fibres and other mono-mode waveguiding structures.

  20. The spectral combination characteristic of grating and the bi-grating diffraction imaging effect

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    This paper reports on a new property of grating, namely spectral combination, and on bi-grating diffraction imaging that is based on spectral combination. The spectral combination characteristic of a grating is the capability of combining multiple light beams of different wavelengths incident from specific angles into a single beam. The bi-grating diffraction imaging is the formation of the image of an object with two gratings: the first grating disperses the multi-color light beams from the object and the second combines the dispersed light beams to form the image. We gave the conditions necessary for obtaining the spectral combination. We also presented the equations that relate the two gratings’ spatial frequencies, diffraction orders and positions necessary for obtaining the bi-grating diffraction imaging.

  1. Spherical grating spectrometers

    Science.gov (United States)

    O'Donoghue, Darragh; Clemens, J. Christopher

    2014-07-01

    We describe designs for spectrometers employing convex dispersers. The Offner spectrometer was the first such instrument; it has almost exclusively been employed on satellite platforms, and has had little impact on ground-based instruments. We have learned how to fabricate curved Volume Phase Holographic (VPH) gratings and, in contrast to the planar gratings of traditional spectrometers, describe how such devices can be used in optical/infrared spectrometers designed specifically for curved diffraction gratings. Volume Phase Holographic gratings are highly efficient compared to conventional surface relief gratings; they have become the disperser of choice in optical / NIR spectrometers. The advantage of spectrometers with curved VPH dispersers is the very small number of optical elements used (the simplest comprising a grating and a spherical mirror), as well as illumination of mirrors off axis, resulting in greater efficiency and reduction in size. We describe a "Half Offner" spectrometer, an even simpler version of the Offner spectrometer. We present an entirely novel design, the Spherical Transmission Grating Spectrometer (STGS), and discuss exemplary applications, including a design for a double-beam spectrometer without any requirement for a dichroic. This paradigm change in spectrometer design offers an alternative to all-refractive astronomical spectrometer designs, using expensive, fragile lens elements fabricated from CaF2 or even more exotic materials. The unobscured mirror layout avoids a major drawback of the previous generation of catadioptric spectrometer designs. We describe laboratory measurements of the efficiency and image quality of a curved VPH grating in a STGS design, demonstrating, simultaneously, efficiency comparable to planar VPH gratings along with good image quality. The stage is now set for construction of a prototype instrument with impressive performance.

  2. Fabrication update on critical-angle transmission gratings for soft x-ray grating spectrometers

    Science.gov (United States)

    Heilmann, Ralf K.; Bruccoleri, Alex; Mukherjee, Pran; Yam, Jonathan; Schattenburg, Mark L.

    2011-09-01

    Diffraction grating-based, wavelength dispersive high-resolution soft x-ray spectroscopy of celestial sources promises to reveal crucial data for the study of the Warm-Hot Intergalactic Medium, the Interstellar Medium, warm absorption and outflows in Active Galactic Nuclei, coronal emission from stars, and other areas of interest to the astrophysics community. Our recently developed critical-angle transmission (CAT) gratings combine the advantages of the Chandra high and medium energy transmission gratings (low mass, high tolerance of misalignments and figure errors, polarization insensitivity) with those of blazed reflection gratings (high broad band diffraction efficiency, high resolution through use of higher diffraction orders) such as the ones on XMM-Newton. Extensive instrument and system configuration studies have shown that a CAT grating-based spectrometer is an outstanding instrument capable of delivering resolving power on the order of 5,000 and high effective area, even with a telescope point-spread function on the order of many arc-seconds. We have fabricated freestanding, ultra-high aspect-ratio CAT grating bars from silicon-on-insulator wafers using both wet and dry etch processes. The 200 nm-period grating bars are supported by an integrated Level 1 support mesh, and a coarser external Level 2 support mesh. The resulting grating membrane is mounted to a frame, resulting in a grating facet. Many such facets comprise a grating array that provides light-weight coverage of large-area telescope apertures. Here we present fabrication results on the integration of CAT gratings and the different high-throughput support mesh levels and on membrane-frame bonding. We also summarize recent x-ray data analysis of 3 and 6 micron deep wet-etched CAT grating prototypes.

  3. Optical design of a versatile FIRST high-resolution near-IR spectrograph

    Science.gov (United States)

    Zhao, Bo; Ge, Jian

    2012-09-01

    We report the update optical design of a versatile FIRST high resolution near IR spectrograph, which is called Florida IR Silicon immersion grating spectromeTer (FIRST). This spectrograph uses cross-dispersed echelle design with white pupils and also takes advantage of the image slicing to increase the spectra resolution, while maintaining the instrument throughput. It is an extremely high dispersion R1.4 (blazed angle of 54.74°) silicon immersion grating with a 49 mm diameter pupil is used as the main disperser at 1.4μm -1.8μm to produce R=72,000 while an R4 echelle with the same pupil diameter produces R=60,000 at 0.8μm -1.35μm. Two cryogenic Volume Phase Holographic (VPH) gratings are used as cross-dispersers to allow simultaneous wavelength coverage of 0.8μm -1.8μm. The butterfly mirrors and dichroic beamsplitters make a compact folding system to record these two wavelength bands with a 2kx2k H2RG array in a single exposure. By inserting a mirror before the grating disperser (the SIG and the echelle), this spectrograph becomes a very efficient integral field 3-D imaging spectrograph with R=2,000-4,000 at 0.8μm-1.8μm by coupling a 10x10 telescope fiber bundle with the spectrograph. Details about the optical design and performance are reported.

  4. Feasibility evaluation of a neutron grating interferometer with an analyzer grating based on a structured scintillator

    Science.gov (United States)

    Kim, Youngju; Kim, Jongyul; Kim, Daeseung; Hussey, Daniel. S.; Lee, Seung Wook

    2018-03-01

    We introduce an analyzer grating based on a structured scintillator fabricated by a gadolinium oxysulfide powder filling method for a symmetric Talbot-Lau neutron grating interferometer. This is an alternative way to analyze the Talbot self-image of a grating interferometer without using an absorption grating to block neutrons. Since the structured scintillator analyzer grating itself generates the signal for neutron detection, we do not need an additional scintillator screen as an absorption analyzer grating. We have developed and tested an analyzer grating based on a structured scintillator in our symmetric Talbot-Lau neutron grating interferometer to produce high fidelity absorption, differential phase, and dark-field contrast images. The acquired images have been compared to results of a grating interferometer utilizing a typical absorption analyzer grating with two commercial scintillation screens. The analyzer grating based on the structured scintillator enhances interference fringe visibility and shows a great potential for economical fabrication, compact system design, and so on. We report the performance of the analyzer grating based on a structured scintillator and evaluate its feasibility for the neutron grating interferometer.

  5. Fiber Optic Bragg Gratings

    National Research Council Canada - National Science Library

    Battiato, James

    1998-01-01

    Coupled mode theory was used to model reflection fiber gratings. The effects of experimental parameters on grating characteristics were modeled for both uniform and non-uniform grating profiles using this approach...

  6. Grating array systems having a plurality of gratings operative in a coherently additive mode and methods for making such grating array systems

    Science.gov (United States)

    Kessler, Terrance J [Mendon, NY; Bunkenburg, Joachim [Victor, NY; Huang, Hu [Pittsford, NY

    2007-02-13

    A plurality of gratings (G1, G2) are arranged together with a wavefront sensor, actuators, and feedback system to align the gratings in such a manner, that they operate like a single, large, monolithic grating. Sub-wavelength-scale movements in the mechanical mounting, due to environmental influences, are monitored by an interferometer (28), and compensated by precision actuators (16, 18, 20) that maintain the coherently additive mode. The actuators define the grating plane, and are positioned in response to the wavefronts from the gratings and a reference flat, thus producing the interferogram that contains the alignment information. Movement of the actuators is also in response to a diffraction-limited spot on the CCD (36) to which light diffracted from the gratings is focused. The actuator geometry is implemented to take advantage of the compensating nature of the degrees of freedom between gratings, reducing the number of necessary control variables.

  7. Total reduction of distorted echelle spectrograms - An automatic procedure. [for computer controlled microdensitometer

    Science.gov (United States)

    Peterson, R. C.; Title, A. M.

    1975-01-01

    A total reduction procedure, notable for its use of a computer-controlled microdensitometer for semi-automatically tracing curved spectra, is applied to distorted high-dispersion echelle spectra recorded by an image tube. Microdensitometer specifications are presented and the FORTRAN, TRACEN and SPOTS programs are outlined. The intensity spectrum of the photographic or electrographic plate is plotted on a graphic display. The time requirements are discussed in detail.

  8. The Coude spectrograph and echelle scanner of the 2.7 m telescope at McDonald observatory

    Science.gov (United States)

    Tull, R. G.

    1972-01-01

    The design of the Coude spectrograph of the 2.7 m McDonald telescope is discussed. A description is given of the Coude scanner which uses the spectrograph optics, the configuration of the large echelle and the computer scanner control and data systems.

  9. Electro-optic diffraction grating tuned laser

    International Nuclear Information System (INIS)

    Hughes, R.S.

    1975-01-01

    An electro-optic diffraction grating tuned laser comprising a laser medium, output mirror, retro-reflective grating and an electro-optic diffraction grating beam deflector positioned between the laser medium and the reflective diffraction grating is described. An optional angle multiplier may be used between the electro-optic diffraction grating and the reflective grating. (auth)

  10. High-accuracy measurement and compensation of grating line-density error in a tiled-grating compressor

    Science.gov (United States)

    Zhao, Dan; Wang, Xiao; Mu, Jie; Li, Zhilin; Zuo, Yanlei; Zhou, Song; Zhou, Kainan; Zeng, Xiaoming; Su, Jingqin; Zhu, Qihua

    2017-02-01

    The grating tiling technology is one of the most effective means to increase the aperture of the gratings. The line-density error (LDE) between sub-gratings will degrade the performance of the tiling gratings, high accuracy measurement and compensation of the LDE are of significance to improve the output pulses characteristics of the tiled-grating compressor. In this paper, the influence of LDE on the output pulses of the tiled-grating compressor is quantitatively analyzed by means of numerical simulation, the output beams drift and output pulses broadening resulting from the LDE are presented. Based on the numerical results we propose a compensation method to reduce the degradations of the tiled grating compressor by applying angular tilt error and longitudinal piston error at the same time. Moreover, a monitoring system is setup to measure the LDE between sub-gratings accurately and the dispersion variation due to the LDE is also demonstrated based on spatial-spectral interference. In this way, we can realize high-accuracy measurement and compensation of the LDE, and this would provide an efficient way to guide the adjustment of the tiling gratings.

  11. Low crosstalk Arrayed Waveguide Grating with Cascaded Waveguide Grating Filter

    International Nuclear Information System (INIS)

    Deng Yang; Liu Yuan; Gao Dingshan

    2011-01-01

    We propose a highly compact and low crosstalk arrayed waveguide grating (AWG) with cascaded waveguide grating (CWGF). The side lobes of the silicon nanowire AWG, which are normally introduced by fabrication errors, can be effectively suppressed by the CWGF. And the crosstalk can be improved about 15dB.

  12. An ultra-high-vacuum multiple grating chamber and scan drive with improved grating change

    International Nuclear Information System (INIS)

    Hulbert, S.L.; Holly, D.J.; Middleton, F.H.; Wallace, D.J.; Wisconsin Univ., Stoughton, WI; Wisconsin Univ., Stoughton, WI

    1989-01-01

    We describe a new grating chamber and scan drive which has been designed, built, and tested by Physical Sciences Laboratory of the University of Wisconsin for the new high flux, high-resolution spectroscopy branch line of the TOK hybrid wiggler/undulator on the NSLS VUV ring. The chamber will contain spherical gratings to be used in the Spherical Grating Monochromator (SGM) configuration introduced by Chen and Sette. The grating chamber houses five 180 mm x 35 mm x 30 mm gratings capable of scanning a range of 12 degree (-14 degree to +8 degree with respect to the incoming beam direction) for VUV and soft X-ray diffraction. The gratings can be switched and precisely indexed while under ultra-high vacuum (UHV) at any scan angle and are mechanically isolated from the vacuum chamber to prevent inaccuracies due to chamber distortions. The gratings can separately be adjusted for height, yaw, pitch, and roll, with the latter three performed while in vacuo. The scan drive provides a resolution of 0.03 arc sec with linearity over the 12 degree range of ∼1.5 arc sec and absolute reproducibility of 1 arc sec. 5 refs., 5 figs

  13. Off-plane x-ray reflection grating fabrication

    Science.gov (United States)

    Peterson, Thomas J.; DeRoo, Casey T.; Marlowe, Hannah; McEntaffer, Randall L.; Miles, Drew M.; Tutt, James H.; Schultz, Ted B.

    2015-09-01

    Off-plane X-ray diffraction gratings with precision groove profiles at the submicron scale will be used in next generation X-ray spectrometers. Such gratings will be used on a current NASA suborbital rocket mission, the Off-plane Grating Rocket Experiment (OGRE), and have application for future grating missions. The fabrication of these gratings does not come without challenges. High performance off-plane gratings must be fabricated with precise radial grating patterns, optically at surfaces, and specific facet angles. Such gratings can be made using a series of common micro-fabrication techniques. The resulting process is highly customizable, making it useful for a variety of different mission architectures. In this paper, we detail the fabrication method used to produce high performance off-plane gratings and report the results of a preliminary qualification test of a grating fabricated in this manner. The grating was tested in the off-plane `Littrow' configuration, for which the grating is most efficient for a given diffraction order, and found to achieve 42% relative efficiency in the blaze order with respect to all diffracted light.

  14. Deep-etched sinusoidal polarizing beam splitter grating.

    Science.gov (United States)

    Feng, Jijun; Zhou, Changhe; Cao, Hongchao; Lv, Peng

    2010-04-01

    A sinusoidal-shaped fused-silica grating as a highly efficient polarizing beam splitter (PBS) is investigated based on the simplified modal method. The grating structure depends mainly on the ratio of groove depth to grating period and the ratio of incident wavelength to grating period. These ratios can be used as a guideline for the grating design at different wavelengths. A sinusoidal-groove PBS grating is designed at a wavelength of 1310 nm under Littrow mounting, and the transmitted TM and TE polarized waves are mainly diffracted into the zeroth order and the -1st order, respectively. The grating profile is optimized by using rigorous coupled-wave analysis. The designed PBS grating is highly efficient (>95.98%) over the O-band wavelength range (1260-1360 nm) for both TE and TM polarizations. The sinusoidal grating can exhibit higher diffraction efficiency, larger extinction ratio, and less reflection loss than the rectangular-groove PBS grating. By applying wet etching technology on the rectangular grating, which was manufactured by holographic recording and inductively coupled plasma etching technology, the sinusoidal grating can be approximately fabricated. Experimental results are in agreement with theoretical values.

  15. Theoretical Investigation of Subwavelength Gratings and Vertical Cavity Lasers Employing Grating Structures

    DEFF Research Database (Denmark)

    Taghizadeh, Alireza

    This thesis deals with theoretical investigations of a newly proposed grating structure, referred to as hybrid grating (HG) as well as vertical cavity lasers based on the grating reflectors. The HG consists of a near-subwavelength grating layer and an unpatterned high-refractive-index cap layer...... directions, which is analogous to electronic quantum wells in conduction or valence bands. Several interesting configurations of heterostructures have been investigated and their potential in fundamental physics study and applications are discussed. For numerical and theoretical studies, a three...... feasibility than the HCG-based ones. Furthermore, the concept of cavity dispersion in vertical cavities is introduced and its importance in the modal properties is numerically investigated. The dispersion curvature of a cavity mode is interpreted as the effective photon mass of the cavity mode. In a vertical...

  16. Curved VPH gratings for novel spectrographs

    Science.gov (United States)

    Clemens, J. Christopher; O'Donoghue, Darragh; Dunlap, Bart H.

    2014-07-01

    The introduction of volume phase holographic (VPH) gratings into astronomy over a decade ago opened new possibilities for instrument designers. In this paper we describe an extension of VPH grating technology that will have applications in astronomy and beyond: curved VPH gratings. These devices can disperse light while simultaneously correcting aberrations. We have designed and manufactured two different kinds of convex VPH grating prototypes for use in off-axis reflecting spectrographs. One type functions in transmission and the other in reflection, enabling Offnerstyle spectrographs with the high-efficiency and low-cost advantages of VPH gratings. We will discuss the design process and the tools required for modelling these gratings along with the recording layout and process steps required to fabricate them. We will present performance data for the first convex VPH grating produced for an astronomical spectrograph.

  17. Spherical grating based x-ray Talbot interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Cong, Wenxiang, E-mail: congw@rpi.edu, E-mail: xiy2@rpi.edu, E-mail: wangg6@rpi.edu; Xi, Yan, E-mail: congw@rpi.edu, E-mail: xiy2@rpi.edu, E-mail: wangg6@rpi.edu; Wang, Ge, E-mail: congw@rpi.edu, E-mail: xiy2@rpi.edu, E-mail: wangg6@rpi.edu [Biomedical Imaging Center, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)

    2015-11-15

    Purpose: Grating interferometry is a state-of-the-art x-ray imaging approach, which can acquire information on x-ray attenuation, phase shift, and small-angle scattering simultaneously. Phase-contrast imaging and dark-field imaging are very sensitive to microstructural variation and offers superior contrast resolution for biological soft tissues. However, a common x-ray tube is a point-like source. As a result, the popular planar grating imaging configuration seriously restricts the flux of photons and decreases the visibility of signals, yielding a limited field of view. The purpose of this study is to extend the planar x-ray grating imaging theory and methods to a spherical grating scheme for a wider range of preclinical and clinical applications. Methods: A spherical grating matches the wave front of a point x-ray source very well, allowing the perpendicular incidence of x-rays on the grating to achieve a higher visibility over a larger field of view than the planer grating counterpart. A theoretical analysis of the Talbot effect for spherical grating imaging is proposed to establish a basic foundation for x-ray spherical gratings interferometry. An efficient method of spherical grating imaging is also presented to extract attenuation, differential phase, and dark-field images in the x-ray spherical grating interferometer. Results: Talbot self-imaging with spherical gratings is analyzed based on the Rayleigh–Sommerfeld diffraction formula, featuring a periodic angular distribution in a polar coordinate system. The Talbot distance is derived to reveal the Talbot self-imaging pattern. Numerical simulation results show the self-imaging phenomenon of a spherical grating interferometer, which is in agreement with the theoretical prediction. Conclusions: X-ray Talbot interferometry with spherical gratings has a significant practical promise. Relative to planar grating imaging, spherical grating based x-ray Talbot interferometry has a larger field of view and

  18. Spherical grating based x-ray Talbot interferometry

    International Nuclear Information System (INIS)

    Cong, Wenxiang; Xi, Yan; Wang, Ge

    2015-01-01

    Purpose: Grating interferometry is a state-of-the-art x-ray imaging approach, which can acquire information on x-ray attenuation, phase shift, and small-angle scattering simultaneously. Phase-contrast imaging and dark-field imaging are very sensitive to microstructural variation and offers superior contrast resolution for biological soft tissues. However, a common x-ray tube is a point-like source. As a result, the popular planar grating imaging configuration seriously restricts the flux of photons and decreases the visibility of signals, yielding a limited field of view. The purpose of this study is to extend the planar x-ray grating imaging theory and methods to a spherical grating scheme for a wider range of preclinical and clinical applications. Methods: A spherical grating matches the wave front of a point x-ray source very well, allowing the perpendicular incidence of x-rays on the grating to achieve a higher visibility over a larger field of view than the planer grating counterpart. A theoretical analysis of the Talbot effect for spherical grating imaging is proposed to establish a basic foundation for x-ray spherical gratings interferometry. An efficient method of spherical grating imaging is also presented to extract attenuation, differential phase, and dark-field images in the x-ray spherical grating interferometer. Results: Talbot self-imaging with spherical gratings is analyzed based on the Rayleigh–Sommerfeld diffraction formula, featuring a periodic angular distribution in a polar coordinate system. The Talbot distance is derived to reveal the Talbot self-imaging pattern. Numerical simulation results show the self-imaging phenomenon of a spherical grating interferometer, which is in agreement with the theoretical prediction. Conclusions: X-ray Talbot interferometry with spherical gratings has a significant practical promise. Relative to planar grating imaging, spherical grating based x-ray Talbot interferometry has a larger field of view and

  19. High efficiency spectro graphs for the EUV and soft x-rays

    International Nuclear Information System (INIS)

    Cash, W.

    1983-01-01

    A basic need of modern UV and x-ray astronomy is the capability to perform high resolution spectroscopy of faint stars. The use of modern grazing incidence optics can be coupled to high blaze angle reflection gratings used in the conical diffraction mount to offer a versatile, efficient approach to the design problem. The authors discuss two designs of interest: an echelle spectrograph for use longward of 100 A, and an Objective Reflection Grating Spectrograph for use in the soft x-rays. General design considerations and measurements of grating efficiencies are also presented

  20. The Flexibility of Pusher Furnace Grate

    Directory of Open Access Journals (Sweden)

    Słowik J.A.

    2016-12-01

    Full Text Available The lifetime of guide grates in pusher furnaces for heat treatment could be increased by raising the flexibility of their structure through, for example, the replacement of straight ribs, parallel to the direction of grate movement, with more flexible segments. The deformability of grates with flexible segments arranged in two orientations, i.e. crosswise (perpendicular to the direction of compression and lengthwise (parallel to the direction of compression, was examined. The compression process was simulated using SolidWorks Simulation program. Relevant regression equations were also derived describing the dependence of force inducing the grate deformation by 0.25 mm ‒ modulus of grate elasticity ‒ on the number of flexible segments in established orientations. These calculations were made in Statistica and Scilab programs. It has been demonstrated that, with the same number of segments, the crosswise orientation of flexible segments increases the grate structure flexibility in a more efficient way than the lengthwise orientation. It has also been proved that a crucial effect on the grate flexibility has only the quantity and orientation of segments (crosswise / lengthwise, while the exact position of segments changes the grate flexibility by less than 1%.

  1. The grating as an accelerating structure

    International Nuclear Information System (INIS)

    Fernow, R.C.

    1991-02-01

    This report considers the use of a diffraction grating as an accelerating structure for charged particle beams. We examine the functional dependence of the electromagnetic fields above the surface of a grating. Calculations are made of the strength of the accelerating modes for structures with π and 2π phase advance per period and for incident waves polarized with either the E or H vector along the grooves of the grating. We consider examples of using gratings in a laser linac and in a grating lens. We also briefly examine previous results published about this subject. 36 refs

  2. Enhanced Raman scattering in porous silicon grating.

    Science.gov (United States)

    Wang, Jiajia; Jia, Zhenhong; Lv, Changwu

    2018-03-19

    The enhancement of Raman signal on monocrystalline silicon gratings with varying groove depths and on porous silicon grating were studied for a highly sensitive surface enhanced Raman scattering (SERS) response. In the experiment conducted, porous silicon gratings were fabricated. Silver nanoparticles (Ag NPs) were then deposited on the porous silicon grating to enhance the Raman signal of the detective objects. Results show that the enhancement of Raman signal on silicon grating improved when groove depth increased. The enhanced performance of Raman signal on porous silicon grating was also further improved. The Rhodamine SERS response based on Ag NPs/ porous silicon grating substrates was enhanced relative to the SERS response on Ag NPs/ porous silicon substrates. Ag NPs / porous silicon grating SERS substrate system achieved a highly sensitive SERS response due to the coupling of various Raman enhancement factors.

  3. Varied line-space gratings and applications

    International Nuclear Information System (INIS)

    McKinney, W.R.

    1991-01-01

    This paper presents a straightforward analytical and numerical method for the design of a specific type of varied line-space grating system. The mathematical development will assume plane or nearly-plane spherical gratings which are illuminated by convergent light, which covers many interesting cases for synchrotron radiation. The gratings discussed will have straight grooves whose spacing varies across the principal plane of the grating. Focal relationships and formulae for the optical grating-pole-to-exist-slit distance and grating radius previously presented by other authors will be derived with a symbolic algebra system. It is intended to provide the optical designer with the tools necessary to design such a system properly. Finally, some possible advantages and disadvantages for application to synchrotron to synchrotron radiation beamlines will be discussed

  4. Talbot Carpet Simulation for X-ray grating interferometer

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Youngju; Oh, Ohsung; Jeong, Hanseong; Kim, Jeongho; Lee, Seung Wook [Pusan National University, Busan (Korea, Republic of); Kim, Jongyul; Moon, Myungkook [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2015-05-15

    In this study, Talbot carpet simulator has been developed to visualize the X-ray grating interference patterns in grating interferometer. We have simulated X-ray interference for a variety of simulations and demonstrated a few examples in this summary. Grating interferometer produces interference of X-ray called Talbot pattern with gratings manufactured in micro scale. Talbot pattern is self-images of phase grating which develops interference as beam splitter that is one of gratings consisted of interferometer. As the other gratings, there are source grating makes coherence and analyze grating is used to analyze interference onto detector. Talbot carpet has been studied as the beam behavior which is distinguished with common X-ray imaging systems. It is helpful to understand grating interferometer and possible to expect beams' oscillation for designing theoretically. We confirm pattern has periodicity produced by interference after pi and pi/2 phase grating and changes in the perpendicular direction to entrance face according to phase objects.

  5. Imaging properties of high aspect ratio absorption gratings for use in preclinical x-ray grating interferometry.

    Science.gov (United States)

    Trimborn, Barbara; Meyer, Pascal; Kunka, Danays; Zuber, Marcus; Albrecht, Frederic; Kreuer, Sascha; Volk, Thomas; Baumbach, Tilo; Koenig, Thomas

    2016-01-21

    X-ray grating interferometry is one among various methods that allow extracting the so-called phase and visibility contrasts in addition to the well-known transmission images. Crucial to achieving a high image quality are the absorption gratings employed. Here, we present an in-depth analysis of how the grating type and lamella heights influence the final images. Benchmarking gratings of two different designs, we show that a frequently used proxy for image quality, a grating's so-called visibility, is insufficient to predict contrast-to-noise ratios (CNRs). Presenting scans from an excised rat lung, we demonstrate that the CNRs obtained for transmission and visibility images anti-correlate. This is explained by the stronger attenuation implied by gratings that are engineered to provide high visibilities by means of an increased lamella height. We show that even the visibility contrast can suffer from this effect when the associated reduced photon flux on the detector is not outweighed by a corresponding gain in visibility. Resulting in an inevitable trade-off between the quality of the two contrasts, the question of how an optimal grating should be designed can hence only be answered in terms of Pareto optimality.

  6. Optical Fiber Grating based Sensors

    DEFF Research Database (Denmark)

    Michelsen, Susanne

    2003-01-01

    In this thesis differenct optical fiber gratings are used for sensor purposes. If a fiber with a core concentricity error (CCE) is used, a directional dependent bend sensor can be produced. The CCE direction can be determined by means of diffraction. This makes it possible to produce long......-period gratings in a fiber with a CCE direction parallel or perpendicular to the writing direction. The maximal bending sensitivity is independent on the writing direction, but the detailed bending response is different in the two cases. A temperature and strain sensor, based on a long-period grating and two...... sampled gratings, was produced and investigated. It is based on the different temperature and strain response of these gratings. Both a transfer matrix method and an overlap calculation is performed to explain the sensor response. Another type of sensor is based on tuning and modulation of a laser...

  7. VizieR Online Data Catalog: Line list for red giants in open clusters (Reddy+, 2015)

    Science.gov (United States)

    Reddy, A. B. S.; Giridhar, S.; Lambert, D. L.

    2017-11-01

    Observations were carried out during observing runs in 2011 May and November, 2012 November and 2013 March using the Robert G. Tull echelle spectrograph (Tull et al. 1995PASP..107..251T) at the coude focus of the 2.7m Harlan J. Smith telescope located at the McDonald Observatory. On all occasions we employed a 2048x2048 24μm pixel, backside-illuminated, anti-reflection coated CCD as a detector and the 52.67 grooves/mm echelle grating with exposures centred at 5060Å. (2 data files).

  8. Apodized grating coupler using fully-etched nanostructures

    International Nuclear Information System (INIS)

    Wu Hua; Li Chong; Guo Xia; Li Zhi-Yong

    2016-01-01

    A two-dimensional apodized grating coupler for interfacing between single-mode fiber and photonic circuit is demonstrated in order to bridge the mode gap between the grating coupler and optical fiber. The grating grooves of the grating couplers are realized by columns of fully etched nanostructures, which are utilized to digitally tailor the effective refractive index of each groove in order to obtain the Gaussian-like output diffractive mode and then enhance the coupling efficiency. Compared with that of the uniform grating coupler, the coupling efficiency of the apodized grating coupler is increased by 4.3% and 5.7%, respectively, for the nanoholes and nanorectangles as refractive index tunes layer. (paper)

  9. Switchable Bragg gratings

    DEFF Research Database (Denmark)

    Marckmann, Carl Johan

    2003-01-01

    Research Center (MIC) at the Technical University of Denmark. The Bragg gratings were fabricated at COM using UV irradiation of the planar waveguides using the phase mask method. The induction of a frozen-in DC electric field into the samples was performed by thermal poling of the Bragg gratings...... layers, it becam possible to investigate the symmetry properties of the third-order nonlinearities. Contrary to the expectations for an amorphous material, the measurements indicated an almost polarization independent third-order nonlinearity - the most probable explanation being electrostriction......The subject of this ph.d. thesis was the development of an electrically switchable Bragg grating made in an optical waveguide using thermal poling to be applied within optical telecommunication systems. The planar waveguides used in this thesis were fabricated at the Micro- and Nanotechnology...

  10. Nanoporous Polymeric Grating-Based Biosensors

    KAUST Repository

    Gao, Tieyu; Hsiao, Vincent; Zheng, Yue Bing; Huang, Tony Jun

    2012-01-01

    We demonstrate the utilization of an interferometrically created nanoporous polymeric gratings as a platform for biosensing applications. Aminopropyltriethoxysilane (APTES)-functionalized nanoporous polymeric gratings was fabricated by combining holographic interference patterning and APTES-functionalization of pre-polymer syrup. The successful detection of multiple biomolecules indicates that the biofunctionalized nanoporous polymeric gratings can act as biosensing platforms which are label-free, inexpensive, and applicable as high-throughput assays. Copyright © 2010 by ASME.

  11. Nanoporous Polymeric Grating-Based Biosensors

    KAUST Repository

    Gao, Tieyu

    2012-05-02

    We demonstrate the utilization of an interferometrically created nanoporous polymeric gratings as a platform for biosensing applications. Aminopropyltriethoxysilane (APTES)-functionalized nanoporous polymeric gratings was fabricated by combining holographic interference patterning and APTES-functionalization of pre-polymer syrup. The successful detection of multiple biomolecules indicates that the biofunctionalized nanoporous polymeric gratings can act as biosensing platforms which are label-free, inexpensive, and applicable as high-throughput assays. Copyright © 2010 by ASME.

  12. Encapsulation process for diffraction gratings.

    Science.gov (United States)

    Ratzsch, Stephan; Kley, Ernst-Bernhard; Tünnermann, Andreas; Szeghalmi, Adriana

    2015-07-13

    Encapsulation of grating structures facilitates an improvement of the optical functionality and/or adds mechanical stability to the fragile structure. Here, we introduce novel encapsulation process of nanoscale patterns based on atomic layer deposition and micro structuring. The overall size of the encapsulated structured surface area is only restricted by the size of the available microstructuring and coating devices; thus, overcoming inherent limitations of existing bonding processes concerning cleanliness, roughness, and curvature of the components. Finally, the process is demonstrated for a transmission grating. The encapsulated grating has 97.5% transmission efficiency in the -1st diffraction order for TM-polarized light, and is being limited by the experimental grating parameters as confirmed by rigorous coupled wave analysis.

  13. An elastomeric grating coupler

    NARCIS (Netherlands)

    Kocabas, A.; Ay, F.; Dana, A.; Aydinli, A.

    We report on a novel nondestructive and reversible method for coupling free space light to planar optical waveguides. In this method, an elastomeric grating is used to produce an effective refractive index modulation on the surface of the optical waveguide. The external elastomeric grating binds to

  14. Bragg gratings in Topas

    DEFF Research Database (Denmark)

    Zhang, C.; Webb, D.J.; Kalli, K.

    We report for the first time fibre Bragg grating inscription in microstructured optical fibre fabricated from Topas® cyclic olefin copolymer. The temperature sensitivity of the grating was studied revealing a positive Bragg wavelength shift of approximately 0.8 nmK-1,the largest sensitivity yet...

  15. Thermal and Structural Analysis of FIMS Grating

    Directory of Open Access Journals (Sweden)

    K.-I. Seon

    2001-06-01

    Full Text Available Far ultraviolet IMaging Spectrograph (FIMS should be designed to maintain its structural stability and to minimize optical performance degradation in launch and in operation enviroments. The structural and thermal analyzes of grating and grating mount system, which are directly related to FIMS optical performance, was performed using finite element method. The grating mount was made to keep the grating stress down, while keeping the natural frequency of the grating mount higher than 100 Hz. Transient and static thermal analyzes were also performed and the results shows that the thermal stress on the grating can be attenuated sufficiently The optical performance variation due to temperature variation was within the allowed range.

  16. Embedded high-contrast distributed grating structures

    Science.gov (United States)

    Zubrzycki, Walter J.; Vawter, Gregory A.; Allerman, Andrew A.

    2002-01-01

    A new class of fabrication methods for embedded distributed grating structures is claimed, together with optical devices which include such structures. These new methods are the only known approach to making defect-free high-dielectric contrast grating structures, which are smaller and more efficient than are conventional grating structures.

  17. Geometrical optics modeling of the grating-slit test.

    Science.gov (United States)

    Liang, Chao-Wen; Sasian, Jose

    2007-02-19

    A novel optical testing method termed the grating-slit test is discussed. This test uses a grating and a slit, as in the Ronchi test, but the grating-slit test is different in that the grating is used as the incoherent illuminating object instead of the spatial filter. The slit is located at the plane of the image of a sinusoidal intensity grating. An insightful geometrical-optics model for the grating-slit test is presented and the fringe contrast ratio with respect to the slit width and object-grating period is obtained. The concept of spatial bucket integration is used to obtain the fringe contrast ratio.

  18. Speed and the coherence of superimposed chromatic gratings.

    Science.gov (United States)

    Bosten, J M; Smith, L; Mollon, J D

    2016-05-01

    On the basis of measurements of the perceived coherence of superimposed drifting gratings, Krauskopf and Farell (1990) proposed that motion is analysed independently in different chromatic channels. They found that two gratings appeared to slip if each modulated one of the two 'cardinal' color mechanisms S/(L+M) and L/(L+M). If the gratings were defined along intermediate color directions, observers reported a plaid, moving coherently. We hypothesised that slippage might occur in chromatic gratings if the motion signal from the S/(L+M) channel is weak and equivalent to a lower speed. We asked observers to judge coherence in two conditions. In one, S/(L+M) and L/(L+M) gratings were physically the same speed. In the other, the two gratings had perceptually matched speeds. We found that the relative incoherence of cardinal gratings is the same whether gratings are physically or perceptually matched in speed. Thus our hypothesis was firmly contradicted. In a control condition, observers were asked to judge the coherence of stationary gratings. Interestingly, the difference in judged coherence between cardinal and intermediate gratings remained as strong as it was when the gratings moved. Our results suggest a possible alternative interpretation of Krauskopf and Farell's result: the processes of object segregation may precede the analysis of the motion of chromatic gratings, and the same grouping signals may prompt object segregation in the stationary and moving cases. Copyright © 2016 Elsevier Ltd. All rights reserved.

  19. Varied line-space gratings: past, present and future

    International Nuclear Information System (INIS)

    Hettrick, M.C.

    1985-08-01

    A classically ruled diffraction grating consists of grooves which are equidistant, straight and parallel. Conversely, the so-called ''holographic'' grating (formed by the interfering waves of coherent visible light), although severely constrained by the recording wavelength and recording geometry, has grooves which are typically neither equidistant, straight nor parallel. In contrast, a varied line-space (VLS) grating, in common nomenclature, is a design in which the groove positions are relatively unconstrained yet possess sufficient symmetry to permit mechanical ruling. Such seemingly exotic gratings are no longer only a theoretical curiosity, but have been ruled and used in a wide variety of applications. These include: (1) aberration-corrected normal incidence concave gratings for Seya-Namioka monochromators and optical de-multiplexers, (2) flat-field grazing incidence concave gratings for plasma diagnostics, (3) aberration-corrected grazing incidence plane gratings for space-borne spectrometers, (4) focusing grazing incidence plane grating for synchrotron radiation monochromators, and (5) wavefront generators for visible interferometry of optical surfaces (particularly aspheres). Future prospects of VLS gratings as dispersing elements, wavefront correctors and beamsplitters appear promising. The author discusses the history of VLS gratings, their present applications, and their potential in the future. 61 refs., 24 figs

  20. Fabrication of Polymer Optical Fibre (POF Gratings

    Directory of Open Access Journals (Sweden)

    Yanhua Luo

    2017-03-01

    Full Text Available Gratings inscribed in polymer optical fibre (POF have attracted remarkable interest for many potential applications due to their distinctive properties. This paper overviews the current state of fabrication of POF gratings since their first demonstration in 1999. In particular we summarize and discuss POF materials, POF photosensitivity, techniques and issues of fabricating POF gratings, as well as various types of POF gratings.

  1. Fiber facet gratings for high power fiber lasers

    Science.gov (United States)

    Vanek, Martin; Vanis, Jan; Baravets, Yauhen; Todorov, Filip; Ctyroky, Jiri; Honzatko, Pavel

    2017-12-01

    We numerically investigated the properties of diffraction gratings designated for fabrication on the facet of an optical fiber. The gratings are intended to be used in high-power fiber lasers as mirrors either with a low or high reflectivity. The modal reflectance of low reflectivity polarizing grating has a value close to 3% for TE mode while it is significantly suppressed for TM mode. Such a grating can be fabricated on laser output fiber facet. The polarizing grating with high modal reflectance is designed as a leaky-mode resonant diffraction grating. The grating can be etched in a thin layer of high index dielectric which is sputtered on fiber facet. We used refractive index of Ta2O5 for such a layer. We found that modal reflectance can be close to 0.95 for TE polarization and polarization extinction ratio achieves 18 dB. Rigorous coupled wave analysis was used for fast optimization of grating parameters while aperiodic rigorous coupled wave analysis, Fourier modal method and finite difference time domain method were compared and used to compute modal reflectance of designed gratings.

  2. Metrology measurements for large-aperture VPH gratings

    Science.gov (United States)

    Zheng, Jessica R.; Gers, Luke; Heijmans, Jeroen

    2013-09-01

    The High Efficiency and Resolution Multi Element Spectrograph (HERMES) for the Australian Astronomical Observatory (AAO) uses four large aperture, high angle of incidence volume phase holographic gratings (VPHG) for high resolution `Galactic archaeology' spectroscopy. The large clear aperture, the high diffraction efficiency, the line frequency homogeneity, and mosaic alignment made manufacturing and testing challenging. We developed new metrology systems at the AAO to verify the performance of these VPH gratings. The measured diffraction efficiencies and line frequency of the VPH gratings received so far meet the vendor's provided data. The wavefront quality for the Blue VPH grating is good but the Green and Red VPH gratings need to be post polishing.

  3. Waveguide silicon nitride grating coupler

    Science.gov (United States)

    Litvik, Jan; Dolnak, Ivan; Dado, Milan

    2016-12-01

    Grating couplers are one of the most used elements for coupling of light between optical fibers and photonic integrated components. Silicon-on-insulator platform provides strong confinement of light and allows high integration. In this work, using simulations we have designed a broadband silicon nitride surface grating coupler. The Fourier-eigenmode expansion and finite difference time domain methods are utilized in design optimization of grating coupler structure. The fully, single etch step grating coupler is based on a standard silicon-on-insulator wafer with 0.55 μm waveguide Si3N4 layer. The optimized structure at 1550 nm wavelength yields a peak coupling efficiency -2.6635 dB (54.16%) with a 1-dB bandwidth up to 80 nm. It is promising way for low-cost fabrication using complementary metal-oxide- semiconductor fabrication process.

  4. Access Platforms for Offshore Wind Turbines Using Gratings

    DEFF Research Database (Denmark)

    Andersen, Thomas Lykke; Rasmussen, Michael R.

    2008-01-01

    The paper deals with forces generated by a stationary jet on different types of gratings and a solid plate. The force reduction factors for the different gratings compared to the solid plate mainly depend on the porosity of the gratings, but the geometry of the grating is also of some importance........ The derived reduction factors are expected to be applicable to design of offshore wind turbine access platforms with gratings where slamming also is an important factor....

  5. Precise rotational alignment of x-ray transmission diffraction gratings

    International Nuclear Information System (INIS)

    Hill, S.L.

    1988-01-01

    Gold transmission diffraction gratings used for x-ray spectroscopy must sometimes be rotationally aligned to the axis of a diagnostic instrument to within sub-milliradian accuracy. We have fabricated transmission diffraction gratings with high line-densities (grating period of 200 and 300 nm) using uv holographic and x-ray lithography. Since the submicron features of the gratings are not optically visible, precision alignment is time consuming and difficult to verify in situ. We have developed a technique to write an optically visible alignment pattern onto these gratings using a scanning electron microscope (SEM). At high magnification (15000 X) several submicron lines of the grating are observable in the SEM, making it possible to write an alignment pattern parallel to the grating lines in an electron-beam-sensitive coating that overlays the grating. We create an alignment pattern by following a 1-cm-long grating line using the SEM's joystick-controlled translation stage. By following the same grating line we are assured the traveled direction of the SEM electron beam is parallel to the grating to better than 10 μradian. The electron-beam-exposed line-width can be large (5 to 15 μm wide) depending on the SEM magnification, and is therefore optically visible. The exposed pattern is eventually made a permanent feature of the grating by ion beam etching or gold electroplating. The pattern can be used to accurately align the grating to the axis of a diagnostic instrument. More importantly, the alignment of the grating can be quickly verified in situ

  6. High-mechanical-strength single-pulse draw tower gratings

    Science.gov (United States)

    Rothhardt, Manfred W.; Chojetzki, Christoph; Mueller, Hans Rainer

    2004-11-01

    The inscription of fiber Bragg gratings during the drawing process is a very useful method to realize sensor arrays with high numbers of gratings and excellent mechanical strength and also type II gratings with high temperature stability. Results of single pulse grating arrays with numbers up to 100 and definite wavelengths and positions for sensor applications were achieved at 1550 nm and 830 nm using new photosensitive fibers developed in IPHT. Single pulse type I gratings at 1550 nm with more than 30% reflectivity were shown first time to our knowledge. The mechanical strength of this fiber with an Ormocer coating with those single pulse gratings is the same like standard telecom fibers. Weibull plots of fiber tests will be shown. At 830 nm we reached more than 10% reflectivity with single pulse writing during the fiber drawing in photosensitive fibers with less than 16 dB/km transmission loss. These gratings are useful for stress and vibration sensing applications. Type II gratings with reflectivity near 100% and smooth spectral shape and spectral width of about 1 nm are temperature stable up to 1200 K for short time. They are also realized in the fiber drawing process. These gratings are useful for temperature sensor applications.

  7. Fundamental limit of light trapping in grating structures

    KAUST Repository

    Yu, Zongfu

    2010-08-11

    We use a rigorous electromagnetic approach to analyze the fundamental limit of light-trapping enhancement in grating structures. This limit can exceed the bulk limit of 4n 2, but has significant angular dependency. We explicitly show that 2D gratings provide more enhancement than 1D gratings. We also show the effects of the grating profile’s symmetry on the absorption enhancement limit. Numerical simulations are applied to support the theory. Our findings provide general guidance for the design of grating structures for light-trapping solar cells.

  8. EUV properties of two diffraction gratings

    International Nuclear Information System (INIS)

    Cotton, D.; Chakrabarti, S.; Edelstein, J.; Pranke, J.; Christensen, A.B.

    1988-01-01

    The efficiency and scattering characteristics of a mechanically ruled grating (MRG) and a holographically ruled grating (HRG) are presented. One of these gratings will be employed in the Extreme Ultraviolet Spectrometer, an instrument of the Remote Atmospheric and Ionospheric Detector System to be flown aboard a TIROS satellite in 1991. The HRG showed much less Lyman alpha scattering, while the MRG had the better efficiency over most of the spectral range covered. 8 refs

  9. Hybrid grating reflectors: Origin of ultrabroad stopband

    DEFF Research Database (Denmark)

    Park, Gyeong Cheol; Taghizadeh, Alireza; Chung, Il-Sug

    2016-01-01

    Hybrid grating (HG) reflectors with a high-refractive-index cap layer added onto a high contrast grating (HCG) provide a high reflectance close to 100% over a broader wavelength range than HCGs. The combination of a cap layer and a grating layer brings a strong Fabry-Perot (FP) resonance as well ...

  10. Nanostructure Diffraction Gratings for Integrated Spectroscopy and Sensing

    Science.gov (United States)

    Guo, Junpeng (Inventor)

    2016-01-01

    The present disclosure pertains to metal or dielectric nanostructures of the subwavelength scale within the grating lines of optical diffraction gratings. The nanostructures have surface plasmon resonances or non-plasmon optical resonances. A linear photodetector array is used to capture the resonance spectra from one of the diffraction orders. The combined nanostructure super-grating and photodetector array eliminates the use of external optical spectrometers for measuring surface plasmon or optical resonance frequency shift caused by the presence of chemical and biological agents. The nanostructure super-gratings can be used for building integrated surface enhanced Raman scattering (SERS) spectrometers. The nanostructures within the diffraction grating lines enhance Raman scattering signal light while the diffraction grating pattern of the nanostructures diffracts Raman scattering light to different directions of propagation according to their wavelengths. Therefore, the nanostructure super-gratings allows for the use of a photodetector array to capture the surface enhanced Raman scattering spectra.

  11. Extended asymmetric-cut multilayer X-ray gratings.

    Science.gov (United States)

    Prasciolu, Mauro; Haase, Anton; Scholze, Frank; Chapman, Henry N; Bajt, Saša

    2015-06-15

    The fabrication and characterization of a large-area high-dispersion blazed grating for soft X-rays based on an asymmetric-cut multilayer structure is reported. An asymmetric-cut multilayer structure acts as a perfect blazed grating of high efficiency that exhibits a single diffracted order, as described by dynamical diffraction throughout the depth of the layered structure. The maximum number of grating periods created by cutting a multilayer deposited on a flat substrate is equal to the number of layers deposited, which limits the size of the grating. The size limitation was overcome by depositing the multilayer onto a substrate which itself is a coarse blazed grating and then polish it flat to reveal the uniformly spaced layers of the multilayer. The number of deposited layers required is such that the multilayer thickness exceeds the step height of the substrate structure. The method is demonstrated by fabricating a 27,060 line pairs per mm blazed grating (36.95 nm period) that is repeated every 3,200 periods by the 120-μm period substrate structure. This preparation technique also relaxes the requirements on stress control and interface roughness of the multilayer film. The dispersion and efficiency of the grating is demonstrated for soft X-rays of 13.2 nm wavelength.

  12. Adaptable Diffraction Gratings With Wavefront Transformation

    Science.gov (United States)

    Iazikov, Dmitri; Mossberg, Thomas W.; Greiner, Christoph M.

    2010-01-01

    Diffraction gratings are optical components with regular patterns of grooves, which angularly disperse incoming light by wavelength. Traditional diffraction gratings have static planar, concave, or convex surfaces. However, if they could be made so that they can change the surface curvature at will, then they would be able to focus on particular segments, self-calibrate, or perform fine adjustments. This innovation creates a diffraction grating on a deformable surface. This surface could be bent at will, resulting in a dynamic wavefront transformation. This allows for self-calibration, compensation for aberrations, enhancing image resolution in a particular area, or performing multiple scans using different wavelengths. A dynamic grating gives scientists a new ability to explore wavefronts from a variety of viewpoints.

  13. Diffraction efficiency of radially-profiled off-plane reflection gratings

    Science.gov (United States)

    Miles, Drew M.; Tutt, James H.; DeRoo, Casey T.; Marlowe, Hannah; Peterson, Thomas J.; McEntaffer, Randall L.; Menz, Benedikt; Burwitz, Vadim; Hartner, Gisela; Laubis, Christian; Scholze, Frank

    2015-09-01

    Future X-ray missions will require gratings with high throughput and high spectral resolution. Blazed off-plane reflection gratings are capable of meeting these demands. A blazed grating profile optimizes grating efficiency, providing higher throughput to one side of zero-order on the arc of diffraction. This paper presents efficiency measurements made in the 0.3 - 1.5 keV energy band at the Physikalisch-Technische Bundesanstalt (PTB) BESSY II facility for three holographically-ruled gratings, two of which are blazed. Each blazed grating was tested in both the Littrow configuration and anti-Littrow configuration in order to test the alignment sensitivity of these gratings with regard to throughput. This paper outlines the procedure of the grating experiment performed at BESSY II and discuss the resulting efficiency measurements across various energies. Experimental results are generally consistent with theory and demonstrate that the blaze does increase throughput to one side of zero-order. However, the total efficiency of the non-blazed, sinusoidal grating is greater than that of the blazed gratings, which suggests that the method of manufacturing these blazed profiles fails to produce facets with the desired level of precision. Finally, evidence of a successful blaze implementation from first diffraction results of prototype blazed gratings produce via a new fabrication technique at the University of Iowa are presented.

  14. An X-ray grazing incidence phase multilayer grating

    CERN Document Server

    Chernov, V A; Mytnichenko, S V

    2001-01-01

    An X-ray grazing incidence phase multilayer grating, representing a thin grating placed on a multilayer mirror, is proposed. A high efficiency of grating diffraction can be obtained by the possibility of changing the phase shift of the wave diffracted from the multilayer under the Bragg and total external reflection conditions. A grazing incidence phase multilayer grating consisting of Pt grating stripes on a Ni/C multilayer and optimized for the hard X-ray range was fabricated. Its diffraction properties were studied at photon energies of 7 and 8 keV. The obtained maximum value of the diffraction efficiency of the +1 grating order was 9% at 7 keV and 6.5% at 8 keV. The data obtained are in a rather good accordance with the theory.

  15. Towards freeform curved blazed gratings using diamond machining

    Science.gov (United States)

    Bourgenot, C.; Robertson, D. J.; Stelter, D.; Eikenberry, S.

    2016-07-01

    Concave blazed gratings greatly simplify the architecture of spectrographs by reducing the number of optical components. The production of these gratings using diamond-machining offers practically no limits in the design of the grating substrate shape, with the possibility of making large sag freeform surfaces unlike the alternative and traditional method of holography and ion etching. In this paper, we report on the technological challenges and progress in the making of these curved blazed gratings using an ultra-high precision 5 axes Moore-Nanotech machine. We describe their implementation in an integral field unit prototype called IGIS (Integrated Grating Imaging Spectrograph) where freeform curved gratings are used as pupil mirrors. The goal is to develop the technologies for the production of the next generation of low-cost, compact, high performance integral field unit spectrometers.

  16. Apodized grating coupler using fully-etched nanostructures

    Science.gov (United States)

    Wu, Hua; Li, Chong; Li, Zhi-Yong; Guo, Xia

    2016-08-01

    A two-dimensional apodized grating coupler for interfacing between single-mode fiber and photonic circuit is demonstrated in order to bridge the mode gap between the grating coupler and optical fiber. The grating grooves of the grating couplers are realized by columns of fully etched nanostructures, which are utilized to digitally tailor the effective refractive index of each groove in order to obtain the Gaussian-like output diffractive mode and then enhance the coupling efficiency. Compared with that of the uniform grating coupler, the coupling efficiency of the apodized grating coupler is increased by 4.3% and 5.7%, respectively, for the nanoholes and nanorectangles as refractive index tunes layer. Project supported by the National Natural Science Foundation of China (Grant Nos. 61222501, 61335004, and 61505003), the Specialized Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20111103110019), the Postdoctoral Science Foundation of Beijing Funded Project, China (Grant No. Q6002012201502), and the Science and Technology Research Project of Jiangxi Provincial Education Department, China (Grant No. GJJ150998).

  17. Diffraction by m-bonacci gratings

    International Nuclear Information System (INIS)

    Monsoriu, Juan A; Giménez, Marcos H; Furlan, Walter D; Barreiro, Juan C; Saavedra, Genaro

    2015-01-01

    We present a simple diffraction experiment with m-bonacci gratings as a new interesting generalization of the Fibonacci ones. Diffraction by these non-conventional structures is proposed as a motivational strategy to introduce students to basic research activities. The Fraunhofer diffraction patterns are obtained with the standard equipment present in most undergraduate physics labs and are compared with those obtained with regular periodic gratings. We show that m-bonacci gratings produce discrete Fraunhofer patterns characterized by a set of diffraction peaks which positions are related to the concept of a generalized golden mean. A very good agreement is obtained between experimental and numerical results and the students’ feedback is discussed. (paper)

  18. Second-harmonic generation in second-harmonic fiber Bragg gratings.

    Science.gov (United States)

    Steel, M J; de Sterke, C M

    1996-06-20

    We consider the production of second-harmonic light in gratings resonant with the generated field, through a Green's function approach. We recover some standard results and obtain new limits for the uniform grating case. With the extension to nonuniform gratings, we find the Green's function for the second harmonic in a grating with an arbitrary phase shift at some point. We then obtain closed form approximate expressions for the generated light for phase shifts close to π/2 and at the center of the grating. Finally, comparing the uniform and phase-shifted gratings with homogeneous materials, we discuss the enhancement in generated light and the bandwidth over which it occurs, and the consequences for second-harmonic generation in optical fiber Bragg gratings.

  19. Simple design of slanted grating with simplified modal method.

    Science.gov (United States)

    Li, Shubin; Zhou, Changhe; Cao, Hongchao; Wu, Jun

    2014-02-15

    A simplified modal method (SMM) is presented that offers a clear physical image for subwavelength slanted grating. The diffraction characteristic of the slanted grating under Littrow configuration is revealed by the SMM as an equivalent rectangular grating, which is in good agreement with rigorous coupled-wave analysis. Based on the equivalence, we obtained an effective analytic solution for simplifying the design and optimization of a slanted grating. It offers a new approach for design of the slanted grating, e.g., a 1×2 beam splitter can be easily designed. This method should be helpful for designing various new slanted grating devices.

  20. Defect grating modes as superimposed grating states

    NARCIS (Netherlands)

    van Groesen, Embrecht W.C.; Sopaheluwakan, A.; Andonowati, A.; de Ridder, R.M; de Ridder, R.M.; Altena, G; Altena, G.; Geuzebroek, D.H.; Geuzenboek, D.; Dekker, R.; Dekker, R

    2003-01-01

    For a symmetric grating structure with a defect, we show that a fully transmitted defect mode in the band gap can be obtained as a superposition of two steady states: an amplified and an attenuated defect state. Without scanning the whole band gap by transmission calculations, this simplifies the

  1. Response of fiber Bragg gratings to longitudinal ultrasonic waves.

    Science.gov (United States)

    Minardo, Aldo; Cusano, Andrea; Bernini, Romeo; Zeni, Luigi; Giordano, Michele

    2005-02-01

    In the last years, fiber optic sensors have been widely exploited for several sensing applications, including static and dynamic strain measurements up to acoustic detection. Among these, fiber Bragg grating sensors have been indicated as the ideal candidate for practical structural health monitoring in light of their unique advantages over conventional sensing devices. Although this class of sensors has been successfully tested for static and low-frequency measurements, the identification of sensor performances for high-frequency detection, including acoustic emission and ultrasonic investigations, is required. To this aim, the analysis of feasibilty on the use of fiber Bragg grating sensors as ultrasonic detectors has been carried out. In particular, the response of fiber Bragg gratings subjected to the longitudinal ultrasonic (US) field has been theoretically and numerically investigated. Ultrasonic field interaction has been modeled, taking into account the direct deformation of the grating pitch combined with changes in local refractive index due to the elasto-optic effect. Numerical results, obtained for both uniform and Gaussian-apodized fiber Bragg gratings, show that the grating spectrum is strongly influenced by the US field in terms of shape and central wavelength. In particular, a key parameter affecting the grating response is the ratio between the US wavelength and the grating length. Normal operation characterized by changes in wavelength of undistorted Bragg peak is possible only for US wavelengths longer than the grating length. For US wavelengths approaching the grating length, the wavelength change is accompanied by subpeaks formation and main peak amplitude modulation. This effect can be attributed to the nonuniformity of the US perturbation along the grating length. At very high US frequencies, the grating is not sensitive any longer. The results of this analysis provide useful tools for the design of grating-based ultrasound sensors for

  2. Undergraduate experiment with fractal diffraction gratings

    International Nuclear Information System (INIS)

    Monsoriu, Juan A; Furlan, Walter D; Pons, Amparo; Barreiro, Juan C; Gimenez, Marcos H

    2011-01-01

    We present a simple diffraction experiment with fractal gratings based on the triadic Cantor set. Diffraction by fractals is proposed as a motivating strategy for students of optics in the potential applications of optical processing. Fraunhofer diffraction patterns are obtained using standard equipment present in most undergraduate physics laboratories and compared with those obtained with conventional periodic gratings. It is shown that fractal gratings produce self-similar diffraction patterns which can be evaluated analytically. Good agreement is obtained between experimental and numerical results.

  3. Bragg Fibers with Soliton-like Grating Profiles

    Directory of Open Access Journals (Sweden)

    Bugaychuk S.

    2016-01-01

    Full Text Available Nonlinear dynamical system corresponding to the optical holography in a nonlocal nonlinear medium with dissipation contains stable localized spatio-temporal states, namely the grid dissipative solitons. These solitons display a non-uniform profile of the grating amplitude, which has the form of the dark soliton in the reflection geometry. The transformation of the grating amplitude gives rise many new atypical effects for the beams diffracted on such grating, and they are very suitable for the fiber Brass gratings. The damped nonlinear Schrodinger equation is derived that describes the properties of the grid dissipative soliton.

  4. Neutron diffraction from holographic gratings in PMMA

    International Nuclear Information System (INIS)

    Havermeyer, F.; Kraetzig, E.; Rupp, R.A.; Schubert, D.W.

    1999-01-01

    Complete text of publication follows. By definition photorefractive materials change the refractive index for light under the action of light. Using the spatially modulated light intensity pattern from the interference of two plane waves, volume phase gratings with accurately defined spacings can be produced. Depending on the material there are many physical origins for these gratings, but in most cases they are linked to a density modulation and, consequently, to a refractive index grating for neutrons. By diffraction of light or neutrons from such gratings even small refractive index changes down to Δn ∼ 10 -7 - 10 -9 can be measured. In our photopolymer system PMMA/MMA (poly(methyl methacrylate) with a content of 10-20% of the residual monomer methyl methacrylate) inhomogeneous illumination leads to local post-polymerisation processes of the residual monomer. The resulting light-optical refractive index grating is caused by the modulation of the monomer/polymer ratio as well as by the modulation of the total density. Only by the unique combination of methods for light and neutron diffraction, available at HOLONS (Holography and Neutron Scattering, instrument at the GKSS research centre), both contributions can be separated. We discuss the angular dependence of the neutron diffraction efficiency for weakly and strongly (efficiencies up to 60% have been achieved) modulated gratings and propose a simple model for the evaluation of the gratings. (author)

  5. Immersion Gratings for Infrared High-resolution Spectroscopy

    Science.gov (United States)

    Sarugaku, Yuki; Ikeda, Yuji; Kobayashi, Naoto; Kaji, Sayumi; Sukegawa, Takashi; Sugiyama, Shigeru; Nakagawa, Takao; Arasaki, Takayuki; Kondo, Sohei; Nakanishi, Kenshi; Yasui, Chikako; Kawakita, Hideyo

    2016-10-01

    High-resolution spectroscopy in the infrared wavelength range is essential for observations of minor isotopologues, such as HDO for water, and prebiotic organic molecules like hydrocarbons/P-bearing molecules because numerous vibrational molecular bands (including non-polar molecules) are located in this wavelength range. High spectral resolution enables us to detect weak lines without spectral line confusion. This technique has been widely used in planetary sciences, e.g., cometary coma (H2O, CO, and organic molecules), the martian atmosphere (CH4, CO2, H2O and HDO), and the upper atmosphere of gas giants (H3+ and organic molecules such as C2H6). Spectrographs with higher resolution (and higher sensitivity) still have a potential to provide a plenty of findings. However, because the size of spectrographs scales with the spectral resolution, it is difficult to realize it.Immersion grating (IG), which is a diffraction grating wherein the diffraction surface is immersed in a material with a high refractive index (n > 2), provides n times higher spectral resolution compared to a reflective grating of the same size. Because IG reduces the size of spectrograph to 1/n compared to the spectrograph with the same spectral resolution using a conventional reflective grating, it is widely acknowledged as a key optical device to realize compact spectrographs with high spectral resolution.Recently, we succeeded in fabricating a CdZnTe immersion grating with the theoretically predicted diffraction efficiency by machining process using an ultrahigh-precision five-axis processing machine developed by Canon Inc. Using the same technique, we completed a practical germanium (Ge) immersion grating with both a reflection coating on the grating surface and the an AR coating on the entrance surface. It is noteworthy that the wide wavelength range from 2 to 20 um can be covered by the two immersion gratings.In this paper, we present the performances and the applications of the immersion

  6. Grism and immersion grating for space telescope

    Science.gov (United States)

    Ebizuka, Noboru; Oka, Kiko; Yamada, Akiko; Ishikawa, Mami; Kashiwagi, Masako; Kodate, Kashiko; Hirahara, Yasuhiro; Sato, Shuji; Kawabata, Koji S.; Wakaki, Moriaki; Morita, Shin-ya; Simizu, Tomoyuki; Yin, Shaohui; Omori, Hitoshi; Iye, Masanori

    2017-11-01

    The grism is a versatile dispersion element for an astronomical instrument ranging from ultraviolet to infrared. Major benefit of using a grism in a space application, instead of a reflection grating, is the size reduction of optical system because collimator and following optical elements could locate near by the grism. The surface relief (SR) grism is consisted a transmission grating and a prism, vertex angle of which is adjusted to redirect the diffracted beam straight along the direct vision direction at a specific order and wavelength. The volume phase holographic (VPH) grism consists a thick VPH grating sandwiched between two prisms, as specific order and wavelength is aligned the direct vision direction. The VPH grating inheres ideal diffraction efficiency on a higher dispersion application. On the other hand, the SR grating could achieve high diffraction efficiency on a lower dispersion application. Five grisms among eleven for the Faint Object Camera And Spectrograph (FOCAS) of the 8.2m Subaru Telescope with the resolving power from 250 to 3,000 are SR grisms fabricated by a replication method. Six additional grisms of FOCAS with the resolving power from 3,000 to 7,000 are VPH grisms. We propose "Quasi-Bragg grism" for a high dispersion spectroscopy with wide wavelength range. The germanium immersion grating for instance could reduce 1/64 as the total volume of a spectrograph with a conventional reflection grating since refractive index of germanium is over 4.0 from 1.6 to 20 μm. The prototype immersion gratings for the mid-InfraRed High dispersion Spectrograph (IRHS) are successfully fabricated by a nano-precision machine and grinding cup of cast iron with electrolytic dressing method.

  7. Fibre gratings for high temperature sensor applications

    Science.gov (United States)

    Canning, J.; Sommer, K.; Englund, M.

    2001-07-01

    Phosphosilicate fibre gratings can be stabilized at temperatures in excess of 500 °C for sensor applications by optimizing thermal and UV presensitization recipes. Furthermore, the use of 193 nm presensitization prevents the formation of OH absorption bands, extending the use of fibre gratings across the entire wavelength spectrum. Gratings for operation at 700 °C retaining up to 70% reflectivity after 30 min are demonstrated.

  8. Polarization sensitivity testing of off-plane reflection gratings

    Science.gov (United States)

    Marlowe, Hannah; McEntaffer, Randal L.; DeRoo, Casey T.; Miles, Drew M.; Tutt, James H.; Laubis, Christian; Soltwisch, Victor

    2015-09-01

    Off-Plane reflection gratings were previously predicted to have different efficiencies when the incident light is polarized in the transverse-magnetic (TM) versus transverse-electric (TE) orientations with respect to the grating grooves. However, more recent theoretical calculations which rigorously account for finitely conducting, rather than perfectly conducting, grating materials no longer predict significant polarization sensitivity. We present the first empirical results for radially ruled, laminar groove profile gratings in the off-plane mount which demonstrate no difference in TM versus TE efficiency across our entire 300-1500 eV bandpass. These measurements together with the recent theoretical results confirm that grazing incidence off-plane reflection gratings using real, not perfectly conducting, materials are not polarization sensitive.

  9. Polymer optical fiber bragg grating sensors

    DEFF Research Database (Denmark)

    Stefani, Alessio; Yuan, Scott Wu; Andresen, Søren

    2010-01-01

    Fiber-optical accelerometers based on polymer optical fiber Bragg gratings are reported. We have written fiber Bragg gratings for 1550 nm and 850 nm operations, characterized their temperature and strain response, and tested their performance in a prototype accelerometer....

  10. Digital TV-echelle spectrograph for simultaneous multielemental analysis using microcomputer control

    International Nuclear Information System (INIS)

    Davidson, J.B.; Case, A.L.

    1980-12-01

    A digital TV-echelle spectrograph with microcomputer control was developed for simultaneous multielemental analysis. The optical system is a commercially available unit originally equipped for film and photomultiplier (single element) readout. The film port was adapted for the intensifier camera. The camera output is digitized and stored in a microcomputer-controlled, 512 x 512 x 12 bit memory and image processor. Multiple spectra over the range of 200 to 800 nm are recorded in a single exposure. Spectra lasting from nanoseconds to seconds are digitized and stored in 0.033 s and displayed on a TV monitor. An inexpensive microcomputer controls the exposure, reads and displays the intensity of predetermined spectral lines, and calculates wavelengths of unknown lines. The digital addresses of unknown lines are determined by superimposing a cursor on the TV display. The microcomputer also writes into memory wavelength fiducial marks for alignment of the TV camera

  11. Overview of diffraction gratings technologies for space-flight satellites and astronomy

    Science.gov (United States)

    Cotel, Arnaud; Liard, Audrey; Desserouer, Frédéric; Bonnemason, Francis; Pichon, Pierre

    2014-09-01

    The diffraction gratings are widely used in Space-flight satellites for spectrograph instruments or in ground-based telescopes in astronomy. The diffraction gratings are one of the key optical components of such systems and have to exhibit very high optical performances. HORIBA Jobin Yvon S.A.S. (part of HORIBA Group) is in the forefront of such gratings development for more than 40 years. During the past decades, HORIBA Jobin Yvon (HJY) has developed a unique expertise in diffraction grating design and manufacturing processes for holographic, ruled or etched gratings. We will present in this paper an overview of diffraction grating technologies especially designed for space and astronomy applications. We will firstly review the heritage of the company in this field with the space qualification of different grating types. Then, we will describe several key grating technologies developed for specific space or astronomy projects: ruled blazed low groove density plane reflection grating, holographic blazed replica plane grating, high-groove density holographic toroidal and spherical grating and transmission Fused Silica Etched (FSE) grismassembled grating.

  12. Gratings for synchrotron and FEL beamlines: a project for the manufacture of ultra-precise gratings at Helmholtz Zentrum Berlin.

    Science.gov (United States)

    Siewert, F; Löchel, B; Buchheim, J; Eggenstein, F; Firsov, A; Gwalt, G; Kutz, O; Lemke, St; Nelles, B; Rudolph, I; Schäfers, F; Seliger, T; Senf, F; Sokolov, A; Waberski, Ch; Wolf, J; Zeschke, T; Zizak, I; Follath, R; Arnold, T; Frost, F; Pietag, F; Erko, A

    2018-01-01

    Blazed gratings are of dedicated interest for the monochromatization of synchrotron radiation when a high photon flux is required, such as, for example, in resonant inelastic X-ray scattering experiments or when the use of laminar gratings is excluded due to too high flux densities and expected damage, for example at free-electron laser beamlines. Their availability became a bottleneck since the decommissioning of the grating manufacture facility at Carl Zeiss in Oberkochen. To resolve this situation a new technological laboratory was established at the Helmholtz Zentrum Berlin, including instrumentation from Carl Zeiss. Besides the upgraded ZEISS equipment, an advanced grating production line has been developed, including a new ultra-precise ruling machine, ion etching technology as well as laser interference lithography. While the old ZEISS ruling machine GTM-6 allows ruling for a grating length up to 170 mm, the new GTM-24 will have the capacity for 600 mm (24 inch) gratings with groove densities between 50 lines mm -1 and 1200 lines mm -1 . A new ion etching machine with a scanning radiofrequency excited ion beam (HF) source allows gratings to be etched into substrates of up to 500 mm length. For a final at-wavelength characterization, a new reflectometer at a new Optics beamline at the BESSY-II storage ring is under operation. This paper reports on the status of the grating fabrication, the measured quality of fabricated items by ex situ and in situ metrology, and future development goals.

  13. Optical design for a breadboard high-resolution spectrometer for SIRTF/IRS

    Science.gov (United States)

    Brown, Robert J.; Houck, James R.; van Cleve, Jeffrey E.

    1996-11-01

    The optical design of a breadboard high resolution infrared spectrometer for the IRS instrument on the SIRTF mission is discussed. The spectrometer uses a crossed echelle grating configuration to cover the spectral region from 10 to 20 micrometer with a resolving power of approximately equals 600. The all reflective spectrometer forms a nearly diffraction limited image of the two dimensional spectrum on a 128 multiplied by 128 arsenic doped silicon area array with 75 micrometer pixels. The design aspects discussed include, grating numerology, image quality, packaging and alignment philosophy.

  14. Corrugated grating on organic multilayer Bragg reflector

    Science.gov (United States)

    Jaquet, Sylvain; Scharf, Toralf; Herzig, Hans Peter

    2007-08-01

    Polymeric multilayer Bragg structures are combined with diffractive gratings to produce artificial visual color effects. A particular effect is expected due to the angular reflection dependence of the multilayer Bragg structure and the dispersion caused by the grating. The combined effects can also be used to design particular filter functions and various resonant structures. The multilayer Bragg structure is fabricated by spin-coating of two different low-cost polymer materials in solution on a cleaned glass substrate. These polymers have a refractive index difference of about 0.15 and permit multilayer coatings without interlayer problems. Master gratings of different periods are realized by laser beam interference and replicated gratings are superimposed on the multilayer structure by soft embossing in a UV curing glue. The fabrication process requires only polymer materials. The obtained devices are stable and robust. Angular dependent reflection spectrums for the visible are measured. These results show that it is possible to obtain unexpected reflection effects. A rich variety of color spectra can be generated, which is not possible with a single grating. This can be explained by the coupling of transmission of grating orders and the Bragg reflection band. A simple model permits to explain some of the spectral vs angular dependence of reflected light.

  15. Dynamic optical coupled system employing Dammann gratings

    Science.gov (United States)

    Di, Caihui; Zhou, Changhe; Ru, Huayi

    2004-10-01

    With the increasing of the number of users in optical fiber communications, fiber-to-home project has a larger market value. Then the need of dynamic optical couplers, especially of N broad-band couplers, becomes greater. Though some advanced fiber fusion techniques have been developed, they still have many shortcomings. In this paper we propose a dynamic optical coupled system employing even-numbered Dammann gratings, which have the characteristic that the phase distribution in the first half-period accurately equals to that in the second-period with π phase inversion. In our experiment, we divide a conventional even-numbered Dammann grating into two identical gratings. The system can achieve the beam splitter and combiner as the switch between them according to the relative shift between two complementary gratings. When there is no shift between the gratings, the demonstrated 1×8 dynamic optical coupler achieves good uniformity of 0.06 and insertion loss of around 10.8 dB for each channel as a splitter. When the two gratings have an accurate shift of a half-period between them, our system has a low insertion loss of 0.46 dB as a combiner at a wavelength of 1550 nm.

  16. Fabrication of high edge-definition steel-tape gratings for optical encoders

    Science.gov (United States)

    Ye, Guoyong; Liu, Hongzhong; Yan, Jiawei; Ban, Yaowen; Fan, Shanjin; Shi, Yongsheng; Yin, Lei

    2017-10-01

    High edge definition of a scale grating is the basic prerequisite for high measurement accuracy of optical encoders. This paper presents a novel fabrication method of steel tape gratings using graphene oxide nanoparticles as anti-reflective grating strips. Roll-to-roll nanoimprint lithography is adopted to manufacture the steel tape with hydrophobic and hydrophilic pattern arrays. Self-assembly technology is employed to obtain anti-reflective grating strips by depositing the graphene oxide nanoparticles on hydrophobic regions. A thin SiO2 coating is deposited on the grating to protect the grating strips. Experimental results confirm that the proposed fabrication process enables a higher edge definition in making steel-tape gratings, and the new steel tape gratings offer better performance than conventional gratings.

  17. Suppressing Ghost Diffraction in E-Beam-Written Gratings

    Science.gov (United States)

    Wilson, Daniel; Backlund, Johan

    2009-01-01

    A modified scheme for electron-beam (E-beam) writing used in the fabrication of convex or concave diffraction gratings makes it possible to suppress the ghost diffraction heretofore exhibited by such gratings. Ghost diffraction is a spurious component of diffraction caused by a spurious component of grating periodicity as described below. The ghost diffraction orders appear between the main diffraction orders and are typically more intense than is the diffuse scattering from the grating. At such high intensity, ghost diffraction is the dominant source of degradation of grating performance. The pattern of a convex or concave grating is established by electron-beam writing in a resist material coating a substrate that has the desired convex or concave shape. Unfortunately, as a result of the characteristics of electrostatic deflectors used to control the electron beam, it is possible to expose only a small field - typically between 0.5 and 1.0 mm wide - at a given fixed position of the electron gun relative to the substrate. To make a grating larger than the field size, it is necessary to move the substrate to make it possible to write fields centered at different positions, so that the larger area is synthesized by "stitching" the exposed fields.

  18. Grate-firing of biomass for heat and power production

    DEFF Research Database (Denmark)

    Yin, Chungen; Rosendahl, Lasse; Kær, Søren Knudsen

    2008-01-01

    bed on the grate, and the advanced secondary air supply (a real breakthrough in this technology) are highlighted for grate-firing systems. Amongst all the issues or problems associated with grate-fired boilers burning biomass, primary pollutant formation and control, deposition formation and corrosion......As a renewable and environmentally friendly energy source, biomass (i.e., any organic non-fossil fuel) and its utilization are gaining an increasingly important role worldwide Grate-firing is one of the main competing technologies in biomass combustion for heat and power production, because it can...... combustion mechanism, the recent breakthrough in the technology, the most pressing issues, the current research and development activities, and the critical future problems to be resolved. The grate assembly (the most characteristic element in grate-fired boilers), the key combustion mechanism in the fuel...

  19. Perturbative approach to continuum generation in a fiber Bragg grating.

    Science.gov (United States)

    Westbrook, P S; Nicholson, J W

    2006-08-21

    We derive a perturbative solution to the nonlinear Schrödinger equation to include the effect of a fiber Bragg grating whose bandgap is much smaller than the pulse bandwidth. The grating generates a slow dispersive wave which may be computed from an integral over the unperturbed solution if nonlinear interaction between the grating and unperturbed waves is negligible. Our approach allows rapid estimation of large grating continuum enhancement peaks from a single nonlinear simulation of the waveguide without grating. We apply our method to uniform and sampled gratings, finding good agreement with full nonlinear simulations, and qualitatively reproducing experimental results.

  20. Volume phase holographic gratings for the Subaru Prime Focus Spectrograph: performance measurements of the prototype grating set

    Science.gov (United States)

    Barkhouser, Robert H.; Arns, James; Gunn, James E.

    2014-08-01

    The Prime Focus Spectrograph (PFS) is a major instrument under development for the 8.2 m Subaru telescope on Mauna Kea. Four identical, fixed spectrograph modules are located in a room above one Nasmyth focus. A 55 m fiber optic cable feeds light into the spectrographs from a robotic fiber positioner mounted at the telescope prime focus, behind the wide field corrector developed for Hyper Suprime-Cam. The positioner contains 2400 fibers and covers a 1.3 degree hexagonal field of view. Each spectrograph module will be capable of simultaneously acquiring 600 spectra. The spectrograph optical design consists of a Schmidt collimator, two dichroic beamsplitters to separate the light into three channels, and for each channel a volume phase holographic (VPH) grating and a dual- corrector, modified Schmidt reimaging camera. This design provides a 275 mm collimated beam diameter, wide simultaneous wavelength coverage from 380 nm to 1.26 µm, and good imaging performance at the fast f/1.1 focal ratio required from the cameras to avoid oversampling the fibers. The three channels are designated as the blue, red, and near-infrared (NIR), and cover the bandpasses 380-650 nm (blue), 630-970 nm (red), and 0.94-1.26 µm (NIR). A mosaic of two Hamamatsu 2k×4k, 15 µm pixel CCDs records the spectra in the blue and red channels, while the NIR channel employs a 4k×4k, substrate-removed HAWAII-4RG array from Teledyne, with 15 µm pixels and a 1.7 µm wavelength cutoff. VPH gratings have become the dispersing element of choice for moderate-resolution astronomical spectro- graphs due their potential for very high diffraction efficiency, low scattered light, and the more compact instru- ment designs offered by transmissive dispersers. High quality VPH gratings are now routinely being produced in the sizes required for instruments on large telescopes. These factors made VPH gratings an obvious choice for PFS. In order to reduce risk to the project, as well as fully exploit the performance

  1. Exploiting a Transmission Grating Spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Ronald E. Bell

    2004-12-08

    The availability of compact transmission grating spectrometers now allows an attractive and economical alternative to the more familiar Czerny-Turner configuration for many high-temperature plasma applications. Higher throughput is obtained with short focal length refractive optics and stigmatic imaging. Many more spectra can be obtained with a single spectrometer since smaller, more densely packed optical input fibers can be used. Multiple input slits, along with a bandpass filter, can be used to maximize the number of spectra per detector, providing further economy. Curved slits can correct for the strong image curvature of the short focal length optics. Presented here are the governing grating equations for both standard and high-dispersion transmission gratings, defining dispersion, image curvature, and desired slit curvature, that can be used in the design of improved plasma diagnostics.

  2. Exploiting a Transmission Grating Spectrometer

    International Nuclear Information System (INIS)

    Bell, Ronald E.

    2004-01-01

    The availability of compact transmission grating spectrometers now allows an attractive and economical alternative to the more familiar Czerny-Turner configuration for many high-temperature plasma applications. Higher throughput is obtained with short focal length refractive optics and stigmatic imaging. Many more spectra can be obtained with a single spectrometer since smaller, more densely packed optical input fibers can be used. Multiple input slits, along with a bandpass filter, can be used to maximize the number of spectra per detector, providing further economy. Curved slits can correct for the strong image curvature of the short focal length optics. Presented here are the governing grating equations for both standard and high-dispersion transmission gratings, defining dispersion, image curvature, and desired slit curvature, that can be used in the design of improved plasma diagnostics

  3. Smith-Purcell radiation from a 50 MeV beam

    Energy Technology Data Exchange (ETDEWEB)

    Brownell, J.H.; Walsh, J. [Dartmouth Coll., Hanover, NH (United States). Dept. of Physics and Astronomy; Kirk, H.G.; Fernow, R.C. [Brookhaven National Lab., Upton, NY (United States). Dept. of Physics; Robertson, S.H. [Univ. of Colorado, Boulder, CO (United States). Dept. of Astrophysics and Geophysics

    1996-10-01

    A 50 MeV electron beam and a 1 mm period, 5{degree} blaze, echelle grating have been used to produce radiation in the mid-infrared spectral region. The emission is highly collimated and forward-directed. The intensity level in the few ps pulse (2 nJ/sr) indicates a degree of coherent enhancement.

  4. Smith-Purcell radiation from a 50 MeV beam

    International Nuclear Information System (INIS)

    Brownell, J.H.; Walsh, J.; Kirk, H.G.; Fernow, R.C.; Robertson, S.H.

    1996-10-01

    A 50 MeV electron beam and a 1 mm period, 5 degree blaze, echelle grating have been used to produce radiation in the mid-infrared spectral region. The emission is highly collimated and forward-directed. The intensity level in the few ps pulse (2 nJ/sr) indicates a degree of coherent enhancement

  5. PEPSI: the Potsdam Echelle Polarimetric and Spectroscopic Instrument for the LBT

    Science.gov (United States)

    Strassmeier, K. G.; Woche, M.; Ilyin, I.; Popow, E.; Bauer, S.-M.; Dionies, F.; Fechner, T.; Weber, M.; Hofmann, A.; Storm, J.; Materne, R.; Bittner, W.; Bartus, J.; Granzer, T.; Denker, C.; Carroll, T.; Kopf, M.; DiVarano, I.; Beckert, E.; Lesser, M.

    2008-07-01

    We present the status of PEPSI, the bench-mounted fibre-fed and stabilized "Potsdam Echelle Polarimetric and Spectroscopic Instrument" for the 2×8.4m Large Binocular Telescope in southern Arizona. PEPSI is under construction at AIP and is scheduled for first light in 2009/10. Its ultra-high-resolution mode will deliver an unprecedented spectral resolution of approximately R=310,000 at high efficiency throughout the entire optical/red wavelength range 390-1050nm without the need for adaptive optics. Besides its polarimetric Stokes IQUV mode, the capability to cover the entire optical range in three exposures at resolutions of 40,000, 130,000 and 310,000 will surpass all existing facilities in terms of light-gathering-power times spectral-coverage product. A solar feed will make use of the spectrograph also during day time. As such, we hope that PEPSI will be the most powerful spectrometer of its kind for the years to come.

  6. Grating-Coupled Waveguide Cloaking

    International Nuclear Information System (INIS)

    Wang Jia-Fu; Qu Shao-Bo; Ma Hua; Wang Cong-Min; Wang Xin-Hua; Zhou Hang; Xu Zhuo; Xia Song

    2012-01-01

    Based on the concept of a grating-coupled waveguide (GCW), a new strategy for realizing EM cloaking is presented. Using metallic grating, incident waves are firstly coupled into the effective waveguide and then decoupled into free space behind, enabling EM waves to pass around the obstacle. Phase compensation in the waveguide keeps the wave-front shape behind the obstacle unchanged. Circular, rectangular and triangular cloaks are presented to verify the robustness of the GCW cloaking. Electric field animations and radar cross section (RCS) comparisons convincingly demonstrate the cloaking effect

  7. Dual-function beam splitter of a subwavelength fused-silica grating.

    Science.gov (United States)

    Feng, Jijun; Zhou, Changhe; Zheng, Jiangjun; Cao, Hongchao; Lv, Peng

    2009-05-10

    We present the design and fabrication of a novel dual-function subwavelength fused-silica grating that can be used as a polarization-selective beam splitter. For TM polarization, the grating can be used as a two-port beam splitter at a wavelength of 1550 nm with a total diffraction efficiency of 98%. For TE polarization, the grating can function as a high-efficiency grating, and the diffraction efficiency of the -1st order is 95% under Littrow mounting. This dual-function grating design is based on a simplified modal method. By using the rigorous coupled-wave analysis, the optimum grating parameters can be determined. Holographic recording technology and inductively coupled plasma etching are used to manufacture the fused-silica grating. Experimental results are in agreement with the theoretical values.

  8. Electromagnetically induced two-dimensional grating assisted by incoherent pump

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yu-Yuan; Liu, Zhuan-Zhuan; Wan, Ren-Gang, E-mail: wrg@snnu.edu.cn

    2017-04-25

    We propose a scheme for realizing electromagnetically induced two-dimensional grating in a double-Λ system driven simultaneously by a coherent field and an incoherent pump field. In such an atomic configuration, the absorption is suppressed owing to the incoherent pumping process and the probe can be even amplified, while the refractivity is mainly attributed to the dynamically induced coherence. With the help of a standing-wave pattern coherent field, we obtain periodically modulated refractive index without or with gain, and therefore phase grating or gain-phase grating which diffracts a probe light into high-order direction efficiently can be formed in the medium via appropriate manipulation of the system parameters. The diffraction efficiency attainable by the present gratings can be controlled by tuning the coherent field intensity or the interaction length. Hence, the two-dimensional grating can be utilized as all-optical splitter or router in optical networking and communication. - Highlights: • Two-dimensional grating is coherently induced in four-level atoms. • Phase and gain-phase gratings are obtained assisted by incoherent pump. • The diffraction power is improved due to the enhanced refraction modulation. • The gratings can be utilized as multi-channel all-optical splitter and router.

  9. Structural Design of a Compact in-Plane Nano-Grating Accelerometer

    International Nuclear Information System (INIS)

    Yao Bao-Yin; Zhou Zhen; Feng Li-Shuang; Wang Wen-Pu; Wang Xiao

    2012-01-01

    A combination of large mass, weak spring and nano-grating is the key for a nano-grating accelerometer to measure nano-G acceleration. A novel compact nano-grating accelerometer integrating a large mass with nano-grating is proposed. First, the numbers of diffraction orders are calculated. Then, structure parameters are optimized by finite element analysis to achieve a high sensitivity in an ideal vibration mode. Finally, we design the fabrication method to form such a compact nano-grating accelerometer and successfully fabricate the uniform and well-designed nano-gratings with a period of 847 nm, crater of 451 nm by an FIB/SEM dual beam system. Based on the ANSYS simulation, a nano-grating accelerometer is predicted to work in the first modal and enables the accelerometer to have displacement sensitivity at 197 nm/G with a measurement range of ±1 G, corresponding to zeroth diffraction beam optical sensitivity 1%/mG. The nano-gratings fabricated are very close to those designed ones within experimental error to lay the foundation for the sequent fabrication. These results provide a theoretical basis for the design and fabrication of nano-grating accelerometers

  10. Transparent Electrochemical Gratings from a Patterned Bistable Silver Mirror.

    Science.gov (United States)

    Park, Chihyun; Na, Jongbeom; Han, Minsu; Kim, Eunkyoung

    2017-07-25

    Silver mirror patterns were formed reversibly on a polystyrene (PS)-patterned electrode to produce gratings through the electrochemical reduction of silver ions. The electrochemical gratings exhibited high transparency (T > 95%), similar to a see-through window, by matching the refractive index of the grating pattern with the surrounding medium. The gratings switch to a diffractive state upon the formation of a mirror pattern (T modulation, NIR light reflection, and on-demand heat transfer.

  11. Liquid filling of photonic crystal fibres for grating writing

    DEFF Research Database (Denmark)

    Sørensen, Henrik Rokkjær; Canning, John; Lægsgaard, Jesper

    2007-01-01

    liquid filling of photonic crystal fibres reduces the scattering from air–glass interfaces during Bragg grating writing in many layered photonic crystal fibres. Within experimental uncertainty, the grating index modulation of a grating written in germanium-doped photonic crystal fibre with 10 rings...

  12. 21 CFR 133.146 - Grated cheeses.

    Science.gov (United States)

    2010-04-01

    ... Products § 133.146 Grated cheeses. (a) Description. Grated cheeses is the class of foods prepared by..., and skim milk cheese for manufacturing may not be used. All cheese ingredients used are either made... ___ cheese”, the name of the cheese filling the blank. (ii) If only parmesan and romano cheeses are used and...

  13. A MANUALLY OPERATED CASSAVA GRATING MACHINE

    African Journals Online (AJOL)

    Dr Obe

    1984-09-01

    Sep 1, 1984 ... substantial losses arising from the inability of the person to hold small pieces of cassava roots for grating. Happily, there now exist various. Versions of mechanical graters which are driven by electric motors or small internal combustion engines. In fact, it may be said that cassava grating has been effectively.

  14. The potential of diffraction grating for spatial applications

    Science.gov (United States)

    Jourlin, Y.; Parriaux, O.; Pigeon, F.; Tischenko, A. V.

    2017-11-01

    Diffraction gratings are know, and have been fabricated for more than one century. They are now making a come back for two reasons: first, because they are now better understood which leads to the efficient exploitation of what was then called their "anomalies"; secondly, because they are now fabricable by means of the modern manufacturing potential of planar technologies. Novel grating can now perform better than conventional gratings, and address new application fields which were not expected to be theirs. This is the case of spatial applications where they can offer multiple optical functions, low size, low weight and mechanical robustness. The proposed contribution will briefly discuss the use of gratings for spatial applications. One of the most important applications is in the measurement of displacement. Usual translation and rotation sensors are bulky devices, which impose a system breakdown leading to cumbersome and heavy assemblies. We are proposing a miniaturized version of the traditional moving grating technique using submicron gratings and a specific OptoASIC which enables the measurement function to be non-obtrusively inserted into light and compact electro-mechanical systems. Nanometer resolution is possible with no compromise on the length of the measurement range. Another family of spatial application is in the field of spectrometers where new grating types allow a more flexible processing of the optical spectrum. Another family of applications addresses the question of inter-satellite communications: the introduction of gratings in laser cavities or in the laser mirrors enables the stabilization of the emitted polarization, the stabilization of the frequency as well as wide range frequency sweeping without mobile parts.

  15. Design of compressors for FEL pulses using deformable gratings

    Science.gov (United States)

    Bonora, Stefano; Fabris, Nicola; Frassetto, Fabio; Giovine, Ennio; Miotti, Paolo; Quintavalla, Martino; Poletto, Luca

    2017-06-01

    We present the optical layout of soft X-rays compressors using reflective grating specifically designed to give both positive or negative group-delay dispersion (GDD). They are tailored for chirped-pulse-amplification experiments with FEL sources. The optical design originates from an existing compressor with plane gratings already realized and tested at FERMI, that has been demonstrated capable to introduce tunable negative GDD. Here, we discuss two novel designs for compressors using deformable gratings capable to give both negative and positive GDD. Two novel designs are discussed: 1) a design with two deformable gratings and an intermediate focus between the twos, that is demonstrated capable to introduce positive GDD; 2) a design with one deformable grating giving an intermediate focus, followed by a concave mirror and a plane grating, that is capable to give both positive and negative GDD depending on the distance between the second mirror and the second grating. Both the designs are tunable in wavelength and GDD, by acting on the deformable gratings, that are rotated to tune the wavelength and the GDD and deformed to introduce the radius required to keep the spectral focus. The deformable gratings have a laminar profile and are ruled on a thin silicon plane substrate. A piezoelectric actuator is glued on the back of the substrate and is actuated to give a radius of curvature that is varying from infinite (plane) to few meters. The ruling procedure, the piezoelectric actuator and the efficiency measurements in the soft X-rays will be presented. Some test cases are discussed for wavelengths shorter than 12 nm.

  16. Grating-assisted surface acoustic wave directional couplers

    Science.gov (United States)

    Golan, G.; Griffel, G.; Seidman, A.; Croitoru, N.

    1991-07-01

    Physical properties of novel grating-assisted Y directional couplers are examined using the coupled-mode theory. A general formalism for the analysis of the lateral perturbed directional coupler properties is presented. Explicit expressions for waveguide key parameters such as coupling length, grating period, and other structural characterizations, are obtained. The influence of other physical properties such as time and frequency response or cutoff conditions are also analyzed. A plane grating-assisted directional coupler is presented and examined as a basic component in the integrated acoustic technology.

  17. Sensitive visual test for concave diffraction gratings.

    Science.gov (United States)

    Bruner, E. C., Jr.

    1972-01-01

    A simple visual test for the evaluation of concave diffraction gratings is described. It is twice as sensitive as the Foucault knife edge test, from which it is derived, and has the advantage that the images are straight and free of astigmatism. It is particularly useful for grating with high ruling frequency where the above image faults limit the utility of the Foucault test. The test can be interpreted quantitatively and can detect zonal grating space errors of as little as 0.1 A.

  18. Holographic grating relaxation technique for soft matter science

    Energy Technology Data Exchange (ETDEWEB)

    Lesnichii, Vasilii, E-mail: vasilii.lesnichii@physchem.uni-freiburg.de [Institute of Physical Chemistry, Albertstraße 21, Institute of Macromolecular Chemistry, Stefan-Meier-Str. 31, Albert-Ludwigs Universität, Freiburg im Breisgau 79104 (Germany); ITMO University, Kronverksky prospekt 49, Saint-Petersburg 197101 (Russian Federation); Kiessling, Andy [Institute of Physical Chemistry, Albertstraße 21, Institute of Macromolecular Chemistry, Stefan-Meier-Str. 31, Albert-Ludwigs Universität, Freiburg im Breisgau 79104 (Germany); Current address: Illinois Institute of Technology, 10 West 33rd Street, Chicago,IL60616 (United States); Bartsch, Eckhard [Institute of Physical Chemistry, Albertstraße 21, Institute of Macromolecular Chemistry, Stefan-Meier-Str. 31, Albert-Ludwigs Universität, Freiburg im Breisgau 79104 (Germany); Veniaminov, Andrey, E-mail: veniaminov@phoi.ifmo.ru [ITMO University, Kronverksky prospekt 49, Saint-Petersburg 197101 (Russian Federation)

    2016-06-17

    The holographic grating relaxation technique also known as forced Rayleigh scattering consists basically in writing a holographic grating in the specimen of interest and monitoring its diffraction efficiency as a function of time, from which valuable information on mass or heat transfer and photoinduced transformations can be extracted. In a more detailed view, the shape of the relaxation curve and the relaxation rate as a function of the grating period were found to be affected by the architecture of diffusing species (molecular probes) that constitute the grating, as well as that of the environment they diffuse in, thus making it possible to access and study spatial heterogeneity of materials and different modes of e.g., polymer motion. Minimum displacements and spatial domains approachable by the technique are in nanometer range, well below spatial periods of holographic gratings. In the present paper, several cases of holographic relaxation in heterogeneous media and complex motions are exemplified. Nano- to micro-structures or inhomogeneities comparable in spatial scale with holographic gratings manifest themselves in relaxation experiments via non-exponential decay (stepwise or stretched), spatial-period-dependent apparent diffusion coefficient, or unusual dependence of diffusion coefficient on molecular volume of diffusing probes.

  19. An imaging grating diffractometer for traceable calibration of grating pitch in the range 20 μm to 350 nm

    International Nuclear Information System (INIS)

    Brasil, D A; Alves, J A P; Pekelsky, J R

    2015-01-01

    This work describes the development of a grating diffratometer to provide traceable calibration of grating pitch in range 20 μm to 350 nm. The approach is based on the Littrow configuration in which a laser beam is directed onto the grating which is mounted on a rotary table and can be turned so that each selected diffraction order is retro-reflected in the laser incidence direction. A beamsplitter and a lens direct the reflected diffraction order to form a small image spot on a CCD camera and the spot centering is used to adjust to rotation angle, thereby giving the diffraction angle. Knowing the diffraction angle for several orders and the wavelength of the laser, the average grating pitch can be determined to an uncertainty the order of 14 pm. (paper)

  20. Self Referencing Heterodyne Transient Grating Spectroscopy with Short Wavelength

    Directory of Open Access Journals (Sweden)

    Jakob Grilj

    2015-04-01

    Full Text Available Heterodyning by a phase stable reference electric field is a well known technique to amplify weak nonlinear signals. For short wavelength, the generation of a reference field in front of the sample is challenging because of a lack of suitable beamsplitters. Here, we use a permanent grating which matches the line spacing of the transient grating for the creation of a phase stable reference field. The relative phase among the two can be changed by a relative translation of the permanent and transient gratings in direction orthogonal to the grating lines. We demonstrate the technique for a transient grating on a VO2 thin film and observe constructive as well as destructive interference signals.

  1. Unified design of sinusoidal-groove fused-silica grating.

    Science.gov (United States)

    Feng, Jijun; Zhou, Changhe; Cao, Hongchao; Lu, Peng

    2010-10-20

    A general design rule of deep-etched subwavelength sinusoidal-groove fused-silica grating as a highly efficient polarization-independent or polarization-selective device is studied based on the simplified modal method, which shows that the device structure depends little on the incident wavelength, but mainly on the ratio of groove depth to incident wavelength and the ratio of wavelength to grating period. These two ratios could be used as the design guidelines for wavelength-independent structure from deep ultraviolet to far infrared. The optimized grating profile with a different function as a polarizing beam splitter, a polarization-independent two-port beam splitter, or a polarization-independent grating with high efficiency of -1st order is obtained at a wavelength of 1064 nm, and verified by using the rigorous coupled-wave analysis. The performance of the sinusoidal grating is better than a conventional rectangular one, which could be useful for practical applications.

  2. Radiative properties tailoring of grating by comb-drive microactuator

    International Nuclear Information System (INIS)

    Jiao, Y.; Liu, L.H.; Liu, L.J.; Hsu, P.-F.

    2014-01-01

    Micro-scale grating structures are widely researched in recent years. Although micro-scale fabrication technology is highly advanced today, with grating aspect ratio greater than 25:1 being achievable some fabrication requirements, such as fine groove processing, are still challenging. Comb-drive microactuator is proposed in this paper to be utilized on simple binary grating structures for tailoring or modulating spectral radiation properties by active adjustment. The rigorous coupled-wave analysis (RCWA) is used to calculate the absorptance of proposed structures and to investigate the impacts brought by the geometry and displacement of comb-drive microactuator. The results show that the utilization of comb-drive microactuator on grating improves the absorptance of simple binary grating while avoiding the difficulty fine groove processing. Spectral radiation property tailoring after gratings are fabricated becomes possible with the comb-drive microactuator structure. - Highlights: • A microscale grating structure with comb-driven microactuator is proposed. • The movement of microactuator changes peak absorptance resonance wavelength. • Geometric and displacement effects of comb finger on absorptance are investigated. • Both RCWA and LC circuit models are developed to predict the resonance wavelength. • Resonance frequency equations of LC circuits allow quick design analysis

  3. Grateful Med: getting started.

    Science.gov (United States)

    Shearer, B; McCann, L; Crump, W J

    1990-01-01

    When a local medical library is not available, it is often necessary for physicians to discover alternate ways to receive medical information. Rural physicians, particularly, can make use of a computer program called Grateful Med that provides access to the same literature available to physicians in large cities. This program permits the user to perform database searches on the National Library of Medicine database (MEDLINE), corresponding to the primary index to medical literature, Index Medicus. In this article, we give the procedure for procuring a National Library of Medicine password and for making efficient use of the Grateful Med program.

  4. Iridescence in Meat Caused by Surface Gratings

    Directory of Open Access Journals (Sweden)

    Ali Kemal Yetisen

    2013-11-01

    Full Text Available The photonic structure of cut muscle tissues reveals that the well-ordered gratings diffract light, producing iridescent colours. Cut fibrils protruding from the muscle surface create a two-dimensional periodic array, which diffract light at specific wavelengths upon illumination. However, this photonic effect misleads consumers in a negative way to relate the optical phenomenon with the quality of the product. Here we discuss the fundamentals of this optical phenomenon and demonstrate a methodology for quantitatively measuring iridescence caused by diffraction gratings of muscle tissue surface of pork (Sus scrofa domesticus using reflection spectrophotometry. Iridescence was discussed theoretically as a light phenomenon and spectral measurements were taken from the gratings and monitored in real time during controlled drying. The findings show that the intensity of diffraction diminishes as the surface grating was dried with an air flow at 50 °C for 2 min while the diffracted light wavelength was at 585 ± 9 nm. Our findings indicate that the diffraction may be caused by a blazed surface grating. The implications of the study include providing guidelines to minimise the iridescence by altering the surface microstructure, and in consequence, removing the optical effect.

  5. The third flight of CHESS: Preliminary analysis of interstellar H2 on the β1 Sco sightline

    Science.gov (United States)

    Kruczek, Nick; France, Kevin

    2018-01-01

    We describe the scientific motivation and technical development of the Colorado High-resolution Echelle Stellar Spectrograph (CHESS), focusing on the preliminary science results for the third launch of the payload (CHESS-3). CHESS is a far ultraviolet rocket-borne instrument designed to study the atomic-to-molecular transitions within translucent cloud regions in the interstellar medium. CHESS is an objective echelle spectrograph, which uses a mechanically-ruled echelle and a powered (f/12.4) cross-dispersing grating, and is designed to achieve a resolving power R > 100,000 over the band pass λλ 1000-1600 Å. CHESS-3 launched on 14 June 2017 aboard NASA/CU sounding rocket mission 36.323 UG. The target for the flight was β1 Sco, a B1V star with a sightline that is likely sampling translucent material. We present flight results of interstellar molecular hydrogen excitation, including initial measurements of the column density and temperature, on the sightline.

  6. Development of a segmented grating mount system for FIREX-1

    International Nuclear Information System (INIS)

    Ezaki, Y; Tabata, M; Kihara, M; Horiuchi, Y; Endo, M; Jitsuno, T

    2008-01-01

    A mount system for segmented meter-sized gratings has been developed, which has a high precision grating support mechanism and drive mechanism to minimize both deformation of the optical surfaces and misalignments in setting a segmented grating for obtaining sufficient performance of the pulse compressor. From analytical calculations, deformation of the grating surface is less than 1/20 lambda RMS and the estimated drive resolution for piston and tilt drive of the segmented grating is 1/20 lambda, which are both compliant with the requirements for the rear-end subsystem of FIREX-1

  7. Holographic diffraction gratings as laser radiation protection filters

    International Nuclear Information System (INIS)

    Pantelic, D.; Pantelic, G.

    2006-01-01

    Holographic volume diffraction gratings are used as attenuation filters, due to their selective spectral transmission. They can be tailored to reflect or transmit narrow spectral ranges by adjusting spatial frequency of Bragg grating in carefully chosen photosensitive materials, like silver-halide emulsion or di-chromated gelatin layers. If properly recorded and chemically processed, resulting gratings can significantly attenuate light at wavelengths corresponding to various laser spectral lines. Thus, they can be used as filters in laser protection goggles. We analyze the characteristics of Bragg gratings necessary to obtain high attenuation coefficients. Also, their angular selectivity is taken into account and corresponding experimental conditions are investigated. Although di-chromated gelatin seems to be almost ideal material, due to its almost 100% diffraction efficiency, environmental stability is poor (degradation under humid environment), thus making its practical usage difficult. Thus, we have analyzed alternative materials like di-chromated pullulan, which is stable under normal environmental conditions (without drop in diffraction efficiency after prolonged exposure to humidity). Pullulan is polymer (polysaccharide) of biologic origin produced by certain bacteria. If doped with chromium ions it becomes photosensitive, enabling recording of diffraction gratings with spatial frequency of more than 3000 lines/mm. Material is chemically processed by mixture of isopropyl alcohol and water. Both thick and thin layers can be produced by gravity settling. Spectral properties of resulting gratings are analyzed, showing that they can significantly attenuate laser light of particular wavelength, depending of grating period and its slant angle. (authors)

  8. Model based control of grate combustion; Modellbaserad roststyrning

    Energy Technology Data Exchange (ETDEWEB)

    Broden, Henrik; Kjellstroem, Bjoern; Niklasson, Fredrik; Boecher Poulsen, Kristian

    2006-12-15

    An existing dynamic model for grate combustion has been further developed. The model has been used for studies of possible advantages that can be gained from utilisation of measurements of grate temperatures and fuel bed height for control of a boiler after disturbances caused by varying fuel moisture and fuel feeding. The objective was to asses the possibilities to develop a control system that would adjust for such disturbances quicker than measurements of steam output and oxygen in the exhaust. The model is based on dividing the fuel bed into three layers, where the different layers include fuel being dried, fuel being pyrolysed and char reacting with oxygen. The grate below the fuel bed is also considered. A mass balance, an energy balance and a volume balance is considered for each layer in 22 cells along the grate. The energy balances give the temperature distribution and the volume balances the bed height. The earlier version of the model could not handle layers that are consumed. This weakness has now been eliminated. Comparisons between predicted grate temperatures and measurements in a 25 MW boiler fuelled with biofuel have been used for validation of the model. The comparisons include effects of variations in primary air temperature, fuel moisture and output power. The model shows good agreement with observations for changes in the air temperature but the ability of the model to predict effects of changed fuel moisture is difficult to judge since the steam dome pressure control caused simultaneous changes of the primary air flow, which probably had a larger influence on the grate temperature. A linearised, tuned and reduced version of the model was used for design of a linear quadratic controller. This was used for studies of advantages of using measurements of grate temperatures and bed height for control of pusher velocity, grate speed, primary air flow and air temperature after disturbances of fuel moisture and fuel flow. Measurements of the grate

  9. Thermal annealing of tilted fiber Bragg gratings

    Science.gov (United States)

    González-Vila, Á.; Rodríguez-Cobo, L.; Mégret, P.; Caucheteur, C.; López-Higuera, J. M.

    2016-05-01

    We report a practical study of the thermal decay of cladding mode resonances in tilted fiber Bragg gratings, establishing an analogy with the "power law" evolution previously observed on uniform gratings. We examine how this process contributes to a great thermal stability, even improving it by means of a second cycle slightly increasing the annealing temperature. In addition, we show an improvement of the grating spectrum after annealing, with respect to the one just after inscription, which suggests the application of this method to be employed to improve saturation issues during the photo-inscription process.

  10. Phasor analysis of binary diffraction gratings with different fill factors

    International Nuclear Information System (INIS)

    MartInez, Antonio; Sanchez-Lopez, Ma del Mar; Moreno, Ignacio

    2007-01-01

    In this work, we present a simple analysis of binary diffraction gratings with different slit widths relative to the grating period. The analysis is based on a simple phasor technique directly derived from the Huygens principle. By introducing a slit phasor and a grating phasor, the intensity of the diffracted orders and the grating's resolving power can be easily obtained without applying the usual Fourier transform operations required for these calculations. The proposed phasor technique is mathematically equivalent to the Fourier transform calculation of the diffraction order amplitude, and it can be useful to explain binary diffraction gratings in a simple manner in introductory physics courses. This theoretical analysis is illustrated with experimental results using a liquid crystal device to display diffraction gratings with different fill factors

  11. Overview of diffraction gratings technologies for spaceflight satellites and ground-based telescopes

    Science.gov (United States)

    Cotel, A.; Liard, A.; Desserouer, F.; Pichon, P.

    2017-11-01

    The diffraction gratings are widely used in Space-flight satellites for spectrograph instruments or in ground-based telescopes in astronomy. The diffraction gratings are one of the key optical components of such systems and have to exhibit very high optical performances. HORIBA Jobin Yvon S.A.S. (part of HORIBA Group) is in the forefront of such gratings development for more than 40 years. During the past decades, HORIBA Jobin Yvon (HJY) has developed a unique expertise in diffraction grating design and manufacturing processes for holographic, ruled or etched gratings. We will present in this paper an overview of diffraction grating technologies especially designed for space and astronomy applications. We will firstly review the heritage of the company in this field with the space qualification of different grating types. Then, we will describe several key grating technologies developed for specific space or astronomy projects: ruled blazed low groove density plane reflection grating, high-groove density holographic toroidal and spherical grating, and finally transmission Fused Silica Etched (FSE) grism-assembled grating. We will not present the Volume Phase Holographic (VPHG) grating type which is used in Astronomy.

  12. VizieR Online Data Catalog: Abundance ratio for 5 local stellar associations (Reddy+, 2015)

    Science.gov (United States)

    Reddy, A. B. S.; Lambert, D. L.

    2018-01-01

    In this paper, we have performed a homogeneous and a comprehensive abundance analysis using high-resolution spectroscopy. High-resolution and high signal-to-noise (S/N) spectra of the program stars were obtained during the nights of 2015 February 10-11 with the Robert G. Tull coude cross-dispersed echelle spectrograph (Tull et al. 1995PASP..107..251T) of the 2.7 m Harlan J. Smith reflector at the McDonald Observatory. We employed a Tektronix 2048x2048 24 μm pixel, backside illuminated and anti-reflection coated CCD as a detector and an R2 echelle grating with 52.67 grooves/mm with exposures centred at 5060 Å. (7 data files).

  13. Transmission Grating and Optics Technology Development for the Arcus Explorer Mission

    Science.gov (United States)

    Heilmann, Ralf; Arcus Team

    2018-01-01

    Arcus is a high-resolution x-ray spectroscopy MIDEX mission selected for a Phase A concept study. It is designed to explore structure formation through measurements of hot baryon distributions, feedback from black holes, and the formation and evolution of stars, disks, and exoplanet atmospheres. The design provides unprecedented sensitivity in the 1.2-5 nm wavelength band with effective area above 450 sqcm and spectral resolution R > 2500. The Arcus technology is based on 12 m-focal length silicon pore optics (SPO) developed for the European Athena mission, and critical-angle transmission (CAT) x-ray diffraction gratings and x-ray CCDs developed at MIT. The modular design consists of four parallel channels, each channel holding an optics petal, followed by a grating petal. CAT gratings are lightweight, alignment insensitive, high-efficiency x-ray transmission gratings that blaze into high diffraction orders, leading to high spectral resolution. Each optics petal represents an azimuthal sub-aperture of a full Wolter optic. The sub-aperturing effect increases spectral resolving power further. Two CCD readout strips receive photons from each channel, including higher-energy photons in 0th order. Each optics petal holds 34 SPO modules. Each grating petal holds 34 grating windows, and each window holds 4-6 grating facets. A grating facet consists of a silicon grating membrane, bonded to a flexure frame that interfaces with the grating window. We report on a sequence of tests with increasing complexity that systematically increase the Technology Readiness Level (TRL) for the combination of CAT gratings and SPOs towards TLR 6. CAT gratings have been evaluated in x rays for diffraction efficiency (> 30% at 2.5 nm) and for resolving power (R> 10,000). A CAT grating/SPO combination was measured at R ~ 3100 at blaze angles smaller than design values, exceeding Arcus requirements. Efficiency and resolving power were not impacted by vibration and thermal testing of gratings. A

  14. Calculation of Smith-Purcell radiation from a volume strip grating

    International Nuclear Information System (INIS)

    Kube, G.

    2005-01-01

    Smith-Purcell radiation is generated by a charged particle beam passing close to the surface of a diffraction grating. Experimental investigations show a strong dependency of the emitted radiation intensity on the form of the grating profile. This influence is expressed by the radiation factor which is a measure of the grating efficiency, in close analogy to reflection coefficients of optical grating theories. The radiation factor depends on beam energy and observation geometry. Up to now calculations for radiation factors exist for lamellar, sinusoidal and echelette-type grating profiles. In this paper, calculations of Smith-Purcell radiation factors for volume strip gratings which are separated by vacuum gaps are presented. They are based on the modal expansion method and restricted to perfectly conducting grating surfaces and to electron trajectories perpendicular to the grating grooves. An infinite system of coupled linear algebraic equations for the scattered and the transmitted wave amplitudes is derived by imposing the continuity condition at the open end of the grooves, and by the boundary conditions at the remaining part of the interface. Numerical results are presented and discussed in view of using Smith-Purcell radiation for particle beam diagnostic purposes

  15. Analysis of surface absorbed dose in X-ray grating interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Zhili, E-mail: wangnsrl@ustc.edu.cn [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230026 (China); Wu, Zhao; Gao, Kun; Wang, Dajiang; Chen, Heng; Wang, Shenghao [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230026 (China); Wu, Ziyu, E-mail: wuzy@ustc.edu.cn [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230026 (China); Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100049 (China)

    2014-10-15

    Highlights: • Theoretical framework for dose estimation in X-ray grating interferometry. • Potential dose reduction of X-ray grating interferometry compared to conventional radiography. • Guidelines for optimization of X-ray grating interferometry for dose-sensitive applications. • Measure to compare various existing X-ray phase contrast imaging techniques. - Abstract: X-ray phase contrast imaging using grating interferometry has shown increased contrast over conventional absorption imaging, and therefore the great potential of dose reduction. The extent of the dose reduction depends on the geometry of grating interferometry, the photon energy, the properties of the sample under investigation and the utilized detector. These factors also determine the capability of grating interferometry to distinguish between different tissues with a specified statistical certainty in a single raw image. In this contribution, the required photon number for imaging and the resulting surface absorbed dose are determined in X-ray grating interferometry, using a two-component imaging object model. The presented results confirm that compared to conventional radiography, phase contrast imaging using grating interferometry indeed has the potential of dose reduction. And the extent of dose reduction is strongly dependent on the imaging conditions. Those results provide a theoretical framework for dose estimation under given imaging conditions before experimental trials, and general guidelines for optimization of grating interferometry for those dose-sensitive applications.

  16. Analysis of surface absorbed dose in X-ray grating interferometry

    International Nuclear Information System (INIS)

    Wang, Zhili; Wu, Zhao; Gao, Kun; Wang, Dajiang; Chen, Heng; Wang, Shenghao; Wu, Ziyu

    2014-01-01

    Highlights: • Theoretical framework for dose estimation in X-ray grating interferometry. • Potential dose reduction of X-ray grating interferometry compared to conventional radiography. • Guidelines for optimization of X-ray grating interferometry for dose-sensitive applications. • Measure to compare various existing X-ray phase contrast imaging techniques. - Abstract: X-ray phase contrast imaging using grating interferometry has shown increased contrast over conventional absorption imaging, and therefore the great potential of dose reduction. The extent of the dose reduction depends on the geometry of grating interferometry, the photon energy, the properties of the sample under investigation and the utilized detector. These factors also determine the capability of grating interferometry to distinguish between different tissues with a specified statistical certainty in a single raw image. In this contribution, the required photon number for imaging and the resulting surface absorbed dose are determined in X-ray grating interferometry, using a two-component imaging object model. The presented results confirm that compared to conventional radiography, phase contrast imaging using grating interferometry indeed has the potential of dose reduction. And the extent of dose reduction is strongly dependent on the imaging conditions. Those results provide a theoretical framework for dose estimation under given imaging conditions before experimental trials, and general guidelines for optimization of grating interferometry for those dose-sensitive applications

  17. Grating geophone signal processing based on wavelet transform

    Science.gov (United States)

    Li, Shuqing; Zhang, Huan; Tao, Zhifei

    2008-12-01

    Grating digital geophone is designed based on grating measurement technique benefiting averaging-error effect and wide dynamic range to improve weak signal detected precision. This paper introduced the principle of grating digital geophone and its post signal processing system. The signal acquisition circuit use Atmega 32 chip as core part and display the waveform on the Labwindows through the RS232 data link. Wavelet transform is adopted this paper to filter the grating digital geophone' output signal since the signal is unstable. This data processing method is compared with the FIR filter that widespread use in current domestic. The result indicates that the wavelet algorithm has more advantages and the SNR of seismic signal improve obviously.

  18. Smith-Purcell radiation from concave dotted gratings

    Science.gov (United States)

    Sergeeva, D. Yu.; Tishchenko, A. A.; Aryshev, A. S.; Strikhanov, M. N.

    2018-02-01

    We present the first-principles theory of Smith-Purcell effect from the concave dotted grating consisting of bent chains of separated micro- or nanoparticles. The numerical analysis demonstrates that the obtained spectral-angular distributions change significantly depending on the structure of the grating.

  19. Three-port beam splitter of a binary fused-silica grating.

    Science.gov (United States)

    Feng, Jijun; Zhou, Changhe; Wang, Bo; Zheng, Jiangjun; Jia, Wei; Cao, Hongchao; Lv, Peng

    2008-12-10

    A deep-etched polarization-independent binary fused-silica phase grating as a three-port beam splitter is designed and manufactured. The grating profile is optimized by use of the rigorous coupled-wave analysis around the 785 nm wavelength. The physical explanation of the grating is illustrated by the modal method. Simple analytical expressions of the diffraction efficiencies and modal guidelines for the three-port beam splitter grating design are given. Holographic recording technology and inductively coupled plasma etching are used to manufacture the fused-silica grating. Experimental results are in good agreement with the theoretical values.

  20. Diffraction Efficiency Testing of Sinusoidal and Blazed Off-Plane Reflection Gratings

    Science.gov (United States)

    Tutt, James H.; McEntaffer, Randall L.; Marlowe, Hannah; Miles, Drew M.; Peterson, Thomas J.; Deroo, Casey T.; Scholze, Frank; Laubis, Christian

    2016-09-01

    Reflection gratings in the off-plane mount have the potential to enhance the performance of future high resolution soft X-ray spectrometers. Diffraction efficiency can be optimized through the use of blazed grating facets, achieving high-throughput on one side of zero-order. This paper presents the results from a comparison between a grating with a sinusoidally grooved profile and two gratings that have been blazed. The results show that the blaze does increase throughput to one side of zero-order; however, the total throughput of the sinusoidal gratings is greater than the blazed gratings, suggesting the method of manufacturing the blazed gratings does not produce precise facets. The blazed gratings were also tested in their Littrow and anti-Littrow configurations to quantify diffraction efficiency sensitivity to rotations about the grating normal. Only a small difference in the energy at which efficiency is maximized between the Littrow and anti-Littrow configurations is seen with a small shift in peak efficiency towards higher energies in the anti-Littrow case. This is due to a decrease in the effective blaze angle in the anti-Littrow mounting. This is supported by PCGrate-SX V6.1 modeling carried out for each blazed grating which predicts similar response trends in the Littrow and anti-Littrow orientations.

  1. Phasor analysis of binary diffraction gratings with different fill factors

    Energy Technology Data Exchange (ETDEWEB)

    MartInez, Antonio [Departamento de Ciencia de Materiales, Optica y TecnologIa Electronica, Universidad Miguel Hernandez, 03202 Elche (Spain); Sanchez-Lopez, Ma del Mar [Instituto de BioingenierIa y Departamento de Fisica y Arquitectura de Computadores, Universidad Miguel Hernandez, 03202 Elche (Spain); Moreno, Ignacio [Departamento de Ciencia de Materiales, Optica y TecnologIa Electronica, Universidad Miguel Hernandez, 03202 Elche (Spain)

    2007-09-11

    In this work, we present a simple analysis of binary diffraction gratings with different slit widths relative to the grating period. The analysis is based on a simple phasor technique directly derived from the Huygens principle. By introducing a slit phasor and a grating phasor, the intensity of the diffracted orders and the grating's resolving power can be easily obtained without applying the usual Fourier transform operations required for these calculations. The proposed phasor technique is mathematically equivalent to the Fourier transform calculation of the diffraction order amplitude, and it can be useful to explain binary diffraction gratings in a simple manner in introductory physics courses. This theoretical analysis is illustrated with experimental results using a liquid crystal device to display diffraction gratings with different fill factors.

  2. MEMS Bragg grating force sensor

    DEFF Research Database (Denmark)

    Reck, Kasper; Thomsen, Erik Vilain; Hansen, Ole

    2011-01-01

    We present modeling, design, fabrication and characterization of a new type of all-optical frequency modulated MEMS force sensor based on a mechanically amplified double clamped waveguide beam structure with integrated Bragg grating. The sensor is ideally suited for force measurements in harsh...... environments and for remote and distributed sensing and has a measured sensitivity of -14 nm/N, which is several times higher than what is obtained in conventional fiber Bragg grating force sensors. © 2011 Optical Society of America....

  3. Optically controlled tunable dispersion compensators based on pumped fiber gratings.

    Science.gov (United States)

    Shu, Xuewen; Sugden, Kate; Bennion, Ian

    2011-08-01

    We demonstrate optically tunable dispersion compensators based on pumping fiber Bragg gratings made in Er/Yb codoped fiber. The tunable dispersion for a chirped grating and also a uniform-period grating was successfully demonstrated in the experiment. The dispersion of the chirped grating was tuned from 900 to 1990 ps/nm and also from -600 to -950 ps/nm in the experiment. © 2011 Optical Society of America

  4. Review of High-Speed Fiber Optic Grating Sensors Systems

    Energy Technology Data Exchange (ETDEWEB)

    Udd, E; Benterou, J; May, C; Mihailov, S J; Lu, P

    2010-03-24

    Fiber grating sensors can be used to support a wide variety of high speed measurement applications. This includes measurements of vibrations on bridges, traffic monitoring on freeways, ultrasonic detection to support non-destructive tests on metal plates and providing details of detonation events. This paper provides a brief overview of some of the techniques that have been used to support high speed measurements using fiber grating sensors over frequency ranges from 10s of kHz, to MHZ and finally toward frequencies approaching the GHz regime. Very early in the development of fiber grating sensor systems it was realized that a high speed fiber grating sensor system could be realized by placing an optical filter that might be a fiber grating in front of a detector so that spectral changes in the reflection from a fiber grating were amplitude modulated. In principal the only limitation on this type of system involved the speed of the output detector which with the development of high speed communication links moved from the regime of 10s of MHz toward 10s of GHz. The earliest deployed systems involved civil structures including measurements of the strain fields on composite utility poles and missile bodies during break tests, bridges and freeways. This was followed by a series of developments that included high speed fiber grating sensors to support nondestructive testing via ultrasonic wave detection, high speed machining and monitoring ship hulls. Each of these applications involved monitoring mechanical motion of structures and thus interest was in speeds up to a few 10s of MHz. Most recently there has been interest in using fiber grating to monitor the very high speed events such as detonations and this has led to utilization of fiber gratings that are consumed during an event that may require detection speeds of hundreds of MHz and in the future multiple GHz.

  5. Optical Fiber Grating Hydrogen Sensors: A Review.

    Science.gov (United States)

    Dai, Jixiang; Zhu, Li; Wang, Gaopeng; Xiang, Feng; Qin, Yuhuan; Wang, Min; Yang, Minghong

    2017-03-12

    In terms of hydrogen sensing and detection, optical fiber hydrogen sensors have been a research issue due to their intrinsic safety and good anti-electromagnetic interference. Among these sensors, hydrogen sensors consisting of fiber grating coated with sensitive materials have attracted intensive research interests due to their good reliability and distributed measurements. This review paper mainly focuses on optical fiber hydrogen sensors associated with fiber gratings and various materials. Their configurations and sensing performances proposed by different groups worldwide are reviewed, compared and discussed in this paper. Meanwhile, the challenges for fiber grating hydrogen sensors are also addressed.

  6. Sub-wavelength grating structure on the planar waveguide (Conference Presentation)

    Science.gov (United States)

    Qing-Song, Zhu; Sheng-Hui, Chen

    2016-10-01

    Making progress in recent years, with the technology of the grating, the grating period can be reduced to shrink the size of the light coupler on a waveguide. The working wavelength of the light coupler can be in the range from the near-infrared to visible. In this study , we used E-gun evaporation system with ion-beam-assisted deposition system to fabricate bottom cladding (SiO2), guiding layer (Ta2O5) and Distributed Bragg Reflector(DBR) of the waveguide on the silicon substrate. Electron-beam lithography is used to make sub-wavelength gratings and reflector grating on the planar waveguide which is a coupling device on the guiding layer. The best fabrication parameters were analyzed to deposit the film. The exposure and development times also influenced to fabricate the grating quality. The purpose is to reduce the device size and enhance coupling efficiency which maintain normal incidence of the light . We designed and developed the device using the Finite-Difference Time-Domain (FDTD) method. The grating period, depth, fill factor, film thickness, Distributed Bragg Reflector(DBR) numbers and reflector grating period have been discussed to enhance coupling efficiency and maintained normal incidence of the light. According to the simulation results, when the wavelength is 1300 nm, the coupling grating period is 720 nm and the Ta2O5 film is 460 nm with 360 nm of reflector grating period and 2 layers of Distributed Bragg Reflector, which had the optimum coupling efficiency and normal incidence angle. In the measurement, We successfully measured the TE wave coupling efficiency of the photoresist grating coupling device.

  7. Talbot effect of the defective grating in deep Fresnel region

    Science.gov (United States)

    Teng, Shuyun; Wang, Junhong; Zhang, Wei; Cui, Yuwei

    2015-02-01

    Talbot effect of the grating with different defect is studied theoretically and experimentally in this paper. The defects of grating include the loss of the diffraction unit, the dislocation of the diffraction unit and the modulation of the unit separation. The exact diffraction distributions of three kinds of defective gratings are obtained according to the finite-difference time-domain (FDTD) method. The calculation results show the image of the missing or dislocating unit appears at the Talbot distance (as mentioned in K. Patorski Prog. Opt., 27, 1989, pp.1-108). This is the so-called self-repair ability of grating imaging. In addition, some more phenomena are discovered. The loss or the dislocation of diffraction unit causes the diffraction distortion within a certain radial angle. The regular modulation of unit separation changes the original diffraction, but the new periodicity of the diffraction distribution rebuilds. The self-imaging of grating with smaller random modulation still keeps the partial self-repair ability, and yet this characteristic depends on the modulation degree of defective grating. These diffraction phenomena of the defective gratings are explained by use of the diffraction theory of grating. The practical experiment is also performed and the experimental results confirm the theoretic predictions.

  8. The cross waveguide grating: proposal, theory and applications.

    Science.gov (United States)

    Muñoz, Pascual; Pastor, Daniel; Capmany, José

    2005-04-18

    In this paper a novel grating-like integrated optics device is proposed, the Cross Waveguide Grating (XWG). The device is based upon a modified configuration of a traditional Arrayed Waveguide Grating (AWG). The Arrayed Waveguides part is changed, as detailed along this document, giving the device both the ability of multi/demultiplexing and power splitting/coupling. Design examples and transfer function simulations show good agreement with the presented theory. Finally, some of the envisaged applications are outlined.

  9. Multicore optical fiber grating array fabrication for medical sensing applications

    Science.gov (United States)

    Westbrook, Paul S.; Feder, K. S.; Kremp, T.; Taunay, T. F.; Monberg, E.; Puc, G.; Ortiz, R.

    2015-03-01

    In this work we report on a fiber grating fabrication platform suitable for parallel fabrication of Bragg grating arrays over arbitrary lengths of multicore optical fiber. Our system exploits UV transparent coatings and has precision fiber translation that allows for quasi-continuous grating fabrication. Our system is capable of both uniform and chirped fiber grating array spectra that can meet the demands of medical sensors including high speed, accuracy, robustness and small form factor.

  10. Hybrid grating reflectors: Origin of ultrabroad stopband

    Energy Technology Data Exchange (ETDEWEB)

    Park, Gyeong Cheol; Taghizadeh, Alireza; Chung, Il-Sug, E-mail: ilch@fotonik.dtu.dk [DTU Fotonik, Department of Photonics Engineering, Technical University of Denmark, DK-2800 Kgs. Lyngby (Denmark)

    2016-04-04

    Hybrid grating (HG) reflectors with a high-refractive-index cap layer added onto a high contrast grating (HCG) provide a high reflectance close to 100% over a broader wavelength range than HCGs. The combination of a cap layer and a grating layer brings a strong Fabry-Perot (FP) resonance as well as a weak guided mode (GM) resonance. Most of the reflected power results from the FP resonance, while the GM resonance plays a key role in achieving a reflectance close to 100% as well as broadening the stopband. An HG sample with 7 InGaAlAs quantum wells included in the cap layer has been fabricated by directly wafer-bonding a III-V cap layer onto a Si grating layer. Its reflection property has been characterized. This heterogeneously integrated HG reflector may allow for a hybrid III-V on Si laser to be thermally efficient, which has promising prospects for silicon photonics light sources and high-speed operation.

  11. Time-domain Brillouin scattering assisted by diffraction gratings

    Science.gov (United States)

    Matsuda, Osamu; Pezeril, Thomas; Chaban, Ievgeniia; Fujita, Kentaro; Gusev, Vitalyi

    2018-02-01

    Absorption of ultrashort laser pulses in a metallic grating deposited on a transparent sample launches coherent compression/dilatation acoustic pulses in directions of different orders of acoustic diffraction. Their propagation is detected by delayed laser pulses, which are also diffracted by the metallic grating, through the measurement of the transient intensity change of the first-order diffracted light. The obtained data contain multiple frequency components, which are interpreted by considering all possible angles for the Brillouin scattering of light achieved through multiplexing of the propagation directions of light and coherent sound by the metallic grating. The emitted acoustic field can be equivalently presented as a superposition of plane inhomogeneous acoustic waves, which constitute an acoustic diffraction grating for the probe light. Thus the obtained results can also be interpreted as a consequence of probe light diffraction by both metallic and acoustic gratings. The realized scheme of time-domain Brillouin scattering with metallic gratings operating in reflection mode provides access to wide range of acoustic frequencies from minimal to maximal possible values in a single experimental optical configuration for the directions of probe light incidence and scattered light detection. This is achieved by monitoring the backward and forward Brillouin scattering processes in parallel. Potential applications include measurements of the acoustic dispersion, simultaneous determination of sound velocity and optical refractive index, and evaluation of samples with a single direction of possible optical access.

  12. [Diffraction gratings used in x-ray spectroscopy]: Final report

    International Nuclear Information System (INIS)

    Smith, H.I.

    1988-01-01

    This subcontract was initiated in order to facilitate the development at MIT of technologies for fabricating the very fine diffraction grating required in x-ray spectroscopy at Lawrence Livermore Laboratory (LLL). These gratings are generally gold transmission gratings with spatial periods of 200 nm or less. The major focus of our efforts was to develop a means of fabricating gratings of 100 nm period. We explored two approaches: e-beam fabrication of x-ray lithography masks, and achromatic holographic lithography. This work was pursued by Erik Anderson as a major component of his Ph.D. thesis. Erik was successful in both the e-beam and holographic approaches. However, the e-beam method proved to be highly impractical: exposure times of about 115 days would be required to cover an area of 1 cm 2 . The achromatic holography, on the other hand, should be capable of exposing areas well in excess of 1 cm 2 in times under 1 hour. Moreover, 100 nm-period gratings produced by achromatic holography are coherent over their entire area whereas gratings produced by e-beam lithography are coherent only over areas /approximately/100 μm. The remainder of this report consists of portions excerpted from Erik Anderson's thesis. These contain all the details of our work on 100 nm period gratings. 26 refs., 17 figs

  13. The influence of grating shape formation fluctuation on DFB laser diode threshold condition

    Science.gov (United States)

    Bao, Shiwei; Song, Qinghai; Xie, Chunmei

    2018-03-01

    Not only the grating material refractive index itself but also the Bragg grating physical shape formation affects the coupling strength greatly. The Bragg grating shape includes three factors, namely grating depth, duty ratio and grating angle. During the lithography and wet etching process, there always will be some fluctuation between the target and real grating shape formation after fabrication process. This grating shape fluctuation will affect the DFB coupling coefficient κ , and then consequently threshold current and corresponding wavelength. This paper studied the grating shape formation fluctuation influence to improve the DFB fabrication yield. A truncated normal random distribution fluctuation is considered in this paper. The simulation results conclude that it is better to choose relative thicker grating depth with lower refractive index to obtain a better fabrication tolerance, while not quite necessary to spend too much effort on improving lithography and wet etching process to get a precisely grating duty ratio and grating angle.

  14. Measurement of a discontinuous object based on a dual-frequency grating

    Institute of Scientific and Technical Information of China (English)

    Qiao Nao-Sheng; Cai Xin-Hua; Yao Chun-Mei

    2009-01-01

    The dual-frequency grating measurement theory is proposed in order to carry out the measurement of a discontinuous object. Firstly, the reason why frequency spectra are produced by low frequency gratings and high frequency gratings in the field of frequency is analysed, and the relationship between the wrapped-phase and the unwrappingphase is discussed. Secondly, a method to combine the advantages of the two kinds of gratings is proposed: one stripe is produced in the mutation part of the object measured by a suitable low frequency grating designed by MATLAB, then the phase produced by the low frequency grating need not be unfolded. The integer series of stripes is produced by a high frequency grating designed by MATLAB based on the frequency ratio of the two kinds of gratings and the high frequency wrapped-phase, and the high frequency unwrapping-phase is then obtained. In order to verify the correctness of the theoretical analysis, a steep discontinuous object of 600×600 pixels and 10.00 mm in height is simulated and a discontinuous object of ladder shape which is 32.00 mm in height is used in experiment. Both the simulation and the experiment can restore the discontinuous object height accurately by using the dual-frequency grating measurement theory.

  15. Nanoscale freestanding gratings for ultraviolet blocking filters

    Energy Technology Data Exchange (ETDEWEB)

    van Beek, J.T.; Fleming, R.C.; Hindle, P.S.; Prentiss, J.D.; Schattenburg, M.L. [Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Ritzau, S. [Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States)

    1998-11-01

    Ultraviolet (UV) blocking filters are needed for atomic flux imaging in environments where high levels of ultraviolet radiation are present. Freestanding gratings are a promising candidate for UV filtering. They have a high aspect ratio ({approximately}13), narrow ({approximately}40 nm) slots, and effectively block UV radiation. The grating fabrication process makes use of several etching, electroplating, and lithographic steps and includes an optional step to plug pinholes induced by particles during processing. Gratings were successfully manufactured and tested. Measured UV transmissions of {approximately}10{sup {minus}5} and particle transmissions of {approximately}10{percent} are in agreement with theoretical predictions. {copyright} {ital 1998 American Vacuum Society.}

  16. Dynamic population gratings in rare-earth-doped optical fibres

    Energy Technology Data Exchange (ETDEWEB)

    Stepanov, Serguei [Optics Department, CICESE, km.107 carr. Tijuana-Ensenada, Ensenada, 22860, BC (Mexico)], E-mail: steps@cicese.mx

    2008-11-21

    Dynamic Bragg gratings can be recorded in rare-earth (e.g. Er, Yb) doped optical fibres by two counter-propagating mutually coherent laser waves via local saturation of the fibre optical absorption or gain (in optically pumped fibres). Typical recording cw light power needed for efficient grating formation is of sub-mW-mW scale which results in characteristic recording/erasure times of 10-0.1 ms. This review paper discusses fundamental aspects of the population grating formation, their basic properties, relating wave-mixing processes and also considers different applications of these dynamic gratings in single-frequency fibre lasers, tunable filters, optical fibre sensors and adaptive interferometry.

  17. Dynamic population gratings in rare-earth-doped optical fibres

    International Nuclear Information System (INIS)

    Stepanov, Serguei

    2008-01-01

    Dynamic Bragg gratings can be recorded in rare-earth (e.g. Er, Yb) doped optical fibres by two counter-propagating mutually coherent laser waves via local saturation of the fibre optical absorption or gain (in optically pumped fibres). Typical recording cw light power needed for efficient grating formation is of sub-mW-mW scale which results in characteristic recording/erasure times of 10-0.1 ms. This review paper discusses fundamental aspects of the population grating formation, their basic properties, relating wave-mixing processes and also considers different applications of these dynamic gratings in single-frequency fibre lasers, tunable filters, optical fibre sensors and adaptive interferometry.

  18. Experimental observation of acoustic sub-harmonic diffraction by a grating

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jingfei, E-mail: benjamin.jf.liu@gatech.edu; Declercq, Nico F., E-mail: declercqdepatin@gatech.edu [Laboratory for Ultrasonic Nondestructive Evaluation “LUNE,” Georgia Tech Lorraine, Georgia Tech-CNRS UMI2958, Georgia Institute of Technology, 2, rue Marconi, Metz 57070 (France)

    2014-06-28

    A diffraction grating is a spatial filter causing sound waves or optical waves to reflect in directions determined by the frequency of the waves and the period of the grating. The classical grating equation is the governing principle that has successfully described the diffraction phenomena caused by gratings. However, in this work, we show experimental observation of the so-called sub-harmonic diffraction in acoustics that cannot be explained by the classical grating equation. Experiments indicate two physical phenomena causing the effect: internal scattering effects within the corrugation causing a phase shift and nonlinear acoustic effects generating new frequencies. This discovery expands our current understanding of the diffraction phenomenon, and it also makes it possible to better design spatial diffraction spectra, such as a rainbow effect in optics with a more complicated color spectrum than a traditional rainbow. The discovery reveals also a possibly new technique to study nonlinear acoustics by exploitation of the natural spatial filtering effect inherent to an acoustic diffraction grating.

  19. Vacuum Predisperser For A Large Plane-Grating Spectrograph

    Science.gov (United States)

    Engleman, R.; Palmer, B. A.; Steinhaus, D. W.

    1980-11-01

    A plane grating predisperser has been constructed which acts as an "order-sorter" for a large plane-grating spectrograph. This combination can photograph relatively wide regions of spectra in a single exposure with no loss of resolution.

  20. All-silicon nanorod-based Dammann gratings.

    Science.gov (United States)

    Li, Zile; Zheng, Guoxing; He, Ping'An; Li, Song; Deng, Qiling; Zhao, Jiangnan; Ai, Yong

    2015-09-15

    Established diffractive optical elements (DOEs), such as Dammann gratings, whose phase profile is controlled by etching different depths into a transparent dielectric substrate, suffer from a contradiction between the complexity of fabrication procedures and the performance of such gratings. In this Letter, we combine the concept of geometric phase and phase modulation in depth, and prove by theoretical analysis and numerical simulation that nanorod arrays etched on a silicon substrate have a characteristic of strong polarization conversion between two circularly polarized states and can act as a highly efficient half-wave plate. More importantly, only by changing the orientation angles of each nanorod can the arrays control the phase of a circularly polarized light, cell by cell. With the above principle, we report the realization of nanorod-based Dammann gratings reaching diffraction efficiencies of 50%-52% in the C-band fiber telecommunications window (1530-1565 nm). In this design, uniform 4×4 spot arrays with an extending angle of 59°×59° can be obtained in the far field. Because of these advantages of the single-step fabrication procedure, accurate phase controlling, and strong polarization conversion, nanorod-based Dammann gratings could be utilized for various practical applications in a range of fields.

  1. A novel method for length of chirped fiber Bragg grating sensor

    Science.gov (United States)

    Li, Zhenwei; Wei, Peng; Liu, Taolin

    2018-03-01

    Length of chirped fiber Bragg grating sensor is very important for detonation velocity. Different from other ways, we proposed a novel method based on the optical frequency domain reflection theory to measure the length of chirped fiber grating sensor in non-contact condition. This method adopts a tunable laser source to provide wavelength scanning laser, which covers the Full Width at Half Maximum of spectrum of the chirped fiber Bragg grating sensor. A Michelson interferometer is used to produce optical interference signal. Finally, the grating's length is attainable by distance domain signal. In theory, length resolution of chirped fiber Bragg grating sensor could be 0.02 mm. We perform a series of length measurement experiments for chirped fiber grating sensor, including comparison experiments with hot-tip method. And the experiment results show that the novel method could accurately measure the length of chirped fiber Bragg grating sensors, and the length differences between the optical frequency domain reflection method and the hot-tip probe method are very small.

  2. Fast tunable blazed MEMS grating for external cavity lasers

    Science.gov (United States)

    Tormen, Maurizio; Niedermann, Philippe; Hoogerwerf, Arno; Shea, Herbert; Stanley, Ross

    2017-11-01

    Diffractive MEMS are interesting for a wide range of applications, including displays, scanners or switching elements. Their advantages are compactness, potentially high actuation speed and in the ability to deflect light at large angles. We have designed and fabricated deformable diffractive MEMS grating to be used as tuning elements for external cavity lasers. The resulting device is compact, has wide tunability and a high operating speed. The initial design is a planar grating where the beams are free-standing and attached to each other using leaf springs. Actuation is achieved through two electrostatic comb drives at either end of the grating. To prevent deformation of the free-standing grating, the device is 10 μm thick made from a Silicon on Insulator (SOI) wafer in a single mask process. At 100V a periodicity tuning of 3% has been measured. The first resonant mode of the grating is measured at 13.8 kHz, allowing high speed actuation. This combination of wide tunability and high operating speed represents state of the art in the domain of tunable MEMS filters. In order to improve diffraction efficiency and to expand the usable wavelength range, a blazed version of the deformable MEMS grating has been designed. A key issue is maintaining the mechanical properties of the original device while providing optically smooth blazed beams. Using a process based on anisotropic KOH etching, blazed gratings have been obtained and preliminary characterization is promising.

  3. Two-dimensional grating guided-mode resonance tunable filter.

    Science.gov (United States)

    Kuo, Wen-Kai; Hsu, Che-Jung

    2017-11-27

    A two-dimensional (2D) grating guided-mode resonance (GMR) tunable filter is experimentally demonstrated using a low-cost two-step nanoimprinting technology with a one-dimensional (1D) grating polydimethylsiloxane mold. For the first nanoimprinting, we precisely control the UV LED irradiation dosage and demold the device when the UV glue is partially cured and the 1D grating mold is then rotated by three different angles, 30°, 60°, and 90°, for the second nanoimprinting to obtain 2D grating structures with different crossing angles. A high-refractive-index film ZnO is then coated on the surface of the grating structure to form the GMR filter devices. The simulation and experimental results demonstrate that the passband central wavelength of the filter can be tuned by rotating the device to change azimuth angle of the incident light. We compare these three 2D GMR filters with differential crossing angles and find that the filter device with a crossing angle of 60° exhibits the best performance. The tunable range of its central wavelength is 668-742 nm when the azimuth angle varies from 30° to 90°.

  4. System Construction for the Measurement of Bragg Grating Characteristics in Optical Fibers

    Science.gov (United States)

    West, Douglas P.

    1995-01-01

    Bragg gratings are used to measure strain in optical fibers. To measure strain they are sometimes used as a smart structure. They must be characterized after they are written to determine their spectral response. This paper deals with the test setup to characterize Bragg grating spectral responses.Bragg gratings are a photo-induced phenomena in optical fibers. The gratings can be used to measure strain by measuring the shift in wavelength. They placed the fibers into a smart structure to measure the stress and strain produced on support columns placed in bridges. As the cable is subjected to strain the grating causes a shift to a longer wavelength if the fiber is stretched and a shift to a shorter wavelength shift if the fiber is compacted. Our applications involve using the fibers to measure stress and strain on airborne systems. There are many ways to write Bragg gratings into optical fibers. Our focus is on side writing the grating. Our capabilities are limited in the production rate of the gratings. The Bragg grating is written into a fiber and becomes a permanent fixture. We are writing the grating to be centered at 1300 nm because that is the standard phase mask wavelength.

  5. Cylinder and metal grating polarization beam splitter

    Science.gov (United States)

    Yang, Junbo; Xu, Suzhi

    2017-08-01

    We propose a novel and compact metal grating polarization beam splitter (PBS) based on its different reflected and transmitted orders. The metal grating exhibits a broadband high reflectivity and polarization dependence. The rigorous coupled wave analysis is used to calculate the reflectivity and the transmitting spectra and optimize the structure parameters to realize the broadband PBS. The finite-element method is used to calculate the field distribution. The characteristics of the broadband high reflectivity, transmitting and the polarization dependence are investigated including wavelength, period, refractive index and the radius of circle grating. When grating period d = 400 nm, incident wavelength λ = 441 nm, incident angle θ = 60° and radius of circle d/5, then the zeroth reflection order R0 = 0.35 and the transmission zeroth order T0 = 0.08 for TE polarization, however, T0 = 0.34 and R0 = 0.01 for TM mode. The simple fabrication method involves only single etch step and good compatibility with complementary metal oxide semiconductor technology. PBS designed here is particularly suited for optical communication and optical information processing.

  6. Discrete dipole approximation simulation of bead enhanced diffraction grating biosensor

    International Nuclear Information System (INIS)

    Arif, Khalid Mahmood

    2016-01-01

    We present the discrete dipole approximation simulation of light scattering from bead enhanced diffraction biosensor and report the effect of bead material, number of beads forming the grating and spatial randomness on the diffraction intensities of 1st and 0th orders. The dipole models of gratings are formed by volume slicing and image processing while the spatial locations of the beads on the substrate surface are randomly computed using discrete probability distribution. The effect of beads reduction on far-field scattering of 632.8 nm incident field, from fully occupied gratings to very coarse gratings, is studied for various bead materials. Our findings give insight into many difficult or experimentally impossible aspects of this genre of biosensors and establish that bead enhanced grating may be used for rapid and precise detection of small amounts of biomolecules. The results of simulations also show excellent qualitative similarities with experimental observations. - Highlights: • DDA was used to study the relationship between the number of beads forming gratings and ratio of first and zeroth order diffraction intensities. • A very flexible modeling program was developed to design complicated objects for DDA. • Material and spatial effects of bead distribution on surfaces were studied. • It has been shown that bead enhanced grating biosensor can be useful for fast detection of small amounts of biomolecules. • Experimental results qualitatively support the simulations and thus open a way to optimize the grating biosensors.

  7. Fiber Bragg Grating Sensors for Harsh Environments

    Directory of Open Access Journals (Sweden)

    Stephen J. Mihailov

    2012-02-01

    Full Text Available Because of their small size, passive nature, immunity to electromagnetic interference, and capability to directly measure physical parameters such as temperature and strain, fiber Bragg grating sensors have developed beyond a laboratory curiosity and are becoming a mainstream sensing technology. Recently, high temperature stable gratings based on regeneration techniques and femtosecond infrared laser processing have shown promise for use in extreme environments such as high temperature, pressure or ionizing radiation. Such gratings are ideally suited for energy production applications where there is a requirement for advanced energy system instrumentation and controls that are operable in harsh environments. This paper will present a review of some of the more recent developments.

  8. Pemodelan Tapis Fabry-perot pada Serat Optik dengan Menggunakan Fiber Bragg Grating

    OpenAIRE

    Pramuliawati, Septi; ', Saktioto; ', Defrianto

    2015-01-01

    Fabry-perot filter was successfully developed by a uniform Fiber Bragg Grating in fiber optic. A characterization of Bragg Grating was analyzed by using computational model with second-order of Transfer Matrix Method based on Coupled Mode Theory. The reflectivity, length of grating, and bandwidth were parametrics to determine the performance of single Bragg Grating. The transmission spectrum showed the longer grating is designed, the larger the reflectivity was produced, so that the transmiss...

  9. Uniquely identifiable tamper-evident device using coupling between subwavelength gratings

    Science.gov (United States)

    Fievre, Ange Marie Patricia

    Reliability and sensitive information protection are critical aspects of integrated circuits. A novel technique using near-field evanescent wave coupling from two subwavelength gratings (SWGs), with the input laser source delivered through an optical fiber is presented for tamper evidence of electronic components. The first grating of the pair of coupled subwavelength gratings (CSWGs) was milled directly on the output facet of the silica fiber using focused ion beam (FIB) etching. The second grating was patterned using e-beam lithography and etched into a glass substrate using reactive ion etching (RIE). The slightest intrusion attempt would separate the CSWGs and eliminate near-field coupling between the gratings. Tampering, therefore, would become evident. Computer simulations guided the design for optimal operation of the security solution. The physical dimensions of the SWGs, i.e. period and thickness, were optimized, for a 650 nm illuminating wavelength. The optimal dimensions resulted in a 560 nm grating period for the first grating etched in the silica optical fiber and 420 nm for the second grating etched in borosilicate glass. The incident light beam had a half-width at half-maximum (HWHM) of at least 7 microm to allow discernible higher transmission orders, and a HWHM of 28 microm for minimum noise. The minimum number of individual grating lines present on the optical fiber facet was identified as 15 lines. Grating rotation due to the cylindrical geometry of the fiber resulted in a rotation of the far-field pattern, corresponding to the rotation angle of moire fringes. With the goal of later adding authentication to tamper evidence, the concept of CSWGs signature was also modeled by introducing random and planned variations in the glass grating. The fiber was placed on a stage supported by a nanomanipulator, which permitted three-dimensional displacement while maintaining the fiber tip normal to the surface of the glass substrate. A 650 nm diode laser was

  10. The effect of aberrated recording beams on reflecting Bragg gratings

    Science.gov (United States)

    SeGall, Marc; Ott, Daniel; Divliansky, Ivan; Glebov, Leonid B.

    2013-03-01

    The effect of aberrations present in the recording beams of a holographic setup is discussed regarding the period and spectral response of a reflecting volume Bragg grating. Imperfect recording beams result in spatially varying resonant wavelengths and the side lobes of the spectrum are washed out. Asymmetrical spectra, spectral broadening, and a reduction in peak diffraction efficiency may also be present, though these effects are less significant for gratings with wider spectral widths. Reflecting Bragg gratings (RBGs) are used as elements in a variety of applications including spectral beam combining1,2, mode locking3,4, longitudinal and transverse mode selection in lasers5,6, and sensing7,8. For applications requiring narrow spectral selectivity9, or large apertures10, these gratings must have a uniform period throughout the length of the recording medium, which may be on the order of millimeters. However, when using typical recording techniques such as two-beam interference for large aperture gratings and phase-mask recording of fiber gratings, aberrations from the optical elements in the system result in an imperfect grating structure11-13. In this paper we consider the effects of aberrations on large aperture gratings recorded in thick media using the two-beam interference technique. Previous works in analyzing the effects of aberrations have considered the effects of aberrations in a single recording plane where the beams perfectly overlap. Such an approach is valid for thin media (on the order of tens of microns), but for thick recording media (on the order of several millimeters) there will be a significant shift in the positions of the beams relative to each other as they traverse the recording medium. Therefore, the fringe pattern produced will not be constant throughout the grating if one or both beams have a non-uniform wavefront. Such non-uniform gratings may have a wider spectral width, a shifted resonant wavelength, or other problems. It is

  11. High-order diffraction gratings for high-power semiconductor lasers

    International Nuclear Information System (INIS)

    Vasil’eva, V. V.; Vinokurov, D. A.; Zolotarev, V. V.; Leshko, A. Yu.; Petrunov, A. N.; Pikhtin, N. A.; Rastegaeva, M. G.; Sokolova, Z. N.; Shashkin, I. S.; Tarasov, I. S.

    2012-01-01

    A deep diffraction grating with a large period (∼2 μm) within one of the cladding layers is proposed for the implementation of selective feedback in a semiconductor laser. Frequency dependences of reflectance in the 12th diffraction order for rectangular, triangular, and trapezoidal diffraction gratings are calculated. It is shown that the maximum reflectance of the waveguide mode is attained using a rectangular or trapezoidal grating ∼2 μm deep in the laser structure. Deep trapezoidal diffraction gratings with large periods are fabricated in the Al 0.3 Ga 0.7 As cladding layer of a GaAs/AlGaAs laser structure using photolithography and reactive ion etching.

  12. Femtosecond laser pulse written Volume Bragg Gratings

    Directory of Open Access Journals (Sweden)

    Richter Daniel

    2013-11-01

    Full Text Available Femtosecond laser pulses can be applied for structuring a wide range of ransparent materials. Here we want to show how to use this ability to realize Volume-Bragg-Gratings in various- mainly non-photosensitive - glasses. We will further present the characteristics of the realized gratings and a few elected applications that have been realized.

  13. Grating-based tomography applications in biomedical engineering

    Science.gov (United States)

    Schulz, Georg; Thalmann, Peter; Khimchenko, Anna; Müller, Bert

    2017-10-01

    For the investigation of soft tissues or tissues consisting of soft and hard tissues on the microscopic level, hard X-ray phase tomography has become one of the most suitable imaging techniques. Besides other phase contrast methods grating interferometry has the advantage of higher sensitivity than inline methods and the quantitative results. One disadvantage of the conventional double-grating setup (XDGI) compared to inline methods is the limitation of the spatial resolution. This limitation can be overcome by removing the analyser grating resulting in a single-grating setup (XSGI). In order to verify the performance of XSGI concerning contrast and spatial resolution, a quantitative comparison of XSGI and XDGI tomograms of a human nerve was performed. Both techniques provide sufficient contrast to allow for the distinction of tissue types. The spatial resolution of the two-fold binned XSGI data set is improved by a factor of two in comparison to XDGI which underlies its performance in tomography of soft tissues. Another application for grating-based X-ray phase tomography is the simultaneous visualization of soft and hard tissues of a plaque-containing coronary artery. The simultaneous visualization of both tissues is important for the segmentation of the lumen. The segmented data can be used for flow simulations in order to obtain information about the three-dimensional wall shear stress distribution needed for the optimization of mechano-sensitive nanocontainers used for drug delivery.

  14. Mechanism of optical unidirectional transmission in subwavelength dual-metal gratings

    Science.gov (United States)

    Gao, H.; Zheng, Z. Y.; Hao, H. Y.; Dong, A. G.; Fan, Z. J.; Liu, D. H.

    2014-03-01

    The mechanism of optical unidirectional (OUD) transmission in parallel subwavelength dual-metal gratings was investigated. It was found that this kind of OUD phenomenon originates from the coupling of the surface plasmon polaritons (SPPs) between the front grating and a layer of metal film which replaces the rear grating. The higher the intensity of the coupled SPPs at the entrances of the rear grating, the higher the transmittance can be achieved. Basing on this property, an effective OUD example was achieved by exploring the intensity difference at the entrances of the rear gratings between the two incidences of opposite directions. In this kind of OUD, the positive transmittance can exceed 80 % and the difference between the transmittances of the two opposite directions can be as large as 63 %. The detailed design process was also presented.

  15. Diffraction efficiency calculations of polarization diffraction gratings with surface relief

    Science.gov (United States)

    Nazarova, D.; Sharlandjiev, P.; Berberova, N.; Blagoeva, B.; Stoykova, E.; Nedelchev, L.

    2018-03-01

    In this paper, we evaluate the optical response of a stack of two diffraction gratings of equal one-dimensional periodicity. The first one is a surface-relief grating structure; the second, a volume polarization grating. This model is based on our experimental results from polarization holographic recordings in azopolymer films. We used films of commercially available azopolymer (poly[1-[4-(3-carboxy-4-hydroxyphenylazo) benzenesulfonamido]-1,2-ethanediyl, sodium salt]), shortly denoted as PAZO. During the recording process, a polarization grating in the volume of the material and a relief grating on the film surface are formed simultaneously. In order to evaluate numerically the optical response of this “hybrid” diffraction structure, we used the rigorous coupled-wave approach (RCWA). It yields stable numerical solutions of Maxwell’s vector equations using the algebraic eigenvalue method.

  16. Observation of narrowband intrinsic spectra of Brillouin dynamic gratings.

    Science.gov (United States)

    Song, Kwang Yong; Yoon, Hyuk Jin

    2010-09-01

    We experimentally demonstrate that the reflection spectrum of a Brillouin dynamic grating in a polarization-maintaining fiber can be much narrower than the intrinsic linewidth of the stimulated Brillouin scattering, matching well with the theory of a fiber Bragg grating in terms of the linewidth and the reflectivity. A 3 dB bandwidth as narrow as 10.5 MHz is observed with the Brillouin dynamic grating generated in a 9 m uniform fiber.

  17. Optical and x-ray alignment approaches for off-plane reflection gratings

    Science.gov (United States)

    Allured, Ryan; Donovan, Benjamin D.; DeRoo, Casey T.; Marlowe, Hannah R.; McEntaffer, Randall L.; Tutt, James H.; Cheimets, Peter N.; Hertz, Edward; Smith, Randall K.; Burwitz, Vadim; Hartner, Gisela; Menz, Benedikt

    2015-09-01

    Off-plane reflection gratings offer the potential for high-resolution, high-throughput X-ray spectroscopy on future missions. Typically, the gratings are placed in the path of a converging beam from an X-ray telescope. In the off-plane reflection grating case, these gratings must be co-aligned such that their diffracted spectra overlap at the focal plane. Misalignments degrade spectral resolution and effective area. In-situ X-ray alignment of a pair of off-plane reflection gratings in the path of a silicon pore optics module has been performed at the MPE PANTER beamline in Germany. However, in-situ X-ray alignment may not be feasible when assembling all of the gratings required for a satellite mission. In that event, optical methods must be developed to achieve spectral alignment. We have developed an alignment approach utilizing a Shack-Hartmann wavefront sensor and diffraction of an ultraviolet laser. We are fabricating the necessary hardware, and will be taking a prototype grating module to an X-ray beamline for performance testing following assembly and alignment.

  18. Polarizing beam splitter of deep-etched triangular-groove fused-silica gratings.

    Science.gov (United States)

    Zheng, Jiangjun; Zhou, Changhe; Feng, Jijun; Wang, Bo

    2008-07-15

    We investigated the use of a deep-etched fused-silica grating with triangular-shaped grooves as a highly efficient polarizing beam splitter (PBS). A triangular-groove PBS grating is designed at a wavelength of 1550 nm to be used in optical communication. When it is illuminated in Littrow mounting, the transmitted TE- and TM-polarized waves are mainly diffracted in the minus-first and zeroth orders, respectively. The design condition is based on the average differences of the grating mode indices, which is verified by using rigorous coupled-wave analysis. The designed PBS grating is highly efficient over the C+L band range for both TE and TM polarizations (>97.68%). It is shown that such a triangular-groove PBS grating can exhibit a higher diffraction efficiency, a larger extinction ratio, and less reflection loss than the binary-phase fused-silica PBS grating.

  19. Numerical modelling of a straw-fired grate boiler

    DEFF Research Database (Denmark)

    Kær, Søren Knudsen

    2004-01-01

    The paper presents a computational fluid dynamics (CFD) analysis of a 33 MW straw-fired grate boiler. Combustion on the grate plays akey-role in the analysis of these boilers and in this work a stand-alone code was used to provide inlet conditions for the CFD analysis. Modelpredictions were...... compared with available gas temperature and species concentration measurements showing good agreement. Combustionof biomass in grate-based boilers is often associated with high emission levels and relatively high amounts of unburnt carbon in the fly ash.Based on the CFD analysis, it is suggested that poor...

  20. Two-port connecting-layer-based sandwiched grating by a polarization-independent design.

    Science.gov (United States)

    Li, Hongtao; Wang, Bo

    2017-05-02

    In this paper, a two-port connecting-layer-based sandwiched beam splitter grating with polarization-independent property is reported and designed. Such the grating can separate the transmission polarized light into two diffraction orders with equal energies, which can realize the nearly 50/50 output with good uniformity. For the given wavelength of 800 nm and period of 780 nm, a simplified modal method can design a optimal duty cycle and the estimation value of the grating depth can be calculated based on it. In order to obtain the precise grating parameters, a rigorous coupled-wave analysis can be employed to optimize grating parameters by seeking for the precise grating depth and the thickness of connecting layer. Based on the optimized design, a high-efficiency two-port output grating with the wideband performances can be gained. Even more important, diffraction efficiencies are calculated by using two analytical methods, which are proved to be coincided well with each other. Therefore, the grating is significant for practical optical photonic element in engineering.

  1. A very demanding spectrometer optical design for ExoMars Mission

    Science.gov (United States)

    Belenguer, T.; Fernandez-Rodriguez, M.; Colombo, M.; Diaz-Catalá, E.; Sanchez-Páramo, J.

    2017-11-01

    The objective of this report is to present the study performed for a specially demanding solution of a spectrometer [1] design based on a transmissive holographic grating especially designed to actuate as the dispersion element. The main driver of the design has been to obtain a device with a clear reduction in mass, power and mechanical envelope with respect to the previous configuration based on a prism and/or Echelle grating. This simplification is produced mainly at expense of the waveband range. This study has been carried out by Laboratorio de Instrumentación Espacial (LINES) optical designers from Instituto Nacional de Técnica Aeroespacial (INTA).

  2. Modeling, simulation, and design of SAW grating filters

    Science.gov (United States)

    Schwelb, Otto; Adler, E. L.; Slaboszewicz, J. K.

    1990-05-01

    A systematic procedure for modeling, simulating, and designing SAW (surface acoustic wave) grating filters, taking losses into account, is described. Grating structures and IDTs (interdigital transducers) coupling to SAWs are defined by cascadable transmission-matrix building blocks. Driving point and transfer characteristics (immittances) of complex architectures consisting of gratings, transducers, and coupling networks are obtained by chain-multiplying building-block matrices. This modular approach to resonator filter analysis and design combines the elements of lossy filter synthesis with the transmission-matrix description of SAW components. A multipole filter design procedure based on a lumped-element-model approximation of one-pole two-port resonator building blocks is given and the range of validity of this model examined. The software for simulating the performance of SAW grating devices based on this matrix approach is described, and its performance, when linked to the design procedure to form a CAD/CAA (computer-aided design and analysis) multiple-filter design package, is illustrated with a resonator filter design example.

  3. Near-infrared light-controlled tunable grating based on graphene/elastomer composites

    Science.gov (United States)

    Wang, Fei; Jia, Shuhai; Wang, Yonglin; Tang, Zhenhua

    2018-02-01

    A near-infrared (nIR) light actuated tunable transmission optical grating based on graphene nanoplatelet (GNP)/polydimethylsiloxane (PDMS) and PDMS is proposed. A simple fabrication protocol is studied that allows integration of the grating with the actuation mechanism; both components are made from soft elastomers, and this ensure the tunability and the light-driven operation of the grating. The resulting grating structure demonstrates continuous period tunability of 2.7% under an actuation power density of 220 mW cm-2 within a period of 3 s and also demonstrates a time-independent characteristic. The proposed infrared activated grating can be developed for wireless remote light splitting in bio/chemical sensing and optical telecommunications applications.

  4. Modern Theory of Gratings Resonant Scattering: Analysis Techniques and Phenomena

    CERN Document Server

    Sirenko, Yuriy K

    2010-01-01

    Diffraction gratings are one of the most popular objects of analysis in electromagnetic theory. The requirements of applied optics and microwave engineering lead to many new problems and challenges for the theory of diffraction gratings, which force us to search for new methods and tools for their resolution. In Modern Theory of Gratings, the authors present results of the electromagnetic theory of diffraction gratings that will constitute the base of further development of this theory, which meet the challenges provided by modern requirements of fundamental and applied science. This volume covers: spectral theory of gratings (Chapter 1) giving reliable grounds for physical analysis of space-frequency and space-time transformations of the electromagnetic field in open periodic resonators and waveguides; authentic analytic regularization procedures (Chapter 2) that, in contradistinction to the traditional frequency-domain approaches, fit perfectly for the analysis of resonant wave scattering processes; paramet...

  5. Bandwidth-Tunable Fiber Bragg Gratings Based on UV Glue Technique

    Science.gov (United States)

    Fu, Ming-Yue; Liu, Wen-Feng; Chen, Hsin-Tsang; Chuang, Chia-Wei; Bor, Sheau-Shong; Tien, Chuen-Lin

    2007-07-01

    In this study, we have demonstrated that a uniform fiber Bragg grating (FBG) can be transformed into a chirped fiber grating by a simple UV glue adhesive technique without shifting the reflection band with respect to the center wavelength of the FBG. The technique is based on the induced strain of an FBG due to the UV glue adhesive force on the fiber surface that causes a grating period variation and an effective index change. This technique can provide a fast and simple method of obtaining the required chirp value of a grating for applications in the dispersion compensators, gain flattening in erbium-doped fiber amplifiers (EDFAs) or optical filters.

  6. Effective length of short Fabry-Perot cavity formed by uniform fiber Bragg gratings.

    Science.gov (United States)

    Barmenkov, Yuri O; Zalvidea, Dobryna; Torres-Peiró, Salvador; Cruz, Jose L; Andrés, Miguel V

    2006-07-10

    In this paper, we describe the properties of Fabry-Perot fiber cavity formed by two fiber Bragg gratings in terms of the grating effective length. We show that the grating effective length is determined by the group delay of the grating, which depends on its diffraction efficiency and physical length. We present a simple analytical formula for calculation of the effective length of the uniform fiber Bragg grating and the frequency separation between consecutive resonances of a Fabry-Perot cavity. Experimental results on the cavity transmission spectra for different values of the gratings' reflectivity support the presented theory.

  7. Echelle observations of the spatially resolved kinematics of a region with high-speed motions in M17 (NGC 6618)-II

    International Nuclear Information System (INIS)

    Meaburn, J.; Clayton, C.A.

    1987-01-01

    In a previous paper the authors reported the discovery of a 'jet' of ionized gas ≅ 2 arcsec across emerging from a dark area of M17 with approaching speeds up to 115 km s -1 . The vicinity of this jet has now been observed in detail in the light of [O III] 5007 A with the Manchester echelle, with a five-element multi-slit, on the Isaac Newton Telescope. A variety of new high-speed phenomena has been discovered in the ionized gas. (author)

  8. A general theory of interference fringes in x-ray phase grating imaging

    International Nuclear Information System (INIS)

    Yan, Aimin; Wu, Xizeng; Liu, Hong

    2015-01-01

    Purpose: The authors note that the concept of the Talbot self-image distance in x-ray phase grating interferometry is indeed not well defined for polychromatic x-rays, because both the grating phase shift and the fractional Talbot distances are all x-ray wavelength-dependent. For x-ray interferometry optimization, there is a need for a quantitative theory that is able to predict if a good intensity modulation is attainable at a given grating-to-detector distance. In this work, the authors set out to meet this need. Methods: In order to apply Fourier analysis directly to the intensity fringe patterns of two-dimensional and one-dimensional phase grating interferometers, the authors start their derivation from a general phase space theory of x-ray phase-contrast imaging. Unlike previous Fourier analyses, the authors evolved the Wigner distribution to obtain closed-form expressions of the Fourier coefficients of the intensity fringes for any grating-to-detector distance, even if it is not a fractional Talbot distance. Results: The developed theory determines the visibility of any diffraction order as a function of the grating-to-detector distance, the phase shift of the grating, and the x-ray spectrum. The authors demonstrate that the visibilities of diffraction orders can serve as the indicators of the underlying interference intensity modulation. Applying the theory to the conventional and inverse geometry configurations of single-grating interferometers, the authors demonstrated that the proposed theory provides a quantitative tool for the grating interferometer optimization with or without the Talbot-distance constraints. Conclusions: In this work, the authors developed a novel theory of the interference intensity fringes in phase grating x-ray interferometry. This theory provides a quantitative tool in design optimization of phase grating x-ray interferometers

  9. A general theory of interference fringes in x-ray phase grating imaging.

    Science.gov (United States)

    Yan, Aimin; Wu, Xizeng; Liu, Hong

    2015-06-01

    The authors note that the concept of the Talbot self-image distance in x-ray phase grating interferometry is indeed not well defined for polychromatic x-rays, because both the grating phase shift and the fractional Talbot distances are all x-ray wavelength-dependent. For x-ray interferometry optimization, there is a need for a quantitative theory that is able to predict if a good intensity modulation is attainable at a given grating-to-detector distance. In this work, the authors set out to meet this need. In order to apply Fourier analysis directly to the intensity fringe patterns of two-dimensional and one-dimensional phase grating interferometers, the authors start their derivation from a general phase space theory of x-ray phase-contrast imaging. Unlike previous Fourier analyses, the authors evolved the Wigner distribution to obtain closed-form expressions of the Fourier coefficients of the intensity fringes for any grating-to-detector distance, even if it is not a fractional Talbot distance. The developed theory determines the visibility of any diffraction order as a function of the grating-to-detector distance, the phase shift of the grating, and the x-ray spectrum. The authors demonstrate that the visibilities of diffraction orders can serve as the indicators of the underlying interference intensity modulation. Applying the theory to the conventional and inverse geometry configurations of single-grating interferometers, the authors demonstrated that the proposed theory provides a quantitative tool for the grating interferometer optimization with or without the Talbot-distance constraints. In this work, the authors developed a novel theory of the interference intensity fringes in phase grating x-ray interferometry. This theory provides a quantitative tool in design optimization of phase grating x-ray interferometers.

  10. Measurement system for diffraction efficiency of convex gratings

    Science.gov (United States)

    Liu, Peng; Chen, Xin-hua; Zhou, Jian-kang; Zhao, Zhi-cheng; Liu, Quan; Luo, Chao; Wang, Xiao-feng; Tang, Min-xue; Shen, Wei-min

    2017-08-01

    A measurement system for diffraction efficiency of convex gratings is designed. The measurement system mainly includes four components as a light source, a front system, a dispersing system that contains a convex grating, and a detector. Based on the definition and measuring principle of diffraction efficiency, the optical scheme of the measurement system is analyzed and the design result is given. Then, in order to validate the feasibility of the designed system, the measurement system is set up and the diffraction efficiency of a convex grating with the aperture of 35 mm, the curvature-radius of 72mm, the blazed angle of 6.4°, the grating period of 2.5μm and the working waveband of 400nm-900nm is tested. Based on GUM (Guide to the Expression of Uncertainty in Measurement), the uncertainties in the measuring results are evaluated. The measured diffraction efficiency data are compared to the theoretical ones, which are calculated based on the grating groove parameters got by an atomic force microscope and Rigorous Couple Wave Analysis, and the reliability of the measurement system is illustrated. Finally, the measurement performance of the system is analyzed and tested. The results show that, the testing accuracy, the testing stability and the testing repeatability are 2.5%, 0.085% and 3.5% , respectively.

  11. Simulation Studies of the Dielectric Grating as an Accelerating and Focusing Structure

    International Nuclear Information System (INIS)

    Soong, Ken; Peralta, E.A.; Byer, R.L.; Colby, E.

    2011-01-01

    A grating-based design is a promising candidate for a laser-driven dielectric accelerator. Through simulations, we show the merits of a readily fabricated grating structure as an accelerating component. Additionally, we show that with a small design perturbation, the accelerating component can be converted into a focusing structure. The understanding of these two components is critical in the successful development of any complete accelerator. The concept of accelerating electrons with the tremendous electric fields found in lasers has been proposed for decades. However, until recently the realization of such an accelerator was not technologically feasible. Recent advances in the semiconductor industry, as well as advances in laser technology, have now made laser-driven dielectric accelerators imminent. The grating-based accelerator is one proposed design for a dielectric laser-driven accelerator. This design, which was introduced by Plettner, consists of a pair of opposing transparent binary gratings, illustrated in Fig. 1. The teeth of the gratings serve as a phase mask, ensuring a phase synchronicity between the electromagnetic field and the moving particles. The current grating accelerator design has the drive laser incident perpendicular to the substrate, which poses a laser-structure alignment complication. The next iteration of grating structure fabrication seeks to monolithically create an array of grating structures by etching the grating's vacuum channel into a fused silica wafer. With this method it is possible to have the drive laser confined to the plane of the wafer, thus ensuring alignment of the laser-and-structure, the two grating halves, and subsequent accelerator components. There has been previous work using 2-dimensional finite difference time domain (2D-FDTD) calculations to evaluate the performance of the grating accelerator structure. However, this work approximates the grating as an infinite structure and does not accurately model a

  12. Magneto-Optic Fiber Gratings Useful for Dynamic Dispersion Management and Tunable Comb Filtering

    International Nuclear Information System (INIS)

    Bao-Jian, Wu; Xin, Lu; Kun, Qiu

    2010-01-01

    Intelligent control of dispersion management and tunable comb filtering in optical network applications can be performed by using magneto-optic fiber Bragg gratings (MFBGs). When a nonuniform magnetic field is applied to the MFBG with a constant grating period, the resulting grating response is equivalent to that of a conventional chirped grating. Under a linearly nonuniform magnetic field along the grating, a linear dispersion is achieved in the grating bandgap and the maximal dispersion slope can come to 1260 ps/nm 2 for a 10-mm-long fiber grating at 1550 nm window. Similarly, a Gaussian-apodizing sampled MFBG is also useful for magnetically tunable comb filtering, with potential application to clock recovery from return-to-zero optical signals and optical carrier tracking. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  13. Multiwavelength optical scatterometry of dielectric gratings

    KAUST Repository

    Yashina, Nataliya P.

    2012-08-01

    Modern scatterometry problems arising in the lithography production of periodic gratings are in the focus of the work. The performance capabilities of a novel theoretical and numerical modeling oriented to these problems are considered. The approach is based on rigorous solutions of 2-D initial boundary value problems of the gratings theory. The quintessence and advantage of the method is the possibility to perform an efficient analysis simultaneously and interactively both for steady state and transient processes of the resonant scattering of electromagnetic waves by the infinite and compact periodic structures. © 2012 IEEE.

  14. Laser-induced grating in ZnO

    DEFF Research Database (Denmark)

    Ravn, Jesper N.

    1992-01-01

    A simple approach for the calculation of self-diffraction in a thin combined phase and amplitude grating is presented. The third order nonlinearity, the electron-hole recombination time, and the ambipolar diffusion coefficient in a ZnO crystal are measured by means of laser-induced self-diffracti......A simple approach for the calculation of self-diffraction in a thin combined phase and amplitude grating is presented. The third order nonlinearity, the electron-hole recombination time, and the ambipolar diffusion coefficient in a ZnO crystal are measured by means of laser-induced self...

  15. Reduction of Bragg-grating-induced coupling to cladding modes

    DEFF Research Database (Denmark)

    Berendt, Martin Ole; Bjarklev, Anders Overgaard; Soccolich, C.E.

    1999-01-01

    gratings in a depressed-cladding fiber are compared with simulations. The model gives good agreement with the measured transmission spectrum and accounts for the pronounced coupling to asymmetrical cladding modes, even when the grating is written with the smallest possible blaze. The asymmetry causing...... this is accounted for by the unavoidable attenuation of the UV light. It is found for the considered fiber designs that a high numerical-aperture fiber increases the spectral separation between the Bragg resonance and the onset of cladding-mode losses. A depressed-cladding fiber reduces the coupling strength......We discuss fiber designs that have been suggested for the reduction of Bragg-grating induced coupling to cladding modes. The discussion is based on a theoretical approach that includes the effect of asymmetry in the UV-induced index grating, made by UV-side writing. Experimental results from...

  16. Ultra-compact silicon nitride grating coupler for microscopy systems

    OpenAIRE

    Zhu, Yunpeng; Wang, Jie; Xie, Weiqiang; Tian, Bin; Li, Yanlu; Brainis, Edouard; Jiao, Yuqing; Van Thourhout, Dries

    2017-01-01

    Grating couplers have been widely used for coupling light between photonic chips and optical fibers. For various quantum-optics and bio-optics experiments, on the other hand, there is a need to achieve good light coupling between photonic chips and microscopy systems. Here, we propose an ultra-compact silicon nitride (SiN) grating coupler optimized for coupling light from a waveguide to a microscopy system. The grating coupler is about 4 by 2 mu m(2) in size and a 116 nm 1 dB bandwidth can be...

  17. Thermal-grating contributions to degenerate four-wave mixing in nitric oxide

    International Nuclear Information System (INIS)

    Danehy, P.M.; Paul, P.H.; Farrow, R.L.

    1995-01-01

    We report investigations of degenerate four-wave mixing (DFWM) line intensities in the A 2 Σ + left-arrow X 2 Π electronic transitions of nitric oxide. Contributions from population gratings (spatially varying perturbations in the level populations of absorbing species) and thermal gratings (spatially varying perturbations in the overall density) were distinguished and compared by several experimental and analytical techniques. For small quantities of nitric oxide in a strongly quenching buffer gas (carbon dioxide), we found that thermal-grating contributions dominated at room temperature for gas pressures of ∼0.5 atm and higher. In a nearly nonquenching buffer (nitrogen) the population-grating mechanism dominated at pressures of ∼1.0 atm and lower. At higher temperatures in an atmospheric-pressure methane/air flame, population gratings of nitric oxide also dominated. We propose a simple model for the ratio of thermal- to population-grating scattering intensities that varies as P 4 T -4.4 . Preliminary investigations of the temperature dependence and detailed studies of the pressure dependence are in agreement with this model. Measurements of the temporal evolution and the peak intensity of isolated thermal-grating signals are in detailed agreement with calculations based on a linearized hydrodynamic model [J. Opt. Soc. Am. B 12, 384 (1995)]. copyright 1995 Optical Society of America

  18. Beam-splitter switches based on zenithal bistable liquid-crystal gratings.

    Science.gov (United States)

    Zografopoulos, Dimitrios C; Beccherelli, Romeo; Kriezis, Emmanouil E

    2014-10-01

    The tunable optical diffractive properties of zenithal bistable nematic liquid-crystal gratings are theoretically investigated. The liquid-crystal orientation is rigorously solved via a tensorial formulation of the Landau-de Gennes theory and the optical transmission properties of the gratings are investigated via full-wave finite-element frequency-domain simulations. It is demonstrated that by proper design the two stable states of the grating can provide nondiffracting and diffracting operation, the latter with equal power splitting among different diffraction orders. An electro-optic switching mechanism, based on dual-frequency nematic materials, and its temporal dynamics are further discussed. Such gratings provide a solution towards tunable beam-steering and beam-splitting components with extremely low power consumption.

  19. Grating exchange system of independent mirror supported by floating rotary stage

    Science.gov (United States)

    Zhang, Jianhuan; Tao, Jin; Liu, Yan; Nan, Yan

    2015-10-01

    The performance of The Grating Exchange System can satisfy the Thirty Meter Telescope - TMT for astronomical observation WFOS index requirements and satisfy the requirement of accuracy in the grating exchange. It is used to install in the MOBIE and a key device of MOBIE. The Wide Field Optical Spectrograph (WFOS) is one of the three first-light observing capabilities selected by the TMT Science Advisory Committee. The Multi-Object Broadband Imaging Echellette (MOBIE) instrument design concept has been developed to address the WFOS requirements as described in the TMT Science-Based Requirements Document (SRD). The Grating Exchange System uses a new type of separate movement way of three grating devices and a mirror device. Three grating devices with a mirror are able to achieve independence movement. This kind of grating exchange system can effectively solve the problem that the volume of the grating change system is too large and that the installed space of MOBIE instruments is too limit. This system adopts the good stability, high precision of rotary stage - a kind of using air bearing (Air bearing is famous for its ultra-high precision, and can meet the optical accuracy requirement) and rotation positioning feedback gauge turntable to support grating device. And with a kind of device which can carry greater weight bracket fixed on the MOBIE instrument, with two sets of servo motor control rotary stage and the mirror device respectively. And we use the control program to realize the need of exercising of the grating device and the mirror device. Using the stress strain analysis software--SolidWorks for stress and strain analysis of this structure. And then checking the structure of the rationality and feasibility. And prove that this system can realize the positioning precision under different working conditions can meet the requirements of imaging optical grating diffraction efficiency and error by the calculation and optical performance analysis.

  20. Plasmonic Optical Fiber-Grating Immunosensing: A Review.

    Science.gov (United States)

    Guo, Tuan; González-Vila, Álvaro; Loyez, Médéric; Caucheteur, Christophe

    2017-11-26

    Plasmonic immunosensors are usually made of a noble metal (in the form of a film or nanoparticles) on which bioreceptors are grafted to sense analytes based on the antibody/antigen or other affinity mechanism. Optical fiber configurations are a miniaturized counterpart to the bulky Kretschmann prism and allow easy light injection and remote operation. To excite a surface plasmon (SP), the core-guided light is locally outcoupled. Unclad optical fibers were the first configurations reported to this end. Among the different architectures able to bring light in contact with the surrounding medium, a great quantity of research is today being conducted on metal-coated fiber gratings photo-imprinted in the fiber core, as they provide modal features that enable SP generation at any wavelength, especially in the telecommunication window. They are perfectly suited for use with cost-effective high-resolution interrogators, allowing both a high sensitivity and a low limit of detection to be reached in immunosensing. This paper will review recent progress made in this field with different kinds of gratings: uniform, tilted and eccentric short-period gratings as well as long-period fiber gratings. Practical cases will be reported, showing that such sensors can be used in very small volumes of analytes and even possibly applied to in vivo diagnosis.

  1. Plasmonic Optical Fiber-Grating Immunosensing: A Review

    Directory of Open Access Journals (Sweden)

    Tuan Guo

    2017-11-01

    Full Text Available Plasmonic immunosensors are usually made of a noble metal (in the form of a film or nanoparticles on which bioreceptors are grafted to sense analytes based on the antibody/antigen or other affinity mechanism. Optical fiber configurations are a miniaturized counterpart to the bulky Kretschmann prism and allow easy light injection and remote operation. To excite a surface plasmon (SP, the core-guided light is locally outcoupled. Unclad optical fibers were the first configurations reported to this end. Among the different architectures able to bring light in contact with the surrounding medium, a great quantity of research is today being conducted on metal-coated fiber gratings photo-imprinted in the fiber core, as they provide modal features that enable SP generation at any wavelength, especially in the telecommunication window. They are perfectly suited for use with cost-effective high-resolution interrogators, allowing both a high sensitivity and a low limit of detection to be reached in immunosensing. This paper will review recent progress made in this field with different kinds of gratings: uniform, tilted and eccentric short-period gratings as well as long-period fiber gratings. Practical cases will be reported, showing that such sensors can be used in very small volumes of analytes and even possibly applied to in vivo diagnosis.

  2. Fabrication of tunable diffraction grating by imprint lithography with photoresist mold

    Science.gov (United States)

    Yamada, Itsunari; Ikeda, Yusuke; Higuchi, Tetsuya

    2018-05-01

    We fabricated a deformable transmission silicone [poly(dimethylsiloxane)] grating using a two-beam interference method and imprint lithography and evaluated its optical characteristics during a compression process. The grating pattern with 0.43 μm depth and 1.0 μm pitch was created on a silicone surface by an imprinting process with a photoresist mold to realize a simple, low-cost fabrication process. The first-order diffraction transmittance of this grating reached 10.3% at 632.8 nm wavelength. We also measured the relationship between the grating period and compressive stress to the fabricated elements. The grating period changed from 1.0 μm to 0.84 μm by 16.6% compression of the fabricated element in one direction, perpendicular to the grooves, and the first-order diffraction transmittance was 8.6%.

  3. Mechanical Stresses Induced by Compression in Castings of the Load-carrying Grate

    Directory of Open Access Journals (Sweden)

    Słowik J.

    2016-06-01

    Full Text Available The main aim of this study was to examine the compression-induced state of stress arising in castings of the guide grates during operation in pusher-type furnaces for heat treatment. The effect of grate compression is caused by its forced movement in the furnace. The introduction of flexible segments to the grate structure changes in a significant way the stress distribution, mainly by decreasing its value, and consequently considerably extends the lifetime of the grates. The stress distribution was examined in the grates with flexible segments arranged crosswise (normal to the direction of the grate compression and lengthwise (following the direction of force. A regression equation was derived to describe the relationship between the stress level in a row of ribs in the grate and the number of flexible segments of a lengthwise orientation placed in this row. It was found that, regardless of the distribution of the flexible segments in a row, the stress values were similar in all the ribs included in this row, and in a given row of the ribs/flexible segments a similar state of stress prevailed, irrespective of the position of this row in the whole structure of the grate and of the number of the ribs/flexible segments introduced therein. Parts of the grate responsible for the stress transfer were indicated and also parts which play the role of an element bonding the structure.

  4. Dynamic strain measurement of hydraulic system pipeline using fibre Bragg grating sensors

    Directory of Open Access Journals (Sweden)

    Qiang Wang

    2016-04-01

    Full Text Available Fatigue failure is a serious problem in hydraulic piping systems installed in the machinery and equipment working in harsh operational conditions. To alleviate this problem, health monitoring of pipes can be conducted by measuring and analysing vibration-induced strain. Fibre Bragg grating is considered as a promising sensing approach for dynamic load monitoring. In this article, dynamic strain measurements based on fibre Bragg grating sensors for small-bore metal pipes have been investigated. The quasi-distributed strain sensing of fibre Bragg grating sensors is introduced. Two comparison experiments were carried out under vibration and impact loads among the methods of electrical strain gauge, piezoelectric accelerometer and fibre Bragg grating sensor. Experimental results indicate that fibre Bragg grating sensor possesses an outstanding ability to resist electromagnetic interference compared with strain gauge. The natural frequency measurement results, captured by fibre Bragg grating sensor, agree well with the modal analysis results obtained from finite element analysis. In addition, the attached fibre Bragg grating sensor brings a smaller impact on the dynamic characteristics of the measured pipe than the accelerometer due to its small size and lightweight. Fibre Bragg grating sensors have great potential for the quasi-distributed measurement of dynamic strain for the dynamic characteristic research and health monitoring of hydraulic system pipeline.

  5. Double-Grating Displacement Structure for Improving the Light Extraction Efficiency of LEDs

    Directory of Open Access Journals (Sweden)

    Zhibin Wang

    2012-01-01

    Full Text Available To improve the light extraction efficiency of light-emitting diodes (LEDs, grating patterns were etched on GaN and silver film surfaces. The grating-patterned surface etching enabled the establishment of an LED model with a double-grating displacement structure that is based on the surface plasmon resonance principle. A numerical simulation was conducted using the finite difference time domain method. The influence of different grating periods for GaN surface and silver film thickness on light extraction efficiency was analyzed. The light extraction efficiency of LEDs was highest when the grating period satisfied grating coupling conditions. The wavelength of the highest value was also close to the light wavelength of the medium. The plasmon resonance frequencies on both sides of the silver film were affected by silver film thickness. With increasing film thickness, plasmon resonance frequency tended toward the same value and light extraction efficiency reached its maximum. When the grating period for the GaN surface was 365 nm and the silver film thickness was 390 nm, light extraction efficiency reached a maximum of 55%.

  6. Continuously tunable pulsed Ti:Sa laser self-seeded by an extended grating cavity

    CERN Document Server

    Li, Ruohong; Rothe, Sebastian; Teigelhöfer, Andrea; Mostamand, Maryam

    2016-01-01

    A continuously tunable titanium:sapphire (Ti:Sa) laser self-seeded by an extended grating cavity was demonstrated and characterized. By inserting a partially reflecting mirror inside the cavity of a classic single-cavity grating laser, two oscillators are created: a broadband power oscillator, and a narrowband oscillator with a prism beam expander and a diffraction grating in Littrow configuration. By coupling the grating cavity oscillation into the power oscillator, a power-enhanced narrow-linewidth laser oscillation is achieved. Compared to the classic grating laser, this simple modification significantly increases the laser output power without considerably broadening the linewidth. With most of the oscillating laser power confined inside the broadband power cavity and lower power incident onto the grating, the new configuration also allows higher pump power, which is typically limited by the thermal deformation of the grating coating at high oscillation power.

  7. Influence of dielectric protective layer on laser damage resistance of gold coated gratings

    Science.gov (United States)

    Wu, Kepeng; Ma, Ping; Pu, Yunti; Xia, Zhilin

    2016-03-01

    Aiming at the problem that the damage threshold of gold coated grating is relatively low, a dielectric film is considered on the gold coated gratings as a protective layer. The thickness range of the protective layer is determined under the prerequisite that the diffraction efficiency of the gold coated grating is reduced to an acceptable degree. In this paper, the electromagnetic field, the temperature field and the stress field distribution in the grating are calculated when the silica and hafnium oxide are used as protective layers, under the preconditions of the electromagnetic field distribution of the gratings known. The results show that the addition of the protective layer changes the distribution of the electromagnetic field, temperature field and stress field in the grating, and the protective layer with an appropriate thickness can improve the laser damage resistance of the grating.

  8. Laser sensor with Bragg gratings of fiber optics to physics parameter measuring

    International Nuclear Information System (INIS)

    Vazquez, R.; Garcia, C.; May, M.; Camas, J.

    2009-01-01

    We present the operation of a fiber laser sensor made by an Erbium Doped Fiber pumped at 980nm, an 4.23 km passive fiber and two fiber Bragg gratings placed at the ends of the laser cavity. Under normal conditions, the Bragg gratings have different reflection wavelengths and laser emission is not generated. The two Bragg gratings can be placed at the same reflection wavelength when the Bragg grating with the lowest reflective wavelength increases their temperature which can be used as a sensor element. The laser generation thus shows that the Bragg grating is increasing their temperature. We used a Peltier cell for to change gradually the temperature. (Author)

  9. Spectral tuning of the diameter-dependent-chirped Bragg gratings written in microfibers.

    Science.gov (United States)

    Xiao, Peng; Liu, Tong; Feng, Fu-Rong; Sun, Li-Peng; Liang, Hao; Ran, Yang; Jin, Long; Guan, Bai-Ou

    2016-12-26

    Chirped fiber Bragg gratings can straightforwardly and efficiently be fabricated onto microfibers with a uniform phase mask. Due to the variation of the propagating constant, which depends on the fiber diameter, the broadband spectrum of the grating can be formed. Depending on the different responses to the ambient refractive index in different parts of the grating, the bandwidth of the grating can be tuned by changing the surrounding solution. In addition, by being partly immersed in a liquid, the diameter-chirped Bragg grating can act as a broadband Fabry-Perot interferometer, whose spectrum can be tuned by means of controlling the liquid level and ambient refractive index.

  10. Bragg grating rogue wave

    Energy Technology Data Exchange (ETDEWEB)

    Degasperis, Antonio [Dipartimento di Fisica, “Sapienza” Università di Roma, P.le A. Moro 2, 00185 Roma (Italy); Wabnitz, Stefan, E-mail: stefan.wabnitz@unibs.it [Dipartimento di Ingegneria dell' Informazione, Università degli Studi di Brescia and INO-CNR, via Branze 38, 25123 Brescia (Italy); Aceves, Alejandro B. [Southern Methodist University, Dallas (United States)

    2015-06-12

    We derive the rogue wave solution of the classical massive Thirring model, that describes nonlinear optical pulse propagation in Bragg gratings. Combining electromagnetically induced transparency with Bragg scattering four-wave mixing may lead to extreme waves at extremely low powers.

  11. Silicon graphene Bragg gratings.

    Science.gov (United States)

    Capmany, José; Domenech, David; Muñoz, Pascual

    2014-03-10

    We propose the use of interleaved graphene sections on top of a silicon waveguide to implement tunable Bragg gratings. The filter central wavelength and bandwidth can be controlled changing the chemical potential of the graphene sections. Apodization techniques are also presented.

  12. Fibre Bragg grating for flood embankment monitoring

    Science.gov (United States)

    Markowski, Konrad; Nevar, Stanislau; Dworzanski, Adam; Hackiewicz, Krzysztof; Jedrzejewski, Kazimierz

    2014-11-01

    In this article we present the preliminary studies for the flood embankment monitoring system based on the fibre Bragg gratings. The idea of the system is presented. The Bragg resonance shift is transformed to the change of the power detected by the standard InGaAs photodiode. The discrimination of the received power was executed by another fibre Bragg grating with different parameters. The project of the fully functional system is presented as well.

  13. Thermal hypersensitisation and grating evolution in Ge-doped optical fibre

    DEFF Research Database (Denmark)

    Sørensen, Henrik Rokkjær; Canning, John; Kristensen, Martin

    2005-01-01

    Low temperature (sub 1000°C) thermal hypersensitisation is reported in germanosilicate optical waveguides. Gratings are written using a CW 266nm laser source. In contrast to laser hypersensitisation, thermal excitation is generally dispersive involving a range of specific glass sites. More comple...... grating profiles presenting evidence of solid-state autocatalysis and bistability at increasingly high sensitisation temperatures are observed. More specifically, at 500°C, a behaviour resembling type IIA grating response is observed....

  14. NRES: The Network of Robotic Echelle Spectrographs

    Science.gov (United States)

    Siverd, Robert; Brown, Tim; Henderson, Todd; Hygelund, John; Barnes, Stuart; de Vera, Jon; Eastman, Jason; Kirby, Annie; Smith, Cary; Taylor, Brook; Tufts, Joseph; van Eyken, Julian

    2018-01-01

    Las Cumbres Observatory (LCO) is building the Network of Robotic Echelle Spectrographs (NRES), which will consist of four (up to six in the future) identical, optical (390 - 860 nm) high-precision spectrographs, each fiber-fed simultaneously by up to two 1-meter telescopes and a Thorium-Argon calibration source. We plan to install one at up to 6 observatory sites in the Northern and Southern hemispheres, creating a single, globally-distributed, autonomous spectrograph facility using up to ten 1-m telescopes. Simulations suggest we will achieve long-term radial velocity precision of 3 m/s in less than an hour for stars brighter than V = 11 or 12 once the system reaches full capability. Acting in concert, these four spectrographs will provide a new, unique facility for stellar characterization and precise radial velocities.Following a few months of on-sky evaluation at our BPL test facility, the first spectrograph unit was shipped to CTIO in late 2016 and installed in March 2017. After several more months of additional testing and commissioning, regular science operations began with this node in September 2017. The second NRES spectrograph was installed at McDonald Observatory in September 2017 and released to the network after its own brief commissioning period, extending spectroscopic capability to the Northern hemisphere. The third NRES spectrograph was installed at SAAO in November 2017 and released to our science community just before year's end. The fourth NRES unit shipped in October and is currently en route to Wise Observatory in Israel with an expected release to the science community in early 2018.We will briefly overview the LCO telescope network, the NRES spectrograph design, the advantages it provides, and development challenges we encountered along the way. We will further discuss real-world performance from our first three units, initial science results, and the ongoing software development effort needed to automate such a facility for a wide array of

  15. Photoanisotropic polarization gratings beyond the small recording angle regime

    NARCIS (Netherlands)

    Xu, M.; De Boer, D.K.G.; Van Heesch, C.M.; Wachters, A.J.H.; Urbach, H.P.

    2010-01-01

    Polarization gratings can be realized by polarization holographic recording in photoanisotropic materials. In this paper, we study two types of polarization gratings. One is recorded with two orthogonally circularly (OC) polarized beams and the other one with two orthogonally linearly (OL) polarized

  16. Optimal wavelength scale diffraction gratings for light trapping in solar cells

    International Nuclear Information System (INIS)

    Chong, Teck Kong; Wilson, Jonathan; Mokkapati, Sudha; Catchpole, Kylie R

    2012-01-01

    Dielectric gratings are a promising method of achieving light trapping for thin crystalline silicon solar cells. In this paper, we systematically examine the potential performance of thin silicon solar cells with either silicon (Si) or titanium dioxide (TiO 2 ) gratings using numerical simulations. The square pyramid structure with silicon nitride coating provides the best light trapping among all the symmetric structures investigated, with 89% of the expected short circuit current density of the Lambertian case. For structures where the grating is at the rear of the cell, we show that the light trapping provided by the square pyramid and the checkerboard structure is almost identical. Introducing asymmetry into the grating structures can further improve their light trapping properties. An optimized Si skewed pyramid grating on the front surface of the solar cell results in a maximum short circuit current density, J sc , of 33.4 mA cm −2 , which is 91% of the J sc expected from an ideal Lambertian scatterer. An optimized Si skewed pyramid grating on the rear performs as well as a rear Lambertian scatterer and an optimized TiO 2 grating on the rear results in 84% of the J sc expected from an optimized Si grating. The results show that submicron symmetric and skewed pyramids of Si or TiO 2 are a highly effective way of achieving light trapping in thin film solar cells. TiO 2 structures would have the additional advantage of not increasing recombination within the cell. (paper)

  17. Fabrication of the polarization independent spectral beam combining grating

    Science.gov (United States)

    Liu, Quan; Jin, Yunxia; Wu, Jianhong; Guo, Peiliang

    2016-03-01

    Owing to damage, thermal issues, and nonlinear optical effects, the output power of fiber laser has been proven to be limited. Beam combining techniques are the attractive solutions to achieve high-power high-brightness fiber laser output. The spectral beam combining (SBC) is a promising method to achieve high average power output without influencing the beam quality. A polarization independent spectral beam combining grating is one of the key elements in the SBC. In this paper the diffraction efficiency of the grating is investigated by rigorous coupled-wave analysis (RCWA). The theoretical -1st order diffraction efficiency of the grating is more than 95% from 1010nm to 1080nm for both TE and TM polarizations. The fabrication tolerance is analyzed. The polarization independent spectral beam combining grating with the period of 1.04μm has been fabricated by holographic lithography - ion beam etching, which are within the fabrication tolerance.

  18. Innovative diffraction gratings for high-resolution resonant inelastic soft x-ray scattering spectroscopy

    International Nuclear Information System (INIS)

    Voronov, D.L.; Warwick, T.; Gullikson, E. M.; Salmassi, F.; Padmore, H. A.

    2016-01-01

    High-resolution Resonant Inelastic X-ray Scattering (RIXS) requires diffraction gratings with very exacting characteristics. The gratings should provide both very high dispersion and high efficiency which are conflicting requirements and extremely challenging to satisfy in the soft x-ray region for a traditional grazing incidence geometry. To achieve high dispersion one should increase the groove density of a grating; this however results in a diffraction angle beyond the critical angle range and results in drastic efficiency loss. The problem can be solved by use of multilayer coated blazed gratings (MBG). In this work we have investigated the diffraction characteristics of MBGs via numerical simulations and have developed a procedure for optimization of grating design for a multiplexed high resolution imaging spectrometer for RIXS spectroscopy to be built in sector 6 at the Advanced Light Source (ALS). We found that highest diffraction efficiency can be achieved for gratings optimized for 4"t"h or 5"t"h order operation. Fabrication of such gratings is an extremely challenging technological problem. We present a first experimental prototype of these gratings and report its performance. High order and high line density gratings have the potential to be a revolutionary new optical element that should have great impact in the area of soft x-ray RIXS.

  19. Grating-based X-ray phase contrast for biomedical imaging applications

    International Nuclear Information System (INIS)

    Pfeiffer, Franz; Willner, Marian; Chabior, Michael; Herzen, Julia; Helmholtz-Zentrum Geesthacht, Geesthacht; Auweter, Sigrid; Reiser, Maximilian; Bamberg, Fabian

    2013-01-01

    In this review article we describe the development of grating-based X-ray phase-contrast imaging, with particular emphasis on potential biomedical applications of the technology. We review the basics of image formation in grating-based phase-contrast and dark-field radiography and present some exemplary multimodal radiography results obtained with laboratory X-ray sources. Furthermore, we discuss the theoretical concepts to extend grating-based multimodal radiography to quantitative transmission, phase-contrast, and dark-field scattering computed tomography. (orig.)

  20. Control of the long period grating spectrum through low frequency flexural acoustic waves

    International Nuclear Information System (INIS)

    Oliveira, Roberson A; Possetti, Gustavo R C; Kamikawachi, Ricardo C; Fabris, José L; Muller, Marcia; Pohl, Alexandre A P; Marques, Carlos A F; Nogueira, Rogério N; Neves, Paulo T Jr; Cook, Kevin; Canning, John; Bavastri, C

    2011-01-01

    We have shown experimental results of the excitation of long period fiber gratings by means of flexural acoustic waves with a wavelength larger than the grating period, validated by numerical simulations. The effect of the acoustic wave on the grating is modeled with the method of assumed modes, which delivers the strain field inside the grating, then used as the input to the transfer matrix method, needed for calculating the grating spectrum. The experimental and numerical results are found to be in good agreement, even though only the strain-optic effects are taken into account

  1. Launching focused surface plasmon in circular metallic grating

    International Nuclear Information System (INIS)

    Kumar, Pawan; Tripathi, V. K.; Kumar, Ashok; Shao, X.

    2015-01-01

    The excitation of focused surface plasma wave (SPW) over a metal–vacuum interface embedded with circular surface grating is investigated theoretically. The normally impinged radiation imparts oscillatory velocity to free electrons that beats with the surface ripple to produce a nonlinear current, driving the SPW. As SPW propagates, it gets focused. The focused radiation has a maximum at the centre of grating and decreases beyond the centre due to diffraction. The amplitude of SPW is fixed for a given groove depth and increases rapidly around the resonance frequency. The intensity at the focus point depends on dimensions of the grating. It increases with the radiation frequency approaching the surface plasmon resonance. The scheme has potential applications for photonic devices and surface enhanced Raman scattering

  2. Ultra-broadband and wide-angle perfect absorber based on composite metal-semiconductor grating

    Science.gov (United States)

    Li, Xu; Wang, Zongpeng; Hou, Yumin

    2018-01-01

    In this letter, we present an ultra-broadband and wide-angle perfect absorber based on composite Ge-Ni grating. Near perfect absorption above 90% is achieved in a wide frequency range from 150 nm to 4200 nm, which covers almost the full spectrum of solar radiation. The absorption keeps robust in a wide range of incident angle from 0º to 60º. The upper triangle Ge grating works as an antireflection coating. The lower Ni grating works as a reflector and an effective energy trapper. The guided modes inside Ge grating are excited due to reflection of the lower Ni grating surface. In longer wavelength band, gap surface plasmons (GSPs) in the Ni grating are excited and couple with the guided modes inside the Ge grating. The coupled modes extend the perfect absorption band to the near-infrared region (150 nm-4200 nm). This design has potential application in photovoltaic devices and thermal emitters.

  3. Magnetic resonance of rubidium atoms passing through a multi-layered transmission magnetic grating

    International Nuclear Information System (INIS)

    Nagata, Y; Kurokawa, S; Hatakeyama, A

    2017-01-01

    We measured the magnetic resonance of rubidium atoms passing through periodic magnetic fields generated by two types of multi-layered transmission magnetic grating. One of the gratings reported here was assembled by stacking four layers of magnetic films so that the direction of magnetization alternated at each level. The other grating was assembled so that the magnetization at each level was aligned. For both types of grating, the experimental results were in good agreement with our calculations. We studied the feasibility of extending the frequency band of the grating and narrowing its resonance linewidth by performing calculations. For magnetic resonance precision spectroscopy, we conclude that the multi-layered transmission magnetic grating can generate periodic fields with narrower linewidths at higher frequencies when a larger number of layers are assembled at a shorter period length. Moreover, the frequency band of this type of grating can potentially achieve frequencies of up to hundreds of PHz. (paper)

  4. Holographic gratings in photorefractive polymers without external electric field

    DEFF Research Database (Denmark)

    Kukhtarev, N.; Lyuksyutov, S.; Buchhave, Preben

    1997-01-01

    Using anomalous large diffusion we report a recording of reflection type gratings in a PVK-based photorefractive polymer without any external electric field. The diffraction efficiency of the gratings was measured to be 7%. An efficient modulation of beams during two-beam coupling up to 12...

  5. Improved thermal and strain performance of annealed polymer optical fiber Bragg gratings

    DEFF Research Database (Denmark)

    Yuan, Scott Wu; Stefani, Alessio; Bache, Morten

    2011-01-01

    We report on a detailed study of the inscription and characterization of fiber Bragg gratings (FBGs) in commercial step index polymer optical fibers (POFs). Through the growth dynamics of the gratings, we identify the effect of UV-induced heating during the grating inscription. We found that FBGs...

  6. X-ray verification of an optically-aligned off-plane grating module

    Science.gov (United States)

    Donovan, Benjamin; McEntaffer, Randall; Tutt, James; DeRoo, Casey; Allured, Ryan; Gaskin, Jessica; Kolodziejczak, Jeffery

    2017-08-01

    The next generation of X-ray spectrometer missions are baselined to have order-of-magnitude improvements in both spectral resolving power and effective area when compared to existing X-ray spectrometer missions. Off-plane X-ray reflection gratings are capable of achieving high resolution and high diffraction efficiencies over the entire X-ray bandpass, making them an ideal technology to implement on these future missions. To achieve the high effective area desired while maintaining high spectral resolution, many off-plane gratings must be precisely aligned such that their diffraction arcs overlap at the focal plane. Methods are under development to align a number of these gratings into a grating module using optical metrology techniques in support of the Off-plane Grating Rocket Experiment (OGRE), a suborbital rocket payload scheduled to launch in late 2018. X-ray testing was performed on an aligned grating module at the Straylight Test Facility (SLTF) at NASA Marshall Space Flight Center (MSFC) to assess the current alignment methodology and its ability to meet the desired performance of OGRE. We report on the results from the test campaign at MSFC, as well as plans for future development.

  7. Trochoidal X-ray Vector Radiography: Directional dark-field without grating stepping

    Science.gov (United States)

    Sharma, Y.; Bachche, S.; Kageyama, M.; Kuribayashi, M.; Pfeiffer, F.; Lasser, T.; Momose, A.

    2018-03-01

    X-ray Vector Radiography (XVR) is an imaging technique that reveals the orientations of sub-pixel sized structures within a sample. Several dark-field radiographs are acquired by rotating the sample around the beam propagation direction and stepping one of the gratings to several positions for every pose of the sample in an X-ray grating interferometry setup. In this letter, we present a method of performing XVR of a continuously moving sample without the need of any grating motion. We reconstruct the orientations within a sample by analyzing the change in the background moire fringes caused by the sample moving and simultaneously rotating in plane (trochoidal trajectory) across the detector field-of-view. Avoiding the motion of gratings provides significant advantages in terms of stability and repeatability, while the continuous motion of the sample makes this kind of system adaptable for industrial applications such as the scanning of samples on a conveyor belt. Being the first step in the direction of utilizing advanced sample trajectories to replace grating motion, this work also lays the foundations for a full three dimensional reconstruction of scattering function without grating motion.

  8. High efficiency grating couplers based on shared process with CMOS MOSFETs

    International Nuclear Information System (INIS)

    Qiu Chao; Sheng Zhen; Wu Ai-Min; Wang Xi; Zou Shi-Chang; Gan Fu-Wan; Li Le; Albert Pang

    2013-01-01

    Grating couplers are widely investigated as coupling interfaces between silicon-on-insulator waveguides and optical fibers. In this work, a high-efficiency and complementary metal—oxide—semiconductor (CMOS) process compatible grating coupler is proposed. The poly-Si layer used as a gate in the CMOS metal—oxide—semiconductor field effect transistor (MOSFET) is combined with a normal fully etched grating coupler, which greatly enhances its coupling efficiency. With optimal structure parameters, a coupling efficiency can reach as high as ∼ 70% at a wavelength of 1550 nm as indicated by simulation. From the angle of fabrication, all masks and etching steps are shared between MOSFETs and grating couplers, thereby making the high performance grating couplers easily integrated with CMOS circuits. Fabrication errors such as alignment shift are also simulated, showing that the device is quite tolerant in fabrication. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  9. High efficiency multilayer blazed gratings for EUV and soft X-rays: Recent developments

    International Nuclear Information System (INIS)

    Voronov, Dmitriy; Ahn, Minseung; Anderson, Erik; Cambie, Rossana; Chang, Chih-Hao; Goray, Leonid; Gullikson, Eric; Heilmann, Ralf; Salmassi, Farhad; Schattenburg, Mark; Warwick, Tony; Yashchuk, Valeriy; Padmore, Howard

    2011-01-01

    Multilayer coated blazed gratings with high groove density are the best candidates for use in high resolution EUV and soft x-ray spectroscopy. Theoretical analysis shows that such a grating can be potentially optimized for high dispersion and spectral resolution in a desired high diffraction order without significant loss of diffraction efficiency. In order to realize this potential, the grating fabrication process should provide a perfect triangular groove profile and an extremely smooth surface of the blazed facets. Here we report on recent progress achieved at the Advanced Light Source (ALS) in fabrication of high quality multilayer coated blazed gratings. The blazed gratings were fabricated using scanning beam interference lithography followed by wet anisotropic etching of silicon. A 200 nm period grating coated with a Mo/Si multilayer composed with 30 bi-layers demonstrated an absolute efficiency of 37.6percent in the 3rd diffraction order at 13.6 nm wavelength. The groove profile of the grating was thoroughly characterized with atomic force microscopy before and after the multilayer deposition. The obtained metrology data were used for simulation of the grating efficiency with the vector electromagnetic PCGrate-6.1 code. The simulations showed that smoothing of the grating profile during the multilayer deposition is the main reason for efficiency losses compared to the theoretical maximum. Investigation of the grating with cross-sectional transmission electron microscopy revealed a complex evolution of the groove profile in the course of the multilayer deposition. Impact of the shadowing and smoothing processes on growth of the multilayer on the surface of the sawtooth substrate is discussed.

  10. Degenerate four-wave mixing mediated by ponderomotive-force-driven plasma gratings

    International Nuclear Information System (INIS)

    Lee, K.-H.; Lin, M.-W.; Pai, C.-H.; Ha, L.-C.; Lin, J.-Y.; Wang, J.; Chen, S.-Y.

    2007-01-01

    Degenerate four-wave mixing mediated by ponderomotive-force-driven plasma gratings is demonstrated in the near-infrared regime. The quadratic dependence of the reflectivity of the probe pulse on plasma density indicates that the mixing is caused by the quasineutral plasma grating driven by the laser ponderomotive force. The experiment verifies that ponderomotive force is an effective means to produce a large-amplitude short-period plasma grating, which has many important applications in ultrahigh-intensity optics. In particular, such a grating is a crucial element for the development of plasma phase-conjugate mirrors that can be used to restore the wave-front distortion that is ubiquitous in nonlinear propagation

  11. Investigations on birefringence effects in polymer optical fiber Bragg gratings

    DEFF Research Database (Denmark)

    Hu, Xiaolian; Saez-Rodriguez, D.; Bang, Ole

    2014-01-01

    Step-index polymer optical fiber Bragg gratings (POFBGs) and microstructured polymer optical fiber Bragg gratings (mPOFBGs) present several attractive features, especially for sensing purposes. In comparison to FBGs written in silica fibers, they are more sensitive to temperature and pressure...... because of the larger thermo-optic coefficient and smaller Young's modulus of polymer materials. (M)POFBGs are most often photowritten in poly(methylmethacrylate) (PMMA) materials using a continuous-wave 325 nm HeCd laser. For the first time to the best of our knowledge, we study photoinduced...... birefringence effects in (m)POFBGs. To achieve this, highly reflective gratings were inscribed with the phase mask technique. They were then monitored in transmission with polarized light. For this, (m)POF sections a few cm in length containing the gratings were glued to angled silica fibers. Polarization...

  12. High-Average-Power Diffraction Pulse-Compression Gratings Enabling Next-Generation Ultrafast Laser Systems

    Energy Technology Data Exchange (ETDEWEB)

    Alessi, D. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2016-11-01

    Pulse compressors for ultrafast lasers have been identified as a technology gap in the push towards high peak power systems with high average powers for industrial and scientific applications. Gratings for ultrashort (sub-150fs) pulse compressors are metallic and can absorb a significant percentage of laser energy resulting in up to 40% loss as well as thermal issues which degrade on-target performance. We have developed a next generation gold grating technology which we have scaled to the petawatt-size. This resulted in improvements in efficiency, uniformity and processing as compared to previous substrate etched gratings for high average power. This new design has a deposited dielectric material for the grating ridge rather than etching directly into the glass substrate. It has been observed that average powers as low as 1W in a compressor can cause distortions in the on-target beam. We have developed and tested a method of actively cooling diffraction gratings which, in the case of gold gratings, can support a petawatt peak power laser with up to 600W average power. We demonstrated thermo-mechanical modeling of a grating in its use environment and benchmarked with experimental measurement. Multilayer dielectric (MLD) gratings are not yet used for these high peak power, ultrashort pulse durations due to their design challenges. We have designed and fabricated broad bandwidth, low dispersion MLD gratings suitable for delivering 30 fs pulses at high average power. This new grating design requires the use of a novel Out Of Plane (OOP) compressor, which we have modeled, designed, built and tested. This prototype compressor yielded a transmission of 90% for a pulse with 45 nm bandwidth, and free of spatial and angular chirp. In order to evaluate gratings and compressors built in this project we have commissioned a joule-class ultrafast Ti:Sapphire laser system. Combining the grating cooling and MLD technologies developed here could enable petawatt laser systems to

  13. Highly efficient blazed grating with multilayer coating for tender X-ray energies

    NARCIS (Netherlands)

    Senf, F.; Bijkerk, Frederik; Eggenstein, F.; Gwalt, G.; Huang, Qiushi; van de Kruijs, Robbert Wilhelmus Elisabeth; Kutz, O.; Lemke, S.; Louis, Eric; Mertin, M.; Packe, I.; Rudolph, I.; Schafers, F.; Siewert, F.; Sokolov, A.; Sturm, Jacobus Marinus; Waberski, C.; Wang, Z.; Wolf, J.; Zeschke, T.; Erko, A.

    2016-01-01

    For photon energies of 1 – 5 keV, blazed gratings with multilayer coating are ideally suited for the suppression of stray and higher orders light in grating monochromators. We developed and characterized a blazed 2000 lines/mm grating coated with a 20 period Cr/C- multilayer. The multilayer

  14. Field analysis of two-dimensional focusing grating

    OpenAIRE

    Borsboom, P.P.; Frankena, H.J.

    1995-01-01

    The method that we have developed [P-P. Borsboom, Ph.D. dissertation (Delft University of Technology, Delft, The Netherlands); P-P. Borsboom and H. J. Frankena, J. Opt. Soc. Am. A 12, 1134–1141 (1995)] is successfully applied to a two-dimensional focusing grating coupler. The field in the focal region has been determined for symmetrical chirped gratings consisting of as many as 124 corrugations. The intensity distribution in the focal region agrees well with the approximate predictions of geo...

  15. Trapezoidal diffraction grating beam splitters in single crystal diamond

    Science.gov (United States)

    Kiss, Marcell; Graziosi, Teodoro; Quack, Niels

    2018-02-01

    Single Crystal Diamond has been recognized as a prime material for optical components in high power applications due to low absorption and high thermal conductivity. However, diamond microstructuring remains challenging. Here, we report on the fabrication and characterization of optical diffraction gratings exhibiting a symmetric trapezoidal profile etched into a single crystal diamond substrate. The optimized grating geometry diffracts the transmitted optical power into precisely defined proportions, performing as an effective beam splitter. We fabricate our gratings in commercially available single crystal CVD diamond plates (2.6mm x 2.6mm x 0.3mm). Using a sputter deposited hard mask and patterning by contact lithography, the diamond is etched in an inductively coupled oxygen plasma with zero platen power. The etch process effectively reveals the characteristic {111} diamond crystal planes, creating a precisely defined angled (54.7°) profile. SEM and AFM measurements of the fabricated gratings evidence the trapezoidal shape with a pitch of 3.82μm, depth of 170 nm and duty cycle of 35.5%. Optical characterization is performed in transmission using a 650nm laser source perpendicular to the sample. The recorded transmitted optical power as function of detector rotation angle shows a distribution of 21.1% in the 0th order and 23.6% in each +/-1st order (16.1% reflected, 16.6% in higher orders). To our knowledge, this is the first demonstration of diffraction gratings with trapezoidal profile in single crystal diamond. The fabrication process will enable beam splitter gratings of custom defined optical power distribution profiles, while antireflection coatings can increase the efficiency.

  16. Linear Fresnel Spectrometer Chip with Gradient Line Grating

    Science.gov (United States)

    Choi, Sang Hyouk (Inventor); Park, Yeonjoon (Inventor)

    2015-01-01

    A spectrometer that includes a grating that disperses light via Fresnel diffraction according to wavelength onto a sensing area that coincides with an optical axis plane of the grating. The sensing area detects the dispersed light and measures the light intensity associated with each wavelength of the light. Because the spectrometer utilizes Fresnel diffraction, it can be miniaturized and packaged as an integrated circuit.

  17. Optimization of top coupling grating for very long wavelength QWIP based on surface plasmon

    Science.gov (United States)

    Wang, Guodong; Shen, Junling; Liu, Xiaolian; Ni, Lu; Wang, Saili

    2017-09-01

    The relative coupling efficiency of two-dimensional (2D) grating based on surface plasmon for very long wavelength quantum well infrared detector is analyzed by using the three-dimensional finite-difference time domain (3D-FDTD) method algorithm. The relative coupling efficiency with respect to the grating parameters, such as grating pitch, duty ratio, and grating thickness, is analyzed. The calculated results show that the relative coupling efficiency would reach the largest value for the 14.5 μm incident infrared light when taking the grating pitch as 4.4 μm, the duty ratio as 0.325, and the grating thickness as 0.07 μm, respectively.

  18. Performance of the SURF-II high-throughput toroidal grating monochromator

    International Nuclear Information System (INIS)

    Kurtz, R.L.; Ederer, D.L.; Barth, J.; Stockbauer, R.

    1988-01-01

    The performance of the 'high-flux' toroidal grating monochromator (HFTGM) at the NBS SURF-II synchrotron storage ring is assessed. Two gratings are studied: One with a ruled profile and the other having a laminar profile. The laminar profile is shown to reduce substantially the intensity of higher-order diffracted light with only a small decrease in the intensity of the first order light. The dependence of the energy resolution as a function of the area of the grating illuminated is also discussed. (orig.)

  19. Smith-Purcell radiation from a grating of negative-index material

    International Nuclear Information System (INIS)

    Li, D.; Hangyo, M.; Yang, Z.; Asakawa, M.R.; Miyamoto, S.; Tsunawaki, Y.; Takano, K.; Imasaki, K.

    2011-01-01

    Smith-Purcell radiation from an electric line charge that moves, at constant speed, parallel to a grating made of metamaterial with negative index is analyzed. Through theoretical analysis and computations, we show that the Smith-Purcell radiation is stronger from a grating of negative-index material, than that from a positive-index material and perfect conductor. Also, we found that the radiation strongly depends on the values of permeability and permittivity. Calculations on grating with saw-like profile and rectangular profile are also carried out and demonstrated.

  20. A reconfigurable optofluidic Michelson interferometer using tunable droplet grating.

    Science.gov (United States)

    Chin, L K; Liu, A Q; Soh, Y C; Lim, C S; Lin, C L

    2010-04-21

    This paper presents a novel optofluidic Michelson interferometer based on droplet microfluidics used to create a droplet grating. The droplet grating is formed by a stream of plugs in the microchannel with constant refractive index variation. It has a real-time tunability in the grating period through varying the flow rates of the liquids and index variation via different combinations of liquids. The optofluidic Michelson interferometer is highly sensitive and is suitable for the measurement of biomedical and biochemical buffer solutions. The experimental results show that it has a sensitivity of 66.7 nm per refractive index unit (RIU) and a detection range of 0.086 RIU.

  1. Aplanatic grazing incidence diffraction grating: a new optical element

    International Nuclear Information System (INIS)

    Hettrick, M.C.

    1986-01-01

    We present the theory of a grazing incidence reflection grating capable of imaging at submicron resolution. The optic is mechanically ruled on a spherical or cylindrical surface with varied groove spacings, delivering diffraction-limited response and a wide field of view at a selected wavelength. Geometrical aberrations are calculated on the basis of Fermat's principle, revealing significant improvements over a grazing incidence mirror. Aplanatic and quasi-aplanatic versions of the grating have applications in both imaging and scanning microscopes, microprobes, collimators, and telescopes. A 2-D crossed system of such gratings, similar to the grazing incidence mirror geometry of Kirkpatrick and Baez, could potentially provide spatial resolutions of --200 A

  2. Biphotonic holographic gratings in azobenzene polyesters: Surface relief phenomena and polarization effects

    DEFF Research Database (Denmark)

    Sánchez, C.; Alcalá, R.; Hvilsted, Søren

    2000-01-01

    Biphotonic holographic gratings have been recorded in a side-chain azobenzene liquid crystalline polyester using a blue incoherent source and a He-Ne laser. Intensity gratings and the appearance of surface relief have been observed when two linearly polarized beams from a He-Ne laser are made...... to interfere on a film illuminated with blue light. Polarized holographic gratings are also created with two orthogonally circularly polarized He-Ne beams. All these gratings are stable in darkness but can be erased with blue light. (C) 2000 American Institute of Physics....

  3. Rocket flight of a multilayer coated high-density EUV toroidal grating

    Science.gov (United States)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  4. Mass production of volume phase holographic gratings for the VIRUS spectrograph array

    Science.gov (United States)

    Chonis, Taylor S.; Frantz, Amy; Hill, Gary J.; Clemens, J. Christopher; Lee, Hanshin; Tuttle, Sarah E.; Adams, Joshua J.; Marshall, J. L.; DePoy, D. L.; Prochaska, Travis

    2014-07-01

    The Visible Integral-field Replicable Unit Spectrograph (VIRUS) is a baseline array of 150 copies of a simple, fiber-fed integral field spectrograph that will be deployed on the Hobby-Eberly Telescope (HET). VIRUS is the first optical astronomical instrument to be replicated on an industrial scale, and represents a relatively inexpensive solution for carrying out large-area spectroscopic surveys, such as the HET Dark Energy Experiment (HETDEX). Each spectrograph contains a volume phase holographic (VPH) grating with a 138 mm diameter clear aperture as its dispersing element. The instrument utilizes the grating in first-order for 350 VPH gratings has been mass produced for VIRUS. Here, we present the design of the VIRUS VPH gratings and a discussion of their mass production. We additionally present the design and functionality of a custom apparatus that has been used to rapidly test the first-order diffraction efficiency of the gratings for various discrete wavelengths within the VIRUS spectral range. This device has been used to perform both in-situ tests to monitor the effects of adjustments to the production prescription as well as to carry out the final acceptance tests of the gratings' diffraction efficiency. Finally, we present the as-built performance results for the entire suite of VPH gratings.

  5. Optimizing a cleaning process for multilayer-dielectric- (MLD) diffraction gratings

    Energy Technology Data Exchange (ETDEWEB)

    Ashe, B. [Univ. of Rochester, NY (United States); Giacofei, C. [Univ. of Rochester, NY (United States); Myhre, G. [Univ. of Rochester, NY (United States); Schmid, A. W. [Univ. of Rochester, NY (United States)

    2007-12-20

    An essential component for the OMEGA EP short-pulse petawatt laser system is the grating compressor chamber (GCC). This large (12,375 ft3) vacuum chamber contains critical optics where laser-pulse compression is performed at the output of the system on two 40-cm-sq-aperture, IR (1054-nm) laser beams. Critical to this compression, within the GCC, are four sets of tiled multilayer-dielectric- (MLD) diffraction gratings that provide the capability for producing 2.6-kJ output IR energy per beam at 10 ps. The primary requirements for these large-aperture (43-cm × 47-cm) gratings are diffraction efficiencies greater than 95%, peak-to-valley wavefront quality of less than λ/10 waves, and laser-induced-damage thresholds greater than 2.7 J/cm2 at 10-ps measured beam normal. Degradation of the grating laser-damage threshold due to adsorption of contaminants from the manufacturing process must be prevented to maintain system performance. In this paper we discuss an optimized cleaning process to achieve the OMEGA EP requirements. The fabrication of MLD gratings involves processes that utilize a wide variety of both organic materials (photoresist processes) and inorganic materials (metals and metal oxides) that can affect the final cleaning process. Finally, a number of these materials have significant optical absorbance; therefore, incomplete cleaning of these residues may result in the MLD gratings experiencing laser damage.

  6. Grating stimulated echo

    International Nuclear Information System (INIS)

    Dubetsky, B.; Berman, P.R.; Sleator, T.

    1992-01-01

    A theory of a grating simulated echo (GTE) is developed. The GSE involves the sequential excitation of atoms by two counterpropagating traveling waves, a standing wave, and a third traveling wave. It is shown that the echo signal is very sensitive to small changes in atomic velocity, much more sensitive than the normal stimulated echo. Use of the GSE as a collisional probe or accelerometer is discussed

  7. Reconfigurable terahertz grating with enhanced transmission of TE polarized light

    Directory of Open Access Journals (Sweden)

    J. W. He

    2017-07-01

    Full Text Available We demonstrate an optically reconfigurable grating with enhanced transmission of TE-polarized waves in the terahertz (THz waveband. This kind of grating is realized by projecting a grating image onto a thin Si wafer with a digital micromirror device (DMD. The enhanced transmission is caused by a resonance of the electromagnetic fields between the photoexcited strips. The position of the transmission peak shifts with the variation of the period and duty cycle of the photoinduced grating, which can be readily controlled by the DMD. Furthermore, a flattened Gaussian model was applied to describe the distribution of the photoexcited free carriers in the Si wafer, and the simulated transmittance spectra are shown to be in good agreement with the experimental results. In future, the photoexcited carriers could also be used to produce THz diffractive elements with reconfigurable functionality.

  8. Numerical modelling of a straw-fired grate boiler

    DEFF Research Database (Denmark)

    Kær, Søren Knudsen

    2004-01-01

    The paper presents a computational fluid dynamics (CFD) analysis of a 33 MW straw-fired grate boiler. Combustion on the grate plays akey-role in the analysis of these boilers and in this work a stand-alone code was used to provide inlet conditions for the CFD analysis. Modelpredictions were compa...... mixing in the furnace is a key issue leading to these problems. q 2003 Elsevier Ltd. All rights reserved....

  9. Asymmetric diffraction by atomic gratings with optical PT symmetry in the Raman-Nath regime

    Science.gov (United States)

    Shui, Tao; Yang, Wen-Xing; Liu, Shaopeng; Li, Ling; Zhu, Zhonghu

    2018-03-01

    We propose and analyze an efficient scheme for the lopsided Raman-Nath diffraction of one-dimensional (1 D ) and two-dimensional (2 D ) atomic gratings with periodic parity-time (PT )-symmetric refractive index. The atomic grating is constructed by the cold-atomic vapor with two isotopes of rubidium, which is driven by weak probe field and space-dependent control field. Using experimentally achievable parameters, we identify the conditions under which PT -symmetric refractive index allows us to observe the lopsided Raman-Nath diffraction phenomenon and improve the diffraction efficiencies beyond what is achievable in a conventional atomic grating. The nontrivial atomic grating is a superposition of an amplitude grating and a phase grating. It is found that the lopsided Raman-Nath diffraction at the exceptional point (EP) of PT -symmetric grating originates from constructive and destructive interferences between the amplitude and phase gratings. Furthermore, we show that the PT -phase transition from unbroken to broken PT -symmetric regimes can modify the asymmetric distribution of the diffraction spectrum and that the diffraction efficiencies in the non-negative diffraction orders can be significantly enhanced when the atomic grating is pushed into a broken PT -symmetric phase. In addition, we also analyze the influence of the grating thickness on the diffraction spectrum. Our scheme may provide the possibility to design a gain-beam splitter with tunable splitting ratio and other optical components in integrated optics.

  10. Analysis of the optical parameters of phase holographic gratings

    Directory of Open Access Journals (Sweden)

    Є.О. Тихонов

    2008-03-01

    Full Text Available  Suitability of 2- wave approximation of the coupled waves theory tor description of holographic phase gratings recorded on photopolymer compound ФПК-488 is proved. Using the basic formulas of the theory, main grating optical parameters - a depth of modulation and finished thickness are not measured immediately are determined.

  11. Fiber Bragg Grating Based System for Temperature Measurements

    Science.gov (United States)

    Tahir, Bashir Ahmed; Ali, Jalil; Abdul Rahman, Rosly

    In this study, a fiber Bragg grating sensor for temperature measurement is proposed and experimentally demonstrated. In particular, we point out that the method is well-suited for monitoring temperature because they are able to withstand a high temperature environment, where standard thermocouple methods fail. The interrogation technologies of the sensor systems are all simple, low cost and effective as well. In the sensor system, fiber grating was dipped into a water beaker that was placed on a hotplate to control the temperature of water. The temperature was raised in equal increments. The sensing principle is based on tracking of Bragg wavelength shifts caused by the temperature change. So the temperature is measured based on the wavelength-shifts of the FBG induced by the heating water. The fiber grating is high temperature stable excimer-laser-induced grating and has a linear function of wavelength-temperature in the range of 0-285°C. A dynamic range of 0-285°C and a sensitivity of 0.0131 nm/°C almost equal to that of general FBG have been obtained by this sensor system. Furthermore, the correlation of theoretical analysis and experimental results show the capability and feasibility of the purposed technique.

  12. Single-Molecule Detection in Nanogap-Embedded Plasmonic Gratings

    Directory of Open Access Journals (Sweden)

    Biyan Chen

    2015-07-01

    Full Text Available We introduce nanogap-embedded silver plasmonic gratings for single-molecule (SM visualization using an epifluorescence microscope. This silver plasmonic platform was fabricated by a cost-effective nano-imprint lithography technique, using an HD DVD template. DNA/ RNA duplex molecules tagged with Cy3/Cy5 fluorophores were immobilized on SiO 2 -capped silver gratings. Light was coupled to the gratings at particular wavelengths and incident angles to form surface plasmons. The SM fluorescence intensity of the fluorophores at the nanogaps showed approximately a 100-fold mean enhancement with respect to the fluorophores observed on quartz slides using an epifluorescence microscope. This high level of enhancement was due to the concentration of surface plasmons at the nanogaps. When nanogaps imaged with epifluorescence mode were compared to quartz imaged using total internal reflection fluorescence (TIRF microscopy, more than a 30-fold mean enhancement was obtained. Due to the SM fluorescence enhancement of plasmonic gratings and the correspondingly high emission intensity, the required laser power can be reduced, resulting in a prolonged detection time prior to photobleaching. This simple platform was able to perform SM studies with a low-cost epifluorescence apparatus, instead of the more expensive TIRF or confocal microscopes, which would enable SM analysis to take place in most scientific laboratories.

  13. Mid-infrared volume diffraction gratings in IG2 chalcogenide glass: fabrication, characterization, and theoretical verification

    Science.gov (United States)

    Butcher, Helen L.; MacLachlan, David G.; Lee, David; Brownsword, Richard A.; Thomson, Robert R.; Weidmann, Damien

    2018-02-01

    Ultrafast laser inscription (ULI) has previously been employed to fabricate volume diffraction gratings in chalcogenide glasses, which operate in transmission mode in the mid-infrared spectral region. Prior gratings were manufactured for applications in astrophotonics, at wavelengths around 2.5 μm. Rugged volume gratings also have potential use in remote atmospheric sensing and molecular spectroscopy; for these applications, longer wavelength operation is required to coincide with atmospheric transparency windows (3-5 μm) and intense ro-vibrational molecular absorption bands. We report on ULI gratings inscribed in IG2 chalcogenide glass, enabling access to the full 3-5 μm window. High-resolution broadband spectral characterization of fabricated gratings was performed using a Fourier transform spectrometer. The zeroth order transmission was characterized to derive the diffraction efficiency into higher orders, up to the fourth orders in the case of gratings optimized for first order diffraction at 3 μm. The outcomes imply that ULI in IG2 is well suited for the fabrication of volume gratings in the mid infrared, providing the impact of the ULI fabrication parameters on the grating properties are well understood. To develop this understanding, grating modeling was conducted. Parameters studied include grating thickness, refractive index modification, and aspect ratio of the modulation achieved by ULI. Knowledge of the contribution and sensitivity of these parameters was used to inform the design of a 4.3 μm grating expected to achieve > 95% first order efficiency. We will also present the characterization of these latest mid-infrared diffraction gratings in IG2.

  14. A Soft X-ray Spectrometer using a Highly Dispersive Multilayer Grating

    International Nuclear Information System (INIS)

    Warwick, Tony; Padmore, Howard; Voronov, Dmitriy; Yashchuk, Valeriy

    2010-01-01

    There is a need for higher resolution spectrometers as a tool for inelastic x-ray scattering. Currently, resolving power around R = 10,000 is advertised. Measured RIXS spectra are often limited by this instrumental resolution and higher resolution spectrometers using conventional gratings would be prohibitively large. We are engaged in a development program to build blazed multilayer grating structures for diffracting soft x-rays in high order. This leads to spectrometers with dispersion much higher than is possible using metal coated-gratings. The higher dispersion then provides higher resolution and the multilayer gratings are capable of operating away from grazing incidence as required. A spectrometer design is presented with a total length 3.8 m and capable of 10 5 resolving power.

  15. Excitation of surface electromagnetic waves in a graphene-based Bragg grating.

    Science.gov (United States)

    Sreekanth, Kandammathe Valiyaveedu; Zeng, Shuwen; Shang, Jingzhi; Yong, Ken-Tye; Yu, Ting

    2012-01-01

    Here, we report the fabrication of a graphene-based Bragg grating (one-dimensional photonic crystal) and experimentally demonstrate the excitation of surface electromagnetic waves in the periodic structure using prism coupling technique. Surface electromagnetic waves are non-radiative electromagnetic modes that appear on the surface of semi-infinite 1D photonic crystal. In order to fabricate the graphene-based Bragg grating, alternating layers of high (graphene) and low (PMMA) refractive index materials have been used. The reflectivity plot shows a deepest, narrow dip after total internal reflection angle corresponds to the surface electromagnetic mode propagating at the Bragg grating/air boundary. The proposed graphene based Bragg grating can find a variety of potential surface electromagnetic wave applications such as sensors, fluorescence emission enhancement, modulators, etc.

  16. A Soft X-ray Spectrometer using a Highly Dispersive Multilayer Grating

    International Nuclear Information System (INIS)

    Warwick, Tony; Padmore, Howard; Voronov, Dmitriy; Yashchuk, Valeriy

    2010-01-01

    There is a need for higher resolution spectrometers as a tool for inelastic x-ray scattering. Currently, resolving power around R = 10,000 is advertised. Measured RIXS spectra are often limited by this instrumental resolution and higher resolution spectrometers using conventional gratings would be prohibitively large. We are engaged in a development program to build blazed multilayer grating structures for diffracting soft x-rays in high order. This leads to spectrometers with dispersion much higher than is possible using metal coated-gratings. The higher dispersion then provides higher resolution and the multilayer gratings are capable of operating away from grazing incidence as required. A spectrometer design is presented with a total length 3.8m and capable of 10 5 resolving power.

  17. System and technique for characterizing fluids using ultrasonic diffraction grating spectroscopy

    Science.gov (United States)

    Greenwood, Margaret S [Richland, WA

    2008-07-08

    A system for determining property of multiphase fluids based on ultrasonic diffraction grating spectroscopy includes a diffraction grating on a solid in contact with the fluid. An interrogation device delivers ultrasound through the solid and a captures a reflection spectrum from the diffraction grating. The reflection spectrum exhibits peaks whose relative size depends on the properties of the various phases of the multiphase fluid. For example, for particles in a liquid, the peaks exhibit dependence on the particle size and the particle volume fraction. Where the exact relationship is know know a priori, data from different peaks of the same reflection spectrum or data from the peaks of different spectra obtained from different diffraction gratings can be used to resolve the size and volume fraction.

  18. Bragg gratings: Optical microchip sensors

    Science.gov (United States)

    Watts, Sam

    2010-07-01

    A direct UV writing technique that can create multiple Bragg gratings and waveguides in a planar silica-on-silicon chip is enabling sensing applications ranging from individual disposable sensors for biotechnology through to multiplexed sensor networks in pharmaceutical manufacturing.

  19. A rotated transmission grating spectrometer for detecting spectral separation of doublet Na

    Energy Technology Data Exchange (ETDEWEB)

    Santosa, Ignatius Edi [Department of Physics Education, Sanata Dharma University, Paingan Maguwohardjo Depok Sleman, Yogyakarta 55281, Indonesia edi@usd.ac.id (Indonesia)

    2015-04-16

    Transmission gratings are usually used in a spectrometer for measuring the wavelength of light. In the common design, the position of the grating is perpendicular to the incident light. In order to increase the angular dispersion, in contrary to the common design, in this experiment the transmission grating was rotated. Due to the non-zero incident angle, the diffracted light was shifted. This rotated transmission grating spectrometer has been used to determine the separation of doublet Na. In this experiment, the diffraction angle was measured at various incident angles. The spectral separation of doublet Na was identified from the difference in the diffraction angle of two spectral lines. This spectral separation depends on the incident angle, the grating constant and the order of diffraction. As the effect of increasing the incident angle, a significant increase of the spectral separation can be achieved up to three fold.

  20. A rotated transmission grating spectrometer for detecting spectral separation of doublet Na

    International Nuclear Information System (INIS)

    Santosa, Ignatius Edi

    2015-01-01

    Transmission gratings are usually used in a spectrometer for measuring the wavelength of light. In the common design, the position of the grating is perpendicular to the incident light. In order to increase the angular dispersion, in contrary to the common design, in this experiment the transmission grating was rotated. Due to the non-zero incident angle, the diffracted light was shifted. This rotated transmission grating spectrometer has been used to determine the separation of doublet Na. In this experiment, the diffraction angle was measured at various incident angles. The spectral separation of doublet Na was identified from the difference in the diffraction angle of two spectral lines. This spectral separation depends on the incident angle, the grating constant and the order of diffraction. As the effect of increasing the incident angle, a significant increase of the spectral separation can be achieved up to three fold

  1. Terahertz bandwidth photonic Hilbert transformers based on synthesized planar Bragg grating fabrication.

    Science.gov (United States)

    Sima, Chaotan; Gates, J C; Holmes, C; Mennea, P L; Zervas, M N; Smith, P G R

    2013-09-01

    Terahertz bandwidth photonic Hilbert transformers are proposed and experimentally demonstrated. The integrated device is fabricated via a direct UV grating writing technique in a silica-on-silicon platform. The photonic Hilbert transformer operates at bandwidths of up to 2 THz (~16 nm) in the telecom band, a 10-fold greater bandwidth than any previously reported experimental approaches. Achieving this performance requires detailed knowledge of the system transfer function of the direct UV grating writing technique; this allows improved linearity and yields terahertz bandwidth Bragg gratings with improved spectral quality. By incorporating a flat-top reflector and Hilbert grating with a waveguide coupler, an ultrawideband all-optical single-sideband filter is demonstrated.

  2. Transmitted wavefront error of a volume phase holographic grating at cryogenic temperature.

    Science.gov (United States)

    Lee, David; Taylor, Gordon D; Baillie, Thomas E C; Montgomery, David

    2012-06-01

    This paper describes the results of transmitted wavefront error (WFE) measurements on a volume phase holographic (VPH) grating operating at a temperature of 120 K. The VPH grating was mounted in a cryogenically compatible optical mount and tested in situ in a cryostat. The nominal root mean square (RMS) wavefront error at room temperature was 19 nm measured over a 50 mm diameter test aperture. The WFE remained at 18 nm RMS when the grating was cooled. This important result demonstrates that excellent WFE performance can be obtained with cooled VPH gratings, as required for use in future cryogenic infrared astronomical spectrometers planned for the European Extremely Large Telescope.

  3. Multiple Order Diffractions by laser-Injured Transient Grating in Nematic MBBA Film

    International Nuclear Information System (INIS)

    Kim, Seong Kyu; Kim, Hack Jin

    1999-01-01

    The laser-induced transient grating method is applied to study the dynamics of the nematic MBBA film. The nanosecond laser pulses of 355 nm are used to make the transient grating and the cw He-Ne laser of 633 nm is used to probe the dynamics. Strong multiple order diffractions are observed at high nematic temperatures. The reordering process induced by the phototransformed state, which is the locally melted state from the nematic sample, is attributed to the main origin of the multiple order diffractions from the nematic MBBA. The characteristics of the multiple order gratings are discussed with the grating profiles simulated from the multiple diffraction signals

  4. Reconstruction of fiber grating refractive-index profiles from complex bragg reflection spectra.

    Science.gov (United States)

    Huang, D W; Yang, C C

    1999-07-20

    Reconstruction of the refractive-index profiles of fiber gratings from their complex Bragg reflection spectra is experimentally demonstrated. The amplitude and phase of the complex reflection spectrum were measured with a balanced Michelson interferometer. By integrating the coupled-mode equations, we built the relationship between the complex coupling coefficient and the complex reflection spectrum as an iterative algorithm for reconstructing the index profile. This method is expected to be useful for reconstructing the index profiles of fiber gratings with any apodization, chirp, or dc structures. An apodized chirped grating and a uniform grating with a depression of index modulation were used to demonstrate the technique.

  5. Fabrication of high-resolution reflective scale grating for an optical encoder using a patterned self-assembly process

    International Nuclear Information System (INIS)

    Fan, Shanjin; Jiang, Weitao; Li, Xuan; Yu, Haoyu; Lei, Biao; Shi, Yongsheng; Yin, Lei; Chen, Bangdao; Liu, Hongzhong

    2016-01-01

    Steel tape scale grating of a reflective incremental linear encoder has a key impact on the measurement accuracy of the optical encoder. However, it is difficult for conventional manufacturing processes to fabricate scale grating with high-resolution grating strips, due to process and material problems. In this paper, self-assembly technology was employed to fabricate high-resolution steel tape scale grating for a reflective incremental linear encoder. Graphene oxide nanoparticles were adopted to form anti-reflective grating strips of steel tape scale grating. They were deposited in the tape, which had a hydrophobic and hydrophilic grating pattern when the dispersion of the nanoparticles evaporated. A standard lift-off process was employed to fabricate the hydrophobic grating strips on the steel tape. Simultaneously, the steel tape itself presents a hydrophilic property. The hydrophobic and hydrophilic grating pattern was thus obtained. In this study, octafluorocyclobutane was used to prepare the hydrophobic grating strips, due to its hydrophobic property. High-resolution graphene oxide steel tape scale grating with a pitch of 20 μ m was obtained through the self-assembly process. The photoelectric signals of the optical encoder containing the graphene oxide scale grating and conventional scale grating were tested under the same conditions. Comparison test results showed that the graphene oxide scale grating has a better performance in its amplitude and harmonic components than that of the conventional steel tape scale. A comparison experiment of position errors was also conducted, demonstrating an improvement in the positioning error of the graphene oxide scale grating. The comparison results demonstrated the applicability of the proposed self-assembly process to fabricate high-resolution graphene oxide scale grating for a reflective incremental linear encoder. (paper)

  6. Moiré phase-shifted fiber Bragg gratings in polymer optical fibers

    Science.gov (United States)

    Min, Rui; Marques, Carlos; Bang, Ole; Ortega, Beatriz

    2018-03-01

    We demonstrate a simple way to fabricate phase-shifted fiber Bragg grating in polymer optical fibers as a narrowband transmission filter for a variety of applications at telecom wavelengths. The filters have been fabricated by overlapping two uniform fiber Bragg gratings with slightly different periods to create a Moiré grating with only two pulses (one pulse is 15 ns) of UV power. Experimental characterization of the filter is provided under different conditions where the strain and temperature sensitivities were measured.

  7. Grating scattering BRDF and imaging performances: A test survey performed in the frame of the flex mission

    Science.gov (United States)

    Harnisch, Bernd; Deep, Atul; Vink, Ramon; Coatantiec, Claude

    2017-11-01

    Key components in optical spectrometers are the gratings. Their influence on the overall infield straylight of the spectrometer depends not only on the technology used for grating fabrication but also on the potential existence of ghost images caused by irregularities of the grating constant. For the straylight analysis of spectrometer no general Bidirectional Reflectance Distribution Function (BRDF) model of gratings exist, as it does for optically smooth surfaces. These models are needed for the determination of spectrometer straylight background and for the calculation of spectrometer out of band rejection performances. Within the frame of the Fluorescence Earth Explorer mission (FLEX), gratings manufactured using different technologies have been investigated in terms of straylight background and imaging performance in the used diffraction order. The gratings which have been investigated cover a lithographically written grating, a volume Bragg grating, two holographic gratings and an off-the-shelf ruled grating. In this paper we present a survey of the measured bidirectional reflectance/transmittance distribution function and the determination of an equivalent surface micro-roughness of the gratings, describing the scattering of the grating around the diffraction order. This is specifically needed for the straylight modeling of the spectrometer.

  8. Fabrication of 200 nanometer period centimeter area hard x-ray absorption gratings by multilayer deposition

    Science.gov (United States)

    Lynch, S K; Liu, C; Morgan, N Y; Xiao, X; Gomella, A A; Mazilu, D; Bennett, E E; Assoufid, L; de Carlo, F; Wen, H

    2012-01-01

    We describe the design and fabrication trials of x-ray absorption gratings of 200 nm period and up to 100:1 depth-to-period ratios for full-field hard x-ray imaging applications. Hard x-ray phase-contrast imaging relies on gratings of ultra-small periods and sufficient depth to achieve high sensitivity. Current grating designs utilize lithographic processes to produce periodic vertical structures, where grating periods below 2.0 μm are difficult due to the extreme aspect ratios of the structures. In our design, multiple bilayers of x-ray transparent and opaque materials are deposited on a staircase substrate, and mostly on the floor surfaces of the steps only. When illuminated by an x-ray beam horizontally, the multilayer stack on each step functions as a micro-grating whose grating period is the thickness of a bilayer. The array of micro-gratings over the length of the staircase works as a single grating over a large area when continuity conditions are met. Since the layers can be nanometers thick and many microns wide, this design allows sub-micron grating periods and sufficient grating depth to modulate hard x-rays. We present the details of the fabrication process and diffraction profiles and contact radiography images showing successful intensity modulation of a 25 keV x-ray beam. PMID:23066175

  9. Large-Aperture Grating Tiling by Interferometry for Petawatt Chirped-Pulse--Amplification Systems

    International Nuclear Information System (INIS)

    Qiao, J.; Kalb, A.; Guardalben, M.J.; King, G.; Canning. D.; Kelly, J.H.

    2007-01-01

    A tiled-grating assembly with three large-scale gratings is developed with real-time interferometric tiling control for the OMEGA EP Laser Facility. An automatic tiling method is achieved and used to tile a three-tile grating assembly with the overall wavefront reconstructed. Tiling parameters sensitivity and focal-spot degradation from all combined tiling errors are analyzed for a pulse compressor composed of four such assemblies

  10. Zeonex Microstructured Polymer Optical Fibre Bragg Grating Sensor

    DEFF Research Database (Denmark)

    Woyessa, Getinet; Fasano, Andrea; Markos, Christos

    2016-01-01

    We fabricated an endlessly single mode and humidity insensitive Zeonex microstructured polymer optical fibre (mPOF) for fibre Bragg grating (FBG) temperature and strain sensors. We inscribed and characterise FBGs in Zeonex mPOF for the first time.......We fabricated an endlessly single mode and humidity insensitive Zeonex microstructured polymer optical fibre (mPOF) for fibre Bragg grating (FBG) temperature and strain sensors. We inscribed and characterise FBGs in Zeonex mPOF for the first time....

  11. Resonant quantum efficiency enhancement of midwave infrared nBn photodetectors using one-dimensional plasmonic gratings

    International Nuclear Information System (INIS)

    Nolde, Jill A.; Kim, Chul Soo; Jackson, Eric M.; Ellis, Chase T.; Abell, Joshua; Glembocki, Orest J.; Canedy, Chadwick L.; Tischler, Joseph G.; Vurgaftman, Igor; Meyer, Jerry R.; Aifer, Edward H.; Kim, Mijin

    2015-01-01

    We demonstrate up to 39% resonant enhancement of the quantum efficiency (QE) of a low dark current nBn midwave infrared photodetector with a 0.5 μm InAsSb absorber layer. The enhancement was achieved by using a 1D plasmonic grating to couple incident light into plasmon modes propagating in the plane of the device. The plasmonic grating is composed of stripes of deposited amorphous germanium overlaid with gold. Devices with and without gratings were processed side-by-side for comparison of their QEs and dark currents. The peak external QE for a grating device was 29% compared to 22% for a mirror device when the illumination was polarized perpendicularly to the grating lines. Additional experiments determined the grating coupling efficiency by measuring the reflectance of analogous gratings deposited on bare GaSb substrates

  12. Investigation on the properties of a laminar grating as a soft x-ray beam splitter

    International Nuclear Information System (INIS)

    Liu Ying; Fuchs, Hans-Joerg; Liu Zhengkun; Chen Huoyao; He Shengnan; Fu Shaojun; Kley, Ernst-Bernhard; Tuennermann, Andreas

    2010-01-01

    Laminar-type gratings as soft x-ray beam splitters for interferometry are presented. Gold-coated grating beam splitters with 1000 lines/mm are designed for grazing incidence operation at 13.9nm. They are routinely fabricated using electron beam lithography and ion etching techniques. The laminar grating is measured to have almost equal absolute efficiencies of about 20% in the zeroth and -1st orders, which enables a fringe visibility up to 0.99 in the interferometer. The discrepancy of the grating profiles between the optimized theoretical and the experimental results is analyzed according to the comparison of the optimized simulation results and the measurement realization of the grating efficiencies. By a precise control of the grating profile, the grating efficiency in the -1st order and the fringe visibility could be improved to 25% and 1, respectively.

  13. Time-dependent Bragg diffraction by multilayer gratings

    International Nuclear Information System (INIS)

    André, Jean-Michel; Jonnard, Philippe

    2016-01-01

    Time-dependent Bragg diffraction by multilayer gratings working by reflection or by transmission is investigated. The study is performed by generalizing the time-dependent coupled-wave theory previously developed for one-dimensional photonic crystals (André J-M and Jonnard P 2015 J. Opt. 17 085609) and also by extending the Takagi–Taupin approach of the dynamical theory of diffraction. The indicial response is calculated. It presents a time delay with a transient time that is a function of the extinction length for reflection geometry and of the extinction length combined with the thickness of the grating for transmission geometry. (paper)

  14. Diffraction efficiency of plasmonic gratings fabricated by electron beam lithography using a silver halide film

    Energy Technology Data Exchange (ETDEWEB)

    Sudheer,, E-mail: sudheer@rrcat.gov.in, E-mail: sudheer.rrcat@gmail.com; Tiwari, P.; Srivastava, Himanshu; Rai, V. N.; Srivastava, A. K.; Naik, P. A. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Indus Synchrotrons Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Porwal, S. [Solid State Lasers Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Bhartiya, S. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Laser Materials Development and Device Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Rao, B. T. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Sharma, T. K. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Solid State Lasers Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India)

    2016-07-28

    The silver nanoparticle surface relief gratings of ∼10 μm period are fabricated using electron beam lithography on the silver halide film substrate. Morphological characterization of the gratings shows that the period, the shape, and the relief depth in the gratings are mainly dependent on the number of lines per frame, the spot size, and the accelerating voltage of electron beam raster in the SEM. Optical absorption of the silver nanoparticle gratings provides a broad localized surface plasmon resonance peak in the visible region, whereas the intensity of the peaks depends on the number density of silver nanoparticles in the gratings. The maximum efficiency of ∼7.2% for first order diffraction is observed for the grating fabricated at 15 keV. The efficiency is peaking at 560 nm with ∼380 nm bandwidth. The measured profiles of the diffraction efficiency for the gratings are found in close agreement with the Raman-Nath diffraction theory. This technique provides a simple and efficient method for the fabrication of plasmonic nanoparticle grating structures with high diffraction efficiency having broad wavelength tuning.

  15. High-sensitivity bend angle measurements using optical fiber gratings.

    Science.gov (United States)

    Rauf, Abdul; Zhao, Jianlin; Jiang, Biqiang

    2013-07-20

    We present a high-sensitivity and more flexible bend measurement method, which is based on the coupling of core mode to the cladding modes at the bending region in concatenation with optical fiber grating serving as band reflector. The characteristics of a bend sensing arm composed of bending region and optical fiber grating is examined for different configurations including single fiber Bragg grating (FBG), chirped FBG (CFBG), and double FBGs. The bend loss curves for coated, stripped, and etched sections of fiber in the bending region with FBG, CFBG, and double FBG are obtained experimentally. The effect of separation between bending region and optical fiber grating on loss is measured. The loss responses for single FBG and CFBG configurations are compared to discover the effectiveness for practical applications. It is demonstrated that the sensitivity of the double FBG scheme is twice that of the single FBG and CFBG configurations, and hence acts as sensitivity multiplier. The bend loss response for different fiber diameters obtained through etching in 40% hydrofluoric acid, is measured in double FBG scheme that resulted in a significant increase in the sensitivity, and reduction of dead-zone.

  16. Photonic bandpass filter characteristics of multimode SOI waveguides integrated with submicron gratings.

    Science.gov (United States)

    Sah, Parimal; Das, Bijoy Krishna

    2018-03-20

    It has been shown that a fundamental mode adiabatically launched into a multimode SOI waveguide with submicron grating offers well-defined flat-top bandpass filter characteristics in transmission. The transmitted spectral bandwidth is controlled by adjusting both waveguide and grating design parameters. The bandwidth is further narrowed down by cascading two gratings with detuned parameters. A semi-analytical model is used to analyze the filter characteristics (1500  nm≤λ≤1650  nm) of the device operating in transverse-electric polarization. The proposed devices were fabricated with an optimized set of design parameters in a SOI substrate with a device layer thickness of 250 nm. The pass bandwidth of waveguide devices integrated with single-stage gratings are measured to be ∼24  nm, whereas the device with two cascaded gratings with slightly detuned periods (ΔΛ=2  nm) exhibits a pass bandwidth down to ∼10  nm.

  17. Development of a micromechanical pitch-tunable grating with reflective/transmissive dual working modes

    International Nuclear Information System (INIS)

    Yu, Yi-Ting; Yuan, Wei-Zheng; Li, Tai-Ping; Yan, Bin

    2010-01-01

    In this paper, a micromechanical pitch-tunable grating with the capability of working in both reflective and transmissive modes is developed by using the silicon-on-glass (SOG) process. At a voltage of 65 V, the grating period is measured to increase by 4.62%. A simple optical experiment is performed to demonstrate how the proposed grating works in both modes. Then, experiments to measure the change of the diffraction angle versus driving voltage in both reflective and transmissive modes are designed and carried out utilizing an area-arrayed charge-coupled device (CCD), and the results are in good agreement with the theoretical calculation. Discussions on the structural configuration and diffraction efficiency of the proposed grating are presented. The grating presented provides better flexibility in the design and development of application systems.

  18. A phase mask fiber grating and sensing applications

    Directory of Open Access Journals (Sweden)

    Preecha P. Yupapin

    2003-09-01

    Full Text Available This paper presents an investigation of a fabricated fiber grating device characteristics and its applications, using a phase mask writing technique. The use of a most common UV phase laser (KrF eximer laser, with high intensity light source was focussed to the phase mask for writing on a fiber optic sample. The device (i.e. grating characteristic especially, in sensing application, was investigated. The possibility of using such device for temperature and strain sensors is discussed.

  19. Angle-specific transparent conducting electrodes with metallic gratings

    Energy Technology Data Exchange (ETDEWEB)

    Rivolta, N. X. A., E-mail: nicolas.rivolta@umons.ac.be; Maes, B. [Micro- and Nanophotonic Materials Group, Faculty of Science, University of Mons, Avenue Maistriau 19, B-7000 Mons (Belgium)

    2014-08-07

    Transparent conducting electrodes, which are not made from indium tin oxide, and which display a strong angular dependence are useful for various technologies. Here, we introduce a tilted silver grating that combines a large conductance with a strong and angle-specific transmittance. When the light incidence angle matches the tilt angle of the grating, transmittance is close to the maximum along a very broadband range. We explain the behavior through simulations that show in detail the plasmonic and interference effects at play.

  20. Stop grating for perfect replication of micro Fresnel lens by thermal imprinting

    International Nuclear Information System (INIS)

    Gao, Yulong; Lin, Jie; Jin, Peng; Tan, Jiubin; Davies, Graham; Prewett, Philip D

    2012-01-01

    A stop grating concept is proposed to improve polymer filling in the thermal imprinting of a micro Fresnel lens structure. The stop grating consists of line and space structures outside the Fresnel lens pattern zone area. The experimental results have proved that the stop grating can help to achieve the complete filling of a mold, at the same time acting as a stop to prevent possible damage to the mold surface relief structures during imprinting press. A computer simulation was carried out to identify the phenomena of micro-holes at the edge of imprinted pattern. By removing the cavity between the pattern area and stop grating, perfect imprinting results have been achieved. (paper)

  1. Pushing the Boundaries of X-ray Grating Spectroscopy in a Suborbital Rocket

    Science.gov (United States)

    McEntaffer, Randall L.; DeRoo, Casey; Schultz, Ted; Zhang, William W.; Murray, Neil J.; O'Dell, Stephen; Cash, Webster

    2013-01-01

    Developments in grating spectroscopy are paramount for meeting the soft X-ray science goals of future NASA X-ray Observatories. While developments in the laboratory setting have verified the technical feasibility of using off-plane reflection gratings to reach this goal, flight heritage is a key step in the development process toward large missions. To this end we have developed a design for a suborbital rocket payload employing an Off-Plane X-ray Grating Spectrometer. This spectrometer utilizes slumped glass Wolter-1 optics, an array of gratings, and a CCD camera. We discuss the unique capabilities of this design, the expected performance, the science return, and the perceived impact to future missions.

  2. Line spread functions of blazed off-plane gratings operated in the Littrow mounting

    Science.gov (United States)

    DeRoo, Casey T.; McEntaffer, Randall L.; Miles, Drew M.; Peterson, Thomas J.; Marlowe, Hannah; Tutt, James H.; Donovan, Benjamin D.; Menz, Benedikt; Burwitz, Vadim; Hartner, Gisela; Allured, Ryan; Smith, Randall K.; Günther, Ramses; Yanson, Alex; Vacanti, Giuseppe; Ackermann, Marcelo

    2016-04-01

    Future soft x-ray (10 to 50 Å) spectroscopy missions require higher effective areas and resolutions to perform critical science that cannot be done by instruments on current missions. An x-ray grating spectrometer employing off-plane reflection gratings would be capable of meeting these performance criteria. Off-plane gratings with blazed groove facets operating in the Littrow mounting can be used to achieve excellent throughput into orders achieving high resolutions. We have fabricated two off-plane gratings with blazed groove profiles via a technique that uses commonly available microfabrication processes, is easily scaled for mass production, and yields gratings customized for a given mission architecture. Both fabricated gratings were tested in the Littrow mounting at the Max Planck Institute for Extraterrestrial Physics (MPE) PANTER x-ray test facility to assess their performance. The line spread functions of diffracted orders were measured, and a maximum resolution of 800±20 is reported. In addition, we also observe evidence of a blaze effect from measurements of relative efficiencies of the diffracted orders.

  3. Theoretical analysis of ridge gratings for long-range surface plasmon polaritons

    DEFF Research Database (Denmark)

    Søndergaard, Thomas; Bozhevolnyi, Sergey I.; Boltasseva, Alexandra

    2006-01-01

    Optical properties of ridge gratings for long-range surface plasmon polaritons (LRSPPs) are analyzed theoretically in a two-dimensional configuration via the Lippmann-Schwinger integral equation method. LRSPPs being supported by a thin planar gold film embedded in dielectric are considered...... to be scattered by an array of equidistant gold ridges on each side of the film designed for in-plane Bragg scattering of LRSPPs at the wavelength ~1550 nm. Out-of-plane scattering (OUPS), LRSPP transmission, reflection, and absorption are investigated with respect to the wavelength, the height of the ridges...... peak it is preferable to use longer gratings with smaller ridges compared to gratings with larger ridges, because the former result in a smaller OUPS from the grating facets than the latter. The theoretical analysis and its conclusions are supported with experimental results on the LRSPP reflection...

  4. Infrared spectroscopic ellipsometry of micrometer-sized SiO2 line gratings

    Science.gov (United States)

    Walder, Cordula; Zellmeier, Matthias; Rappich, Jörg; Ketelsen, Helge; Hinrichs, Karsten

    2017-09-01

    For the design and process control of periodic nano-structured surfaces spectroscopic ellipsometry is already established in the UV-VIS spectral regime. The objective of this work is to show the feasibility of spectroscopic ellipsometry in the infrared, exemplarily, on micrometer-sized SiO2 line gratings grown on silicon wafers. The grating period ranges from 10 to about 34 μm. The IR-ellipsometric spectra of the gratings exhibit complex changes with structure variations. Especially in the spectral range of the oxide stretching modes, the presence of a Rayleigh singularity can lead to pronounced changes of the spectrum with the sample geometry. The IR-ellipsometric spectra of the gratings are well reproducible by calculations with the RCWA method (Rigorous Coupled Wave Analysis). Therefore, infrared spectroscopic ellipsometry allows the quantitative characterization and process control of micrometer-sized structures.

  5. Terahertz bandwidth all-optical Hilbert transformers based on long-period gratings.

    Science.gov (United States)

    Ashrafi, Reza; Azaña, José

    2012-07-01

    A novel, all-optical design for implementing terahertz (THz) bandwidth real-time Hilbert transformers is proposed and numerically demonstrated. An all-optical Hilbert transformer can be implemented using a uniform-period long-period grating (LPG) with a properly designed amplitude-only grating apodization profile, incorporating a single π-phase shift in the middle of the grating length. The designed LPG-based Hilbert transformers can be practically implemented using either fiber-optic or integrated-waveguide technologies. As a generalization, photonic fractional Hilbert transformers are also designed based on the same optical platform. In this general case, the resulting LPGs have multiple π-phase shifts along the grating length. Our numerical simulations confirm that all-optical Hilbert transformers capable of processing arbitrary optical signals with bandwidths well in the THz range can be implemented using feasible fiber/waveguide LPG designs.

  6. Large-Area Binary Blazed Grating Coupler between Nanophotonic Waveguide and LED

    Directory of Open Access Journals (Sweden)

    Hongqiang Li

    2014-01-01

    Full Text Available A large-area binary blazed grating coupler for the arrayed waveguide grating (AWG demodulation integrated microsystem on silicon-on-insulator (SOI was designed for the first time. Through the coupler, light can be coupled into the SOI waveguide from the InP-based C-band LED for the AWG demodulation integrated microsystem to function. Both the length and width of the grating coupler are 360 μm, as large as the InP-based C-band LED light emitting area in the system. The coupler was designed and optimized based on the finite difference time domain method. When the incident angle of the light source is 0°, the coupling efficiency of the binary blazed grating is 40.92%, and the 3 dB bandwidth is 72 nm at a wavelength of 1550 nm.

  7. Image grating metrology using phase-stepping interferometry in scanning beam interference lithography

    Science.gov (United States)

    Li, Minkang; Zhou, Changhe; Wei, Chunlong; Jia, Wei; Lu, Yancong; Xiang, Changcheng; Xiang, XianSong

    2016-10-01

    Large-sized gratings are essential optical elements in laser fusion and space astronomy facilities. Scanning beam interference lithography is an effective method to fabricate large-sized gratings. To minimize the nonlinear phase written into the photo-resist, the image grating must be measured to adjust the left and right beams to interfere at their waists. In this paper, we propose a new method to conduct wavefront metrology based on phase-stepping interferometry. Firstly, a transmission grating is used to combine the two beams to form an interferogram which is recorded by a charge coupled device(CCD). Phase steps are introduced by moving the grating with a linear stage monitored by a laser interferometer. A series of interferograms are recorded as the displacement is measured by the laser interferometer. Secondly, to eliminate the tilt and piston error during the phase stepping, the iterative least square phase shift method is implemented to obtain the wrapped phase. Thirdly, we use the discrete cosine transform least square method to unwrap the phase map. Experiment results indicate that the measured wavefront has a nonlinear phase around 0.05 λ@404.7nm. Finally, as the image grating is acquired, we simulate the print-error written into the photo-resist.

  8. Biosensing with optical fiber gratings

    Science.gov (United States)

    Chiavaioli, Francesco; Baldini, Francesco; Tombelli, Sara; Trono, Cosimo; Giannetti, Ambra

    2017-06-01

    Optical fiber gratings (OFGs), especially long-period gratings (LPGs) and etched or tilted fiber Bragg gratings (FBGs), are playing an increasing role in the chemical and biochemical sensing based on the measurement of a surface refractive index (RI) change through a label-free configuration. In these devices, the electric field evanescent wave at the fiber/surrounding medium interface changes its optical properties (i.e. intensity and wavelength) as a result of the RI variation due to the interaction between a biological recognition layer deposited over the fiber and the analyte under investigation. The use of OFG-based technology platforms takes the advantages of optical fiber peculiarities, which are hardly offered by the other sensing systems, such as compactness, lightness, high compatibility with optoelectronic devices (both sources and detectors), and multiplexing and remote measurement capability as the signal is spectrally modulated. During the last decade, the growing request in practical applications pushed the technology behind the OFG-based sensors over its limits by means of the deposition of thin film overlays, nanocoatings, and nanostructures, in general. Here, we review efforts toward utilizing these nanomaterials as coatings for high-performance and low-detection limit devices. Moreover, we review the recent development in OFG-based biosensing and identify some of the key challenges for practical applications. While high-performance metrics are starting to be achieved experimentally, there are still open questions pertaining to an effective and reliable detection of small molecules, possibly up to single molecule, sensing in vivo and multi-target detection using OFG-based technology platforms.

  9. Biosensing with optical fiber gratings

    Directory of Open Access Journals (Sweden)

    Chiavaioli Francesco

    2017-06-01

    Full Text Available Optical fiber gratings (OFGs, especially long-period gratings (LPGs and etched or tilted fiber Bragg gratings (FBGs, are playing an increasing role in the chemical and biochemical sensing based on the measurement of a surface refractive index (RI change through a label-free configuration. In these devices, the electric field evanescent wave at the fiber/surrounding medium interface changes its optical properties (i.e. intensity and wavelength as a result of the RI variation due to the interaction between a biological recognition layer deposited over the fiber and the analyte under investigation. The use of OFG-based technology platforms takes the advantages of optical fiber peculiarities, which are hardly offered by the other sensing systems, such as compactness, lightness, high compatibility with optoelectronic devices (both sources and detectors, and multiplexing and remote measurement capability as the signal is spectrally modulated. During the last decade, the growing request in practical applications pushed the technology behind the OFG-based sensors over its limits by means of the deposition of thin film overlays, nanocoatings, and nanostructures, in general. Here, we review efforts toward utilizing these nanomaterials as coatings for high-performance and low-detection limit devices. Moreover, we review the recent development in OFG-based biosensing and identify some of the key challenges for practical applications. While high-performance metrics are starting to be achieved experimentally, there are still open questions pertaining to an effective and reliable detection of small molecules, possibly up to single molecule, sensing in vivo and multi-target detection using OFG-based technology platforms.

  10. Feasibility of Fiber Bragg Grating and Long-Period Fiber Grating Sensors under Different Environmental Conditions

    Directory of Open Access Journals (Sweden)

    Jian-Neng Wang

    2010-11-01

    Full Text Available This paper presents the feasibility of utilizing fiber Bragg grating (FBG and long-period fiber grating (LPFG sensors for nondestructive evaluation (NDE of infrastructures using Portland cement concretes and asphalt mixtures for temperature, strain, and liquid-level monitoring. The use of hybrid FBG and LPFG sensors is aimed at utilizing the advantages of two kinds of fiber grating to implement NDE for monitoring strains or displacements, temperatures, and water-levels of infrastructures such as bridges, pavements, or reservoirs for under different environmental conditions. Temperature fluctuation and stability tests were examined using FBG and LPFG sensors bonded on the surface of asphalt and concrete specimens. Random walk coefficient (RWC and bias stability (BS were used for the first time to indicate the stability performance of fiber grating sensors. The random walk coefficients of temperature variations between FBG (or LPFG sensor and a thermocouple were found in the range of −0.7499 °C/ to −1.3548 °C/. In addition, the bias stability for temperature variations, during the fluctuation and stability tests with FBG (or LPFG sensors were within the range of 0.01 °C/h with a 15–18 h time cluster to 0.09 °C/h with a 3–4 h time cluster. This shows that the performance of FBG or LPFG sensors is comparable with that of conventional high-resolution thermocouple sensors under rugged conditions. The strain measurement for infrastructure materials was conducted using a packaged FBG sensor bonded on the surface of an asphalt specimen under indirect tensile loading conditions. A finite element modeling (FEM was applied to compare experimental results of indirect tensile FBG strain measurements. For a comparative analysis between experiment and simulation, the FEM numerical results agreed with those from FBG strain measurements. The results of the liquid-level sensing tests show the LPFG-based sensor could discriminate five stationary liquid

  11. Numerical simulation of a biomass fired grate boiler

    DEFF Research Database (Denmark)

    Yin, Chungen; Rosendahl, Lasse; Kær, Søren Knudsen

    2006-01-01

    Computational fluid dynamic (CFD) analysis of the thermal flow in the combustion furnace of a biomass-fired grate boiler provides crucial insight into the boiler's performance. Quite a few factors play important roles in a general CFD analysis, such as grid, models, discretization scheme and so on....... For a grate boiler, the modeling the interaction of the fuel bed and the gas phase above the bed is also essential. Much effort can be found in literature on developing bed models whose results are introduced into CFD simulations of freeboard as inlet conditions. This paper presents a CFD analysis...... of the largest biomass-fired grate boiler in Denmark. The focus of this paper is to study how significantly an accurate bed model can affect overall CFD results, i.e., how necessarily it is to develop an accurate bed model in terms of the reliability of CFD results. The ultimate purpose of the study is to obtain...

  12. Water Vapor Sensors Based on the Swelling of Relief Gelatin Gratings

    Directory of Open Access Journals (Sweden)

    Sergio Calixto

    2015-01-01

    Full Text Available We report on a novel device to measure relative humidity. The sensor is based on surface diffraction gratings made of gelatin. This material swells and shrinks according to the content of water vapor in air. By sending a light beam to the grating, diffracted orders appear. Due to the gelatin swelling or shrinking, first order intensity changes according to the relative humidity. Calibration curves relating intensity versus relative humidity have been found. The fabrication process of diffraction gratings and the testing of the prototype sensing devices are described.

  13. Diffraction efficiency enhancement of femtosecond laser-engraved diffraction gratings due to CO2 laser polishing

    International Nuclear Information System (INIS)

    Choi, Hun-Kook; Jung, Deok; Sohn, Ik-Bu; Noh, Young-Chul; Lee, Yong-Tak; Kim, Jin-Tae; Ahsan, Shamim

    2014-01-01

    This research demonstrates laser-assisted fabrication of high-efficiency diffraction gratings in fused-silica glass samples. Initially, femtosecond laser pulses are used to engrave diffraction gratings on the glass surfaces. Then, these micro-patterned glass samples undergo CO 2 laser polishing process. unpolished diffraction gratings encoded in the glass samples show an overall diffraction efficiency of 18.1%. diffraction gratings imprinted on the glass samples and then polished four times by using a CO 2 laser beam attain a diffraction efficiency of 32.7%. We also investigate the diffraction patterns of the diffraction gratings encoded on fused-silica glass surfaces. The proposed CO 2 laser polishing technique shows great potential in patterning high-efficiency diffraction gratings on the surfaces of various transparent materials.

  14. Smart photogalvanic running-grating interferometer

    DEFF Research Database (Denmark)

    Kukhtarev, N. V.; Kukhtareva, T.; Edwards, M. E.

    2005-01-01

    Photogalvanic effect produces actuation of periodic motion of macroscopic LiNbO3 crystal. This effect was applied to the development of an all-optical moving-grating interferometer usable for optical trapping and transport of algae chlorella microorganisms diluted in water with a concentration of...

  15. Reduce the start current of Smith-Purcell backward wave oscillator by sidewall grating

    International Nuclear Information System (INIS)

    Li, D.; Imasaki, K.; Gao, X.; Yang, Z.; Park, Gun-Sik

    2007-01-01

    A sidewall grating for the Smith-Purcell device is proposed to enhance the coupling of the optical mode with the electron beam and, consequently, relax the stringent requirements to the electron beam. With the help of three-dimensional particle-in-cell simulations, it has been shown that, comparing with the general grating, the usage of a sidewall grating improves the growth rate and dramatically shortens the time for the device to reach saturation. It is also found that the sidewall grating holds the potential to reduce the start current for the operation of a Smith-Purcell backward wave oscillator

  16. Study on temperature sensitivity of topological insulators based on long-period fiber grating

    Science.gov (United States)

    Luo, Jianhua; Zhao, Chenghai; Li, Jianbo; He, Mengdong

    2017-06-01

    Based on a long-period fiber grating, we conducted experimental research on the temperature sensitivity of topological insulators. The long-period fiber grating and topological insulators solution were encapsulated in a capillary tube using UV glue, and the temperature response was measured. Within a range of 35 to 75 centigrade, one resonance dip of a long-period fiber grating exhibits a redshift of 1.536 nm. The temperature sensitivity is about 7.7 times of an ordinary long-period fiber grating's sensitivity (0.005 nm/°C). A numerical simulation is also performed on the basis of the experiments.

  17. Integrated X-ray testing of the electro-optical breadboard model for the XMM reflection grating spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Bixler, J.V.; Craig, W.; Decker, T. [Lawrence Livermore National Lab., CA (United States); Aarts, H.; Boggende, T. den; Brinkman, A.C. [Space Research Organization Netherlands, Utrecht (Netherlands); Burkert, W.; Brauninger, H. [Max-Planck Institute fur Extraterrestische Physik, Testanlage (Germany); Branduardi-Raymont, G. [Univ. College London (United Kingdom); Dubbeldam, L. [Space Research Organization Netherlands, Leiden (Netherlands)] [and others

    1994-07-12

    X-ray calibration of the Electro-Optical Breadboard Model (EOBB) of the XXM Reflection Grating Spectrometer has been carried out at the Panter test facility in Germany. The EOBB prototype optics consisted of a four-shell grazing incidence mirror module followed by an array of eight reflection gratings. The dispersed x-rays were detected by an array of three CCDs. Line profile and efficiency measurements where made at several energies, orders, and geometric configurations for individual gratings and for the grating array as a whole. The x-ray measurements verified that the grating mounting method would meet the stringent tolerances necessary for the flight instrument. Post EOBB metrology of the individual gratings and their mountings confirmed the precision of the grating boxes fabrication. Examination of the individual grating surface`s at micron resolution revealed the cause of anomalously wide line profiles to be scattering due to the crazing of the replica`s surface.

  18. Dynamic theory of neutron diffraction from a moving grating

    Energy Technology Data Exchange (ETDEWEB)

    Bushuev, V. A., E-mail: vabushuev@yandex.ru [Moscow State University (Russian Federation); Frank, A. I.; Kulin, G. V. [Joint Institute for Nuclear Research (Russian Federation)

    2016-01-15

    A multiwave dynamic theory of diffraction of ultracold neutrons from a moving phase grating has been developed in the approximation of coupled slowly varying amplitudes of wavefunctions. The effect of the velocity, period, and height of grooves of the grating, as well as the spectral angular distribution of the intensity of incident neurons, on the discrete energy spectrum and the intensity of diffraction reflections of various orders has been analyzed.

  19. Wideband two-port beam splitter of a binary fused-silica phase grating.

    Science.gov (United States)

    Wang, Bo; Zhou, Changhe; Feng, Jijun; Ru, Huayi; Zheng, Jiangjun

    2008-08-01

    The usual beam splitter of multilayer-coated film with a wideband spectrum is not easy to achieve. We describe the realization of a wideband transmission two-port beam splitter based on a binary fused-silica phase grating. To achieve high efficiency and equality in the diffracted 0th and -1st orders, the grating profile parameters are optimized using rigorous coupled-wave analysis at a wavelength of 1550 nm. Holographic recording and the inductively coupled plasma dry etching technique are used to fabricate the fused-silica beam splitter grating. The measured efficiency of (45% x 2) = 90% diffracted into the both orders can be obtained with the fabricated grating under Littrow mounting. The physical mechanism of such a wideband two-port beam splitter grating can be well explained by the modal method based on two-beam interference of the modes excited by the incident wave. With the high damage threshold, low coefficient of thermal expansion, and wideband high efficiency, the presented beam splitter etched in fused silica should be a useful optical element for a variety of practical applications.

  20. Rocket-inspired tubular catalytic microjets with grating-structured walls as guiding empennages.

    Science.gov (United States)

    Huang, Gaoshan; Wang, Jiyuan; Liu, Zhaoqian; Zhou, Dekai; Tian, Ziao; Xu, Borui; Li, Longqiu; Mei, Yongfeng

    2017-12-07

    Controllable locomotion in the micro-/nanoscale is challenging and attracts increasing research interest. Tubular microjets self-propelled by microbubbles are intensively investigated due to their high energy conversion efficiency, but the imperfection of the tubular geometry makes it harder to realize linear motion. Inspired by the macro rocket, we designed a tubular microjet with a grating-structured wall which mimics the guiding empennage of the macro rocket, and we found that the fluid can be effectively guided by the grooves. Both theoretical simulation and experimental work have been carried out, and the obtained results demonstrate that the stability margin of the grating-structured microjet can be enhanced. Compared with microjets with smooth walls, the structured microjets show an enhanced ability of moving linearly. In 10% H 2 O 2 , only 20% of the smooth microjets demonstrate linear trajectories, while 80% of the grating-structured microjets keep moving straight. The grating-structured microjet can maintain linear motion under external disturbance. We further propose to increase the stability by introducing a helical grating structure.

  1. Applications of laser-induced gratings to spectroscopy and dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Rohlfing, E.A. [Sandia National Laboratories, Livermore, CA (United States)

    1993-12-01

    This program has traditionally emphasized two principal areas of research. The first is the spectroscopic characterization of large-amplitude motion on the ground-state potential surface of small, transient molecules. The second is the reactivity of carbonaceous clusters and its relevance to soot and fullerene formation in combustion. Motivated initially by the desire to find improved methods of obtaining stimulated emission pumping (SEP) spectra of transients, most of our recent work has centered on the use of laser-induced gratings or resonant four-wave mixing in free-jet expansions. These techniques show great promise for several chemical applications, including molecular spectroscopy and photodissociation dynamics. The author describes recent applications of two-color laser-induced grating spectroscopy (LIGS) to obtain background-free SEP spectra of transients and double resonance spectra of nonfluorescing species, and the use of photofragment transient gratings to probe photodissociation dynamics.

  2. Electromagnetically induced grating with Rydberg atoms

    Science.gov (United States)

    Asghar, Sobia; Ziauddin, Qamar, Shahid; Qamar, Sajid

    2016-09-01

    We present a scheme to realize electromagnetically induced grating in an ensemble of strongly interacting Rydberg atoms, which act as superatoms due to the dipole blockade mechanism. The ensemble of three-level cold Rydberg-dressed (87Rb) atoms follows a cascade configuration where a strong standing-wave control field and a weak probe pulse are employed. The diffraction intensity is influenced by the strength of the probe intensity, the control field strength, and the van der Waals (vdW) interaction. It is noticed that relatively large first-order diffraction can be obtained for low-input intensity with a small vdW shift and a strong control field. The scheme can be considered as an amicable solution to realize the atomic grating at the microscopic level, which can provide background- and dark-current-free diffraction.

  3. Moiré phase-shifted fiber Bragg gratings in polymer optical fibers

    DEFF Research Database (Denmark)

    Min, Rui; Marques, Carlos; Bang, Ole

    2018-01-01

    We demonstrate a simple way to fabricate phase-shifted fiber Bragg grating in polymer optical fibers as a narrowband transmission filter for a variety of applications at telecom wavelengths. The filters have been fabricated by overlapping two uniform fiber Bragg gratings with slightly different...

  4. Design and UV writing of advanced Bragg gratings in optical fibers

    DEFF Research Database (Denmark)

    Plougmann, Nikolai

    2004-01-01

    : · Development of a novel polarization control method for UV writing of advanced Bragg gratings with arbitrary refractive index modulation profile including multiple pi-phase shifts. · Development of a novel efficient technique for Bragg grating design which allows calculating an index modulation profile...

  5. Suspended mid-infrared fiber-to-chip grating couplers for SiGe waveguides

    Science.gov (United States)

    Favreau, Julien; Durantin, Cédric; Fédéli, Jean-Marc; Boutami, Salim; Duan, Guang-Hua

    2016-03-01

    Silicon photonics has taken great importance owing to the applications in optical communications, ranging from short reach to long haul. Originally dedicated to telecom wavelengths, silicon photonics is heading toward circuits handling with a broader spectrum, especially in the short and mid-infrared (MIR) range. This trend is due to potential applications in chemical sensing, spectroscopy and defense in the 2-10 μm range. We previously reported the development of a MIR photonic platform based on buried SiGe/Si waveguide with propagation losses between 1 and 2 dB/cm. However the low index contrast of the platform makes the design of efficient grating couplers very challenging. In order to achieve a high fiber-to-chip efficiency, we propose a novel grating coupler structure, in which the grating is locally suspended in air. The grating has been designed with a FDTD software. To achieve high efficiency, suspended structure thicknesses have been jointly optimized with the grating parameters, namely the fill factor, the period and the grating etch depth. Using the Efficient Global Optimization (EGO) method we obtained a configuration where the fiber-to-waveguide efficiency is above 57 %. Moreover the optical transition between the suspended and the buried SiGe waveguide has been carefully designed by using an Eigenmode Expansion software. Transition efficiency as high as 86 % is achieved.

  6. Bragg gratings inscription at 1550 nm in photosensitive step-index polymer optical fiber

    Science.gov (United States)

    Hu, X.; Kinet, D.; Chah, K.; Mégret, Patrice; Caucheteur, C.

    2013-05-01

    In this paper, we report photo-inscription of uniform Bragg gratings in Trans-4-stilbenemethanol-doped photosensitive step-index polymer optical fiber characterized by a core diameter of 8.2 μm. Single-mode gratings were produced at ~1550 nm by the phase mask technique with a Helium-Cadmium emitting at 325 nm with an average power of 30 mW. The grating growth was monitored during the manufacturing process, showing that the reflected band is blue shifted by a few hundreds of picometers. Finally, the gratings were characterized in temperature in the range 25 - 50 °C. Their sensitivity has been computed equal to - 47 pm/°C.

  7. Traceable Mueller polarimetry and scatterometry for shape reconstruction of grating structures

    Science.gov (United States)

    Hansen, Poul-Erik; Madsen, Morten H.; Lehtolahti, Joonas; Nielsen, Lars

    2017-11-01

    Dimensional measurements of multi-patterned transmission gratings with a mixture of long and small periods are great challenges for optical metrology today. It is a further challenge when the aspect ratio of the structures is high, that is, when the height of structures is larger than the pitch. Here we consider a double patterned transmission grating with pitches of 500 nm and 20 000 nm. For measuring the geometrical properties of double patterned transmission grating we use a combined spectroscopic Mueller polarimetry and scatterometry setup. For modelling the experimentally obtained data we rigorously compute the scattering signal by solving Maxwell's equations using the RCWA method on a supercell structure. We also present a new method for analyzing the Mueller polarimetry parameters that performs the analysis in the measured variables. This new inversion method for finding the best fit between measured and calculated values are tested on silicon gratings with periods from 300 to 600 nm. The method is shown to give results within the expanded uncertainty of reference AFM measurements. The application of the new inversion method and the supercell structure to the double patterned transmission grating gives best estimates of dimensional quantities that are in fair agreement with those derived from local AFM measurements

  8. Nanoporous Polymeric Grating-Based Optical Biosensors (Preprint)

    National Research Council Canada - National Science Library

    Hsiao, Vincent K; Waldeisen, John R; Lloyd, Pamela F; Bunning, Timothy J; Huang, Tony J

    2007-01-01

    .... The fabrication process of the nanoporous polymeric grating involves holographic interference patterning and a functionalized pre-polymer syrup that facilitates the immobilization of biomolecules...

  9. Influence of Non-uniform Temperature Field on Spectra of Fibre Bragg Grating

    International Nuclear Information System (INIS)

    Yan, Zhou; Xing-Fang, He; Xiao-Yong, Fang; Jie, Yuan; Li-Qun, Yin; Mao-Sheng, Cao

    2009-01-01

    We simulate the spectrum characteristics of fibre Bragg grating (FBG) with non-uniform temperature using the transmission matrix method, and the results are analysed. It is found that firstly the modulated coefficient of average refractive index is a very important parameter that influences the spectrum characteristic of the fibre Bragg grating, and secondly the spectrum curves are different in different temperature fields at the same parameter. Hence, we can determine the metrical temperature by analysing the spectrum of fibre Bragg grating

  10. A measurement of electron-wall interactions using transmission diffraction from nanofabricated gratings

    International Nuclear Information System (INIS)

    Barwick, Brett; Gronniger, Glen; Yuan, Lu; Liou, Sy-Hwang; Batelaan, Herman

    2006-01-01

    Electron diffraction from metal coated freestanding nanofabricated gratings is presented, with a quantitative path integral analysis of the electron-grating interactions. Electron diffraction out to the 20th order was observed indicating the high quality of our nanofabricated gratings. The electron beam is collimated to its diffraction limit with ion-milled material slits. Our path integral analysis is first tested against single slit electron diffraction, and then further expanded with the same theoretical approach to describe grating diffraction. Rotation of the grating with respect to the incident electron beam varies the effective distance between the electron and grating bars. This allows the measurement of the image charge potential between the electron and the grating bars. Image charge potentials that were about 15% of the value for that of a pure electron-metal wall interaction were found. We varied the electron energy from 50 to 900 eV. The interaction time is of the order of typical metal image charge response times and in principle allows the investigation of image charge formation. In addition to the image charge interaction there is a dephasing process reducing the transverse coherence length of the electron wave. The dephasing process causes broadening of the diffraction peaks and is consistent with a model that ascribes the dephasing process to microscopic contact potentials. Surface structures with length scales of about 200 nm observed with a scanning tunneling microscope, and dephasing interaction strength typical of contact potentials of 0.35 eV support this claim. Such a dephasing model motivated the investigation of different metallic coatings, in particular Ni, Ti, Al, and different thickness Au-Pd coatings. Improved quality of diffraction patterns was found for Ni. This coating made electron diffraction possible at energies as low as 50 eV. This energy was limited by our electron gun design. These results are particularly relevant for the

  11. Genetic algorithm for the design of high frequency diffraction gratings for high power laser applications

    Science.gov (United States)

    Thomson, Martin J.; Waddie, Andrew J.; Taghizadeh, Mohammad R.

    2006-04-01

    We present a genetic algorithm with small population sizes for the design of diffraction gratings in the rigorous domain. A general crossover and mutation scheme is defined, forming fifteen offspring from 3 parents, which enables the algorithm to be used for designing gratings with diverse optical properties by careful definition of the merit function. The initial parents are randomly selected and the parents of the subsequent generations are selected by survival of the fittest. The performance of the algorithm is demonstrated by designing diffraction gratings with specific application to high power laser beam lines. Gratings are designed that act as beam deflectors, polarisers, polarising beam splitters, harmonic separation gratings and pulse compression gratings. By imposing fabrication constraints within the design process, we determine which of these elements have true potential for application within high power laser beam lines.

  12. Modeling optical transmissivity of graphene grate in on-chip silicon photonic device

    Directory of Open Access Journals (Sweden)

    Iraj S. Amiri

    2018-06-01

    Full Text Available A three-dimensional (3-D finite-difference-time-domain (FDTD analysis was used to simulate a silicon photonic waveguide. We have calculated power and transmission of the graphene used as single or multilayers to study the light transmission behavior. A new technique has been developed to define the straight silicon waveguide integrated with grate graphene layer. The waveguide has a variable grate spacing to be filled by the graphene layer. The number of graphene atomic layers varies between 100 and 1000 (or 380 nm and 3800 nm, the transmitted power obtained varies as ∼30% and ∼80%. The ∼99%, blocking of the light was occurred in 10,000 (or 38,000 nm atomic layers of the graphene grate. Keywords: Optical waveguide, Silicon waveguide, Grate, Graphene, Optical transmissivity

  13. Fiber Optic Long Period Grating Based Sensor for Coconut Oil Adulteration Detection

    Directory of Open Access Journals (Sweden)

    T. M. Libish

    2010-03-01

    Full Text Available We report the development and demonstration of a Long-Period Grating (LPG based optical fiber sensor for determining the adulteration of coconut oil by palm oil. The fundamental principle of detection is the sensitive dependence of the resonance peaks of LPG on the changes of the refractive index of the environmental medium around the cladding surface of the grating. Refractive index sensing with LPGs employs light coupling between core and cladding modes in the grating section. The transmittance spectra of a long period grating element immersed in different mixtures of coconut oil and palm oil were recorded. Results show that resonance wavelengths and transmission intensities varied as a function of the adulteration level of coconut oil. Detection limit of adulteration was found to be 2 % for coconut oil–palm oil binary mixture.

  14. Imaging of Volume Phase Gratings in a Photosensitive Polymer, Recorded in Transmission and Reflection Geometry

    Directory of Open Access Journals (Sweden)

    Tina Sabel

    2014-02-01

    Full Text Available Volume phase gratings, recorded in a photosensitive polymer by two-beam interference exposure, are studied by means of optical microscopy. Transmission gratings and reflection gratings, with periods in the order of 10 μm down to 130 nm, were investigated. Mapping of holograms by means of imaging in sectional view is introduced to study reflection-type gratings, evading the resolution limit of classical optical microscopy. In addition, this technique is applied to examine so-called parasitic gratings, arising from interference from the incident reference beam and the reflected signal beam. The appearance and possible avoidance of such unintentionally recorded secondary structures is discussed.

  15. On error estimation in the fourier modal method for diffractive gratings

    NARCIS (Netherlands)

    Hlod, A.; Maubach, J.M.L.

    2010-01-01

    The Fourier Modal Method (FMM, also called the Rigorous Coupled Wave Analysis, RCWA) is a numerical discretization method which is often used to calculate a scattered field from a periodic diffraction grating. For 1D periodic gratings in FMM the electromagnetic field is presented by a truncated

  16. Unidirectional transmission realized by two nonparallel gratings made of isotropic media.

    Science.gov (United States)

    Ye, Wei-Min; Yuan, Xiao-Dong; Zeng, Chun

    2011-08-01

    We realize a unidirectional transmission by cascading two nonparallel gratings (NPGs) made of isotropic, lossless, and linear media. For a pair of orthogonal linear polarizations, one of the gratings is designed as a polarizer, which is a reflector for one polarization and a transmitter for the other; another grating is designed as a polarization converter, which converts most of one polarized incident wave into another polarized transmitted wave. It is demonstrated by numerical calculation that more than 85% of the incident light energy can be transmitted with less than 1% transmission in the opposite direction for linearly polarized light at normal incidence, and the relative bandwidth of the unidirectional transmission is nearly 9%. The maximum transmission contrast ratio between the two directions is 62 dB. Unlike one-way diffraction grating, the transmitted light of the NPGs is collinear with the incident light, but their polarizations are orthogonal. © 2011 Optical Society of America

  17. A conductive grating sensor for online quantitative monitoring of fatigue crack

    Science.gov (United States)

    Li, Peiyuan; Cheng, Li; Yan, Xiaojun; Jiao, Shengbo; Li, Yakun

    2018-05-01

    Online quantitative monitoring of crack damage due to fatigue is a critical challenge for structural health monitoring systems assessing structural safety. To achieve online quantitative monitoring of fatigue crack, a novel conductive grating sensor based on the principle of electrical potential difference is proposed. The sensor consists of equidistant grating channels to monitor the fatigue crack length and conductive bars to provide the circuit path. An online crack monitoring system is established to verify the sensor's capability. The experimental results prove that the sensor is suitable for online quantitative monitoring of fatigue crack. A finite element model for the sensor is also developed to optimize the sensitivity of crack monitoring, which is defined by the rate of sensor resistance change caused by the break of the first grating channel. Analysis of the model shows that the sensor sensitivity can be enhanced by reducing the number of grating channels and increasing their resistance and reducing the resistance of the conductive bar.

  18. Polynomial modal analysis of lamellar diffraction gratings in conical mounting.

    Science.gov (United States)

    Randriamihaja, Manjakavola Honore; Granet, Gérard; Edee, Kofi; Raniriharinosy, Karyl

    2016-09-01

    An efficient numerical modal method for modeling a lamellar grating in conical mounting is presented. Within each region of the grating, the electromagnetic field is expanded onto Legendre polynomials, which allows us to enforce in an exact manner the boundary conditions that determine the eigensolutions. Our code is successfully validated by comparison with results obtained with the analytical modal method.

  19. Slit and phase grating diffraction with a double crystal diffractometer

    International Nuclear Information System (INIS)

    Treimer, Wolfgang; Hilger, Andre; Strobl, Markus

    2006-01-01

    The lateral coherence properties of a neutron beam (λ=0.5248nm) in a double crystal diffractometer (DCD) were studied by means of single slit diffraction and by diffraction by different perfect Silicon phase gratings. Perfect agreements were found for the lateral coherence length measured with the slit and for the one determined by Silicon phase gratings, however, some peculiarities are still present

  20. Investigation on the special Smith-Purcell radiation from a nano-scale rectangular metallic grating

    International Nuclear Information System (INIS)

    Li, Weiwei; Liu, Weihao; Jia, Qika

    2016-01-01

    The special Smith-Purcell radiation (S-SPR), which is from the radiating eigen modes of a grating, has remarkable higher intensity than the ordinary Smith-Purcell radiation. Yet in previous studies, the gratings were treated as perfect conductor without considering the surface plasmon polaritons (SPPs) which are of significance for the nano-scale gratings especially in the optical region. In present paper, the rigorous theoretical investigations on the S-SPR from a nano-grating with SPPs taken into consideration are carried out. The dispersion relations and radiation characteristics are obtained, and the results are verified by simulations. According to the analyses, the tunable light radiation can be achieved by the S-SPR from a nano-grating, which offers a new prospect for developing the nano-scale light sources.

  1. Modeling of circular-grating surface-emitting lasers

    Science.gov (United States)

    Shams-Zadeh-Amiri, Ali M.

    Grating-coupled surface-emitting lasers became an area of growing interest due to their salient features. Emission from a broad area normal to the wafer surface, makes them very well suited in high power applications and two- dimensional laser arrays. These new possibilities have caused an interest in different geometries to fully develop their potential. Among them, circular-grating lasers have the additional advantage of producing a narrow beam with a circular cross section. This special feature makes them ideal for coupling to optical fibers. All existing theoretical models dealing with circular- grating lasers only consider first-order gratings, or second-order gratings, neglecting surface emission. In this thesis, the emphasis is to develop accurate models describing the laser performance by considering the radiation field. Toward this aim, and due to the importance of the radiation modes in surface-emitting structures, a theoretical study of these modes in multilayer planar structures has been done in a rigorous and systematic fashion. Problems like orthogonality of the radiation modes have been treated very accurately. We have considered the inner product of radiation modes using the distribution theory. Orthogonality of degenerate radiation modes is an important issue. We have examined its validity using the transfer matrix method. It has been shown that orthogonality of degenerate radiation modes in a very special case leads to the Brewster theorem. In addition, simple analytical formulas for the normalization of radiation modes have been derived. We have shown that radiation modes can be handled in a much easier way than has been thought before. A closed-form spectral dyadic Green's function formulation of multilayer planar structures has been developed. In this formulation, both rectangular and cylindrical structures can be treated within the same mathematical framework. The Hankel transform of some auxiliary functions defined on a circular aperture has

  2. Long period gratings written in large-mode area photonic crystal fiber

    DEFF Research Database (Denmark)

    Nodop, D.; Linke, S.; Jansen, F.

    2008-01-01

    We report for the first time, to the best of our knowledge, on the fabrication and characterization of CO2-laser written long-period gratings in a large-mode area photonic crystal fiber with a core diameter of 25 mu m. The gratings have low insertion losses ( 10 d...

  3. Theory of Fiber Optical Bragg Grating: Revisited

    Science.gov (United States)

    Tai, H.

    2003-01-01

    The reflected signature of an optical fiber Bragg grating is analyzed using the transfer function method. This approach is capable to cast all relevant quantities into proper places and provides a better physical understanding. The relationship between reflected signal, number of periods, index of refraction, and reflected wave phase is elucidated. The condition for which the maximum reflectivity is achieved is fully examined. We also have derived an expression to predict the reflectivity minima accurately when the reflected wave is detuned. Furthermore, using the segmented potential approach, this model can handle arbitrary index of refraction profiles and compare the strength of optical reflectivity of different profiles. The condition of a non-uniform grating is also addressed.

  4. GISAXS study of Au-coated light-induced polymer gratings

    Energy Technology Data Exchange (ETDEWEB)

    Castro-Colin, M., E-mail: miguel.castro-colin@bruker.com; Korolkov, D. [Bruker AXS, Rheinbrueckenstr. 49, 76187 Karlsruhe (Germany); Yadavalli, N. S. [Nanostructured Materials Lab, The University of Georgia, 30602 Athens, Georgia (United States); Mayorova, M.; Kentzinger, M. [Research Center Juelich, 52425 Juelich (Germany); Santer, S. [Institute of Physics and Astronomy, University of Potsdam, 14476 Potsdam (Germany)

    2015-07-23

    Surface Relief Gratings (SRGs) are inscribed in the Au-coated azobenzene containing photosensitive polymer films on a glass substrate. The structures consist of micrometer-period sinusoidal patterns of sub-micron amplitudes, formed by photo-isomerization and molecular reorientation processes in the polymer film during exposure to the light interference pattern that drove the formation of a SRG; the precursor is a stack sequence of Au, polymer, and glass. The SRG structures were exposed in GISAXS geometry to high-intensity X-ray radiation from a liquid Ga source (0.134 nm). Scattered photons were registered by a 2D detector, and their intensity distribution enabled us to characterize the structures. Analysis of the 2D patterns yielded information about the pitch of the gratings as well as the thickness of the films forming the gratings. The GISAXS experiments were carried out at the Research Center Juelich.

  5. Highly Sensitive Cadmium Concentration Sensor Using Long Period Grating

    Directory of Open Access Journals (Sweden)

    A. S. Lalasangi

    2011-08-01

    Full Text Available In this paper we have proposed a simple and effective Long Period Grating chemical sensor for detecting the traces of Cadmium (Cd++ in drinking water at ppm level. Long Period gratings (LPG were fabricated by point-by-point technique with CO2 laser. We have characterized the LPG concentration sensor sensitivity for different solutions of Cd concentrations varying from 0.01 ppm to 0.04 ppm by injecting white Light source and observed transmitted spectra using Optical Spectrum Analyzer (OSA. Proper reagents have been used in the solutions for detection of the Cd species. The overall shift in wavelength is 10 nm when surrounding medium gradually changed from water to 0.04 ppm of cadmium concentrations. A comparative study has been done using sophisticated spectroscopic atomic absorption spectrometer (AAS and Inductively Coupled Plasma (ICP instruments. The spectral sensitivity enhancement was done by modifying grating surface with gold nanoparticles.

  6. Refractive index and temperature sensitivity characteristics of a micro-slot fiber Bragg grating.

    Science.gov (United States)

    Saffari, Pouneh; Yan, Zhijun; Zhou, Kaiming; Zhang, Lin

    2012-07-10

    Fabrication and characterization of a UV inscribed fiber Bragg grating (FBG) with a micro-slot liquid core is presented. Femtosecond (fs) laser patterning/chemical etching technique was employed to engrave a micro-slot with dimensions of 5.74 μm(h)×125 μm(w)×1388.72 μm(l) across the whole grating. The device has been evaluated for refractive index (RI) and temperature sensitivities and exhibited distinctive thermal response and RI sensitivity beyond the detection limit of reported fiber gratings. This structure has not just been RI sensitive, but also maintained the robustness comparing with the bare core FBGs and long-period gratings with the partial cladding etched off.

  7. Diffraction efficiency enhancement of femtosecond laser-engraved diffraction gratings due to CO{sub 2} laser polishing

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Hun-Kook [Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Chosun University, Gwangju (Korea, Republic of); Jung, Deok; Sohn, Ik-Bu; Noh, Young-Chul; Lee, Yong-Tak [Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Kim, Jin-Tae [Chosun University, Gwangju (Korea, Republic of); Ahsan, Shamim [Khulna University, Khulna (Bangladesh)

    2014-11-15

    This research demonstrates laser-assisted fabrication of high-efficiency diffraction gratings in fused-silica glass samples. Initially, femtosecond laser pulses are used to engrave diffraction gratings on the glass surfaces. Then, these micro-patterned glass samples undergo CO{sub 2} laser polishing process. unpolished diffraction gratings encoded in the glass samples show an overall diffraction efficiency of 18.1%. diffraction gratings imprinted on the glass samples and then polished four times by using a CO{sub 2} laser beam attain a diffraction efficiency of 32.7%. We also investigate the diffraction patterns of the diffraction gratings encoded on fused-silica glass surfaces. The proposed CO{sub 2} laser polishing technique shows great potential in patterning high-efficiency diffraction gratings on the surfaces of various transparent materials.

  8. Time-and-frequency domains approach to data processing in multiwavelength optical scatterometry of dielectric gratings

    KAUST Repository

    Granet, Gé rard; Melezhik, Petr N.; Sirenko, Kostyantyn; Yashina, Nataliya P.

    2013-01-01

    This paper focuses on scatterometry problems arising in lithography production of periodic gratings. Namely, the paper introduces a theoretical and numerical-modeling-oriented approach to scatterometry problems and discusses its capabilities. The approach allows for reliable detection of deviations in gratings' critical dimensions (CDs) during the manufacturing process. The core of the approach is the one-to-one correspondence between the electromagnetic (EM) characteristics and the geometric/material properties of gratings. The approach is based on highly accurate solutions of initial boundary-value problems describing EM waves' interaction on periodic gratings. The advantage of the approach is the ability to perform simultaneously and interactively both in frequency and time domains under conditions of possible resonant scattering of EM waves by infinite or finite gratings. This allows a detection of CDs for a wide range of gratings, and, thus is beneficial for the applied scatterometry. (C) 2013 Optical Society of America

  9. Time-and-frequency domains approach to data processing in multiwavelength optical scatterometry of dielectric gratings

    KAUST Repository

    Granet, Gérard

    2013-01-01

    This paper focuses on scatterometry problems arising in lithography production of periodic gratings. Namely, the paper introduces a theoretical and numerical-modeling-oriented approach to scatterometry problems and discusses its capabilities. The approach allows for reliable detection of deviations in gratings\\' critical dimensions (CDs) during the manufacturing process. The core of the approach is the one-to-one correspondence between the electromagnetic (EM) characteristics and the geometric/material properties of gratings. The approach is based on highly accurate solutions of initial boundary-value problems describing EM waves\\' interaction on periodic gratings. The advantage of the approach is the ability to perform simultaneously and interactively both in frequency and time domains under conditions of possible resonant scattering of EM waves by infinite or finite gratings. This allows a detection of CDs for a wide range of gratings, and, thus is beneficial for the applied scatterometry. (C) 2013 Optical Society of America

  10. Multiwavelength optical scatterometry of dielectric gratings

    KAUST Repository

    Yashina, Nataliya P.; Melezhik, Petr N.; Sirenko, Kostyantyn; Granet, Gerard

    2012-01-01

    is based on rigorous solutions of 2-D initial boundary value problems of the gratings theory. The quintessence and advantage of the method is the possibility to perform an efficient analysis simultaneously and interactively both for steady state

  11. HOLOGRAPHIC GRATING RECORDING IN “LYOTROPIC LIQUID CRYSTAL – VIOLOGEN” SYSTEM

    Directory of Open Access Journals (Sweden)

    Hanna Bordyuh

    2013-12-01

    Full Text Available This work presents the results of nonlinear optical experiment run on the samples of lyotropic liquid crystal (LLC with viologen admixtures. During the experiment we obtained dynamic grating recording on bilayered LLC-viologen samples and determined main characteristics of recoded gratings. It was found out that the recording takes place in a thin near-cathode coloured viologen layer. The analysis of kinetics of thermal gratings erasing showed that contribution of a thermal nonlinearity into general diffraction efficiency is negligible small. The last fact is connected with a separation of LLC-viologen samples under the action of an electric field and heat sink into the liquid crystal layer

  12. Conversion of St. Marys conventional grate cooler at the Bowmanville plant

    Energy Technology Data Exchange (ETDEWEB)

    Keefe, B.P. (Fuller Co., Bethlehem, PA (United States))

    1993-11-01

    Fuller Company has recently retrofitted the largest operating clinker cooler in North America with its CFG (Controlled Flow Grate) system. The cooler conversion was made to the St. Mary's Cement's 5000 mtpd Folax grate cooler at the Bowmanville plant. The project included conversion of the entire first drive section to Fuller's new cooler design featuring its increased flow resistance grate plates, a maintenance-friendly air distribution system, and a new hydraulic drive unit. As a result of the cooler conversion, significant power and fuel savings were made possible for an already efficient and modern cement producing facility. (author)

  13. Straw combustion on slow-moving grates

    DEFF Research Database (Denmark)

    Kær, Søren Knudsen

    2005-01-01

    Combustion of straw in grate-based boilers is often associated with high emission levels and relatively poor fuel burnout. A numerical grate combustion model was developed to assist in improving the combustion performance of these boilers. The model is based on a one-dimensional ‘‘walking......-column’’ approach and includes the energy equations for both the fuel and the gas accounting for heat transfer between the two phases. The model gives important insight into the combustion process and provides inlet conditions for a computational fluid dynamics analysis of the freeboard. The model predictions...... indicate the existence of two distinct combustion modes. Combustion air temperature and mass flow-rate are the two parameters determining the mode. There is a significant difference in reaction rates (ignition velocity) and temperature levels between the two modes. Model predictions were compared...

  14. Large-area full field x-ray differential phase-contrast imaging using 2D tiled gratings

    Science.gov (United States)

    Schröter, Tobias J.; Koch, Frieder J.; Kunka, Danays; Meyer, Pascal; Tietze, Sabrina; Engelhardt, Sabine; Zuber, Marcus; Baumbach, Tilo; Willer, Konstantin; Birnbacher, Lorenz; Prade, Friedrich; Pfeiffer, Franz; Reichert, Klaus-Martin; Hofmann, Andreas; Mohr, Jürgen

    2017-06-01

    Grating-based x-ray differential phase-contrast imaging (DPCI) is capable of acquiring information based on phase-shift and dark-field signal, in addition to conventional x-ray absorption-contrast. Thus DPCI gives an advantage to investigate composite materials with component wise similar absorption properties like soft tissues. Due to technological challenges in fabricating high quality gratings over a large extent, the field of view (FoV) of the imaging systems is limited to a grating area of a couple of square centimeters. For many imaging applications (e.g. in medicine), however, a FoV that ranges over several ten centimeters is needed. In this manuscript we propose to create large area gratings of theoretically any extent by assembling a number of individual grating tiles. We discuss the precision needed for alignment of each microstructure tile in order to reduce image artifacts and to preserve minimum 90% of the sensitivity obtainable with a monolithic grating. To achieve a reliable high precision alignment a semiautomatic assembly system consisting of a laser autocollimator, a digital microscope and a force sensor together with positioning devices was built. The setup was used to tile a first four times four analyzer grating with a size of 200 mm  ×  200 mm together with a two times two phase grating. First imaging results prove the applicability and quality of the tiling concept.

  15. Modeling and experiments of biomass combustion in a large-scale grate boiler

    DEFF Research Database (Denmark)

    Yin, Chungen; Rosendahl, Lasse; Kær, Søren Knudsen

    2007-01-01

    is inherently more difficult due to the complexity of the solid biomass fuel bed on the grate, the turbulent reacting flow in the combustion chamber and the intensive interaction between them. This paper presents the CFD validation efforts for a modern large-scale biomass-fired grate boiler. Modeling...... and experiments are both done for the grate boiler. The comparison between them shows an overall acceptable agreement in tendency. However at some measuring ports, big discrepancies between the modeling and the experiments are observed, mainly because the modeling-based boundary conditions (BCs) could differ...

  16. [Design of flat field holographic concave grating for near-infrared spectrophotometer].

    Science.gov (United States)

    Xiang, Xian-Yi; Wen, Zhi-Yu

    2008-07-01

    Near-infrared spectrum analysis can be used to determine the nature or test quantitatively some chemical compositions by detecting molecular double frequency and multiple frequency absorption. It has been used in agriculture, biology, petrifaction, foodstuff, medicament, spinning and other fields. Near-infrared spectrophotometer is the main apparatus for near-infrared spectrum analysis, and the grating is the most important part of the apparatus. Based on holographic concave grating theory and optic design software CODE V, a flat field holographic concave grating for near-infrared spectrophotometer was designed from primary structure, which relied on global optimization of the software. The contradiction between wide spectrum bound and limited spectrum extension was resolved, aberrations were reduced successfully, spectrum information was utilized fully, and the optic structure of spectrometer was highly efficient. Using CODE V software, complex high-order aberration equations need not be solved, the result can be evaluated quickly, flat field and resolving power can be kept in balance, and the work efficiency is also enhanced. A paradigm of flat field holographic concave grating is given, it works between 900 nm to 1 700 nm, the diameter of the concave grating is 25 mm, and F/ # is 1. 5. The design result was analyzed and evaluated. It was showed that if the slit source, whose width is 50 microm, is used to reconstruction, the theoretic resolution capacity is better than 6.3 nm.

  17. Enhancement of Light Absorption in Silicon Nanowire Photovoltaic Devices with Dielectric and Metallic Grating Structures.

    Science.gov (United States)

    Park, Jin-Sung; Kim, Kyoung-Ho; Hwang, Min-Soo; Zhang, Xing; Lee, Jung Min; Kim, Jungkil; Song, Kyung-Deok; No, You-Shin; Jeong, Kwang-Yong; Cahoon, James F; Kim, Sun-Kyung; Park, Hong-Gyu

    2017-12-13

    We report the enhancement of light absorption in Si nanowire photovoltaic devices with one-dimensional dielectric or metallic gratings that are fabricated by a damage-free, precisely aligning, polymer-assisted transfer method. Incorporation of a Si 3 N 4 grating with a Si nanowire effectively enhances the photocurrents for transverse-electric polarized light. The wavelength at which a maximum photocurrent is generated is readily tuned by adjusting the grating pitch. Moreover, the electrical properties of the nanowire devices are preserved before and after transferring the Si 3 N 4 gratings onto Si nanowires, ensuring that the quality of pristine nanowires is not degraded during the transfer. Furthermore, we demonstrate Si nanowire photovoltaic devices with Ag gratings using the same transfer method. Measurements on the fabricated devices reveal approximately 27.1% enhancement in light absorption compared to that of the same devices without the Ag gratings without any degradation of electrical properties. We believe that our polymer-assisted transfer method is not limited to the fabrication of grating-incorporated nanowire photovoltaic devices but can also be generically applied for the implementation of complex nanoscale structures toward the development of multifunctional optoelectronic devices.

  18. Recording polarization gratings with a standing spiral wave

    Science.gov (United States)

    Vernon, Jonathan P.; Serak, Svetlana V.; Hakobyan, Rafik S.; Aleksanyan, Artur K.; Tondiglia, Vincent P.; White, Timothy J.; Bunning, Timothy J.; Tabiryan, Nelson V.

    2013-11-01

    A scalable and robust methodology for writing cycloidal modulation patterns of optical axis orientation in photosensitive surface alignment layers is demonstrated. Counterpropagating circularly polarized beams, generated by reflection of the input beam from a cholesteric liquid crystal, direct local surface orientation in a photosensitive surface. Purposely introducing a slight angle between the input beam and the photosensitive surface normal introduces a grating period/orientation that is readily controlled and templated. The resulting cycloidal diffractive waveplates offer utility in technologies requiring diffraction over a broad range of angles/wavelengths. This simple methodology of forming polarization gratings offers advantages over conventional fabrication techniques.

  19. Recording polarization gratings with a standing spiral wave

    Energy Technology Data Exchange (ETDEWEB)

    Vernon, Jonathan P.; Tondiglia, Vincent P.; White, Timothy J.; Bunning, Timothy J. [Air Force Research Laboratory, Materials and Manufacturing Directorate, 3005 Hobson Way, Suite 1, Wright-Patterson Air Force Base, Ohio 45433 (United States); Serak, Svetlana V.; Hakobyan, Rafik S.; Aleksanyan, Artur K.; Tabiryan, Nelson V., E-mail: nelson@beamco.com [BEAM Engineering for Advanced Measurements Company, 809 South Orlando Avenue, Suite I, Winter Park, Florida 32789 (United States)

    2013-11-11

    A scalable and robust methodology for writing cycloidal modulation patterns of optical axis orientation in photosensitive surface alignment layers is demonstrated. Counterpropagating circularly polarized beams, generated by reflection of the input beam from a cholesteric liquid crystal, direct local surface orientation in a photosensitive surface. Purposely introducing a slight angle between the input beam and the photosensitive surface normal introduces a grating period/orientation that is readily controlled and templated. The resulting cycloidal diffractive waveplates offer utility in technologies requiring diffraction over a broad range of angles/wavelengths. This simple methodology of forming polarization gratings offers advantages over conventional fabrication techniques.

  20. Recording polarization gratings with a standing spiral wave

    International Nuclear Information System (INIS)

    Vernon, Jonathan P.; Tondiglia, Vincent P.; White, Timothy J.; Bunning, Timothy J.; Serak, Svetlana V.; Hakobyan, Rafik S.; Aleksanyan, Artur K.; Tabiryan, Nelson V.

    2013-01-01

    A scalable and robust methodology for writing cycloidal modulation patterns of optical axis orientation in photosensitive surface alignment layers is demonstrated. Counterpropagating circularly polarized beams, generated by reflection of the input beam from a cholesteric liquid crystal, direct local surface orientation in a photosensitive surface. Purposely introducing a slight angle between the input beam and the photosensitive surface normal introduces a grating period/orientation that is readily controlled and templated. The resulting cycloidal diffractive waveplates offer utility in technologies requiring diffraction over a broad range of angles/wavelengths. This simple methodology of forming polarization gratings offers advantages over conventional fabrication techniques

  1. Polymer Optical Fibre Bragg Grating Humidity Sensor at 100ºC

    DEFF Research Database (Denmark)

    Woyessa, Getinet; Fasano, Andrea; Markos, Christos

    2016-01-01

    We have demonstrated a polymer optical fibre Bragg grating humidity sensor that can be operated up to 100ºC. The sensor has been fabricated from a polycarbonate (PC) microstructured polymer optical fibre Bragg grating (mPOFBG). PC mPOFBG gave a relative humidity (RH) sensitivity of 6.95±0.83 pm...

  2. The in-focus variable line spacing plane grating monochromator

    International Nuclear Information System (INIS)

    Reininger, R.

    2011-01-01

    The in-focus variable line spacing plane grating monochromator is based on only two plane optical elements, a variable line spacing plane grating and a plane pre-mirror that illuminates the grating at the angle of incidence that will focus the required photon energy. A high throughput beamline requires only a third optical element after the exit slit, an aberration corrected elliptical toroid. Since plane elements can be manufactured with the smallest figure errors, this monochromator design can achieve very high resolving power. Furthermore, this optical design can correct the deformations induced by the heat load on the optics along the dispersion plane. This should allow obtaining a resolution of 10 meV at 1 keV with currently achievable figure errors on plane optics. The position of the photon source when an insertion device center is not located at the center of the straight section, a common occurrence in new insertion device beamlines, is investigated.

  3. Embedding silica and polymer fibre Bragg gratings (FBG) in plastic 3D-printed sensing patches

    DEFF Research Database (Denmark)

    Zubel, Michal G.; Sugden, Kate; Webb, David J.

    2016-01-01

    This paper reports the first demonstration of a silica fibre Bragg grating (SOFBG) embedded in an FDM 3-D printed housing to yield a dual grating temperature-compensated strain sensor. We also report the first ever integration of polymer fibre Bragg grating (POFBG) within a 3-D printed sensing...

  4. Tunable and reconfigurable multi-tap microwave photonic filter based on dynamic Brillouin gratings in fibers.

    Science.gov (United States)

    Sancho, J; Primerov, N; Chin, S; Antman, Y; Zadok, A; Sales, S; Thévenaz, L

    2012-03-12

    We propose and experimentally demonstrate new architectures to realize multi-tap microwave photonic filters, based on the generation of a single or multiple dynamic Brillouin gratings in polarization maintaining fibers. The spectral range and selectivity of the proposed periodic filters is extensively tunable, simply by reconfiguring the positions and the number of dynamic gratings along the fiber respectively. In this paper, we present a complete analysis of three different configurations comprising a microwave photonic filter implementation: a simple notch-type Mach-Zehnder approach with a single movable dynamic grating, a multi-tap performance based on multiple dynamic gratings and finally a stationary grating configuration based on the phase modulation of two counter-propagating optical waves by a common pseudo-random bit sequence (PRBS).

  5. Chirped fiber Bragg gratings written with ultrashort pulses and a tunable phase mask.

    Science.gov (United States)

    Voigtländer, Christian; Thomas, Jens; Wikszak, Elodie; Dannberg, Peter; Nolte, Stefan; Tünnermann, Andreas

    2009-06-15

    We report a fabrication technique for chirped fiber Bragg gratings (CFBGs) using a flexible setup based on a poly(methyl-methacrylate) phase mask. The period of the phase mask can be thermally tuned during the inscription process, allowing the grating period of uniform fiber Bragg gratings to be shifted about 7 nm by a temperature change of 74 K. In addition, CFBGs with bandwidths up to 2 nm are demonstrated in non-photosensitive fibers by IR femtosecond inscription.

  6. Grating-coupled surface plasmon enhanced short-circuit current in organic thin-film photovoltaic cells.

    Science.gov (United States)

    Baba, Akira; Aoki, Nobutaka; Shinbo, Kazunari; Kato, Keizo; Kaneko, Futao

    2011-06-01

    In this study, we demonstrate the fabrication of grating-coupled surface plasmon resonance (SPR) enhanced organic thin-film photovoltaic cells and their improved photocurrent properties. The cell consists of a grating substrate/silver/P3HT:PCBM/PEDOT:PSS structure. Blu-ray disk recordable substrates are used as the diffraction grating substrates on which silver films are deposited by vacuum evaporation. P3HT:PCBM films are spin-coated on silver/grating substrates. Low conductivity PEDOT:PSS/PDADMAC layer-by-layer ultrathin films deposited on P3HT:PCBM films act as the hole transport layer, whereas high conductivity PEDOT:PSS films deposited by spin-coating act as the anode. SPR excitations are observed in the fabricated cells upon irradiation with white light. Up to a 2-fold increase in the short-circuit photocurrent is observed when the surface plasmon (SP) is excited on the silver gratings as compared to that without SP excitation. The finite-difference time-domain simulation indicates that the electric field in the P3HT:PCBM layer can be increased using the grating-coupled SP technique. © 2011 American Chemical Society

  7. Figure ground segregation modulates perceived direction of ambiguous moving gratings and plaids.

    Science.gov (United States)

    Tommasi, L; Vallortigara, G

    1999-02-01

    A translating oriented grating viewed through a circular aperture with an occluding area in the middle appeared to move alternately in an oblique or in a vertical direction depending on the foreground/background assignment on the central occluding area. The effect occurred even when the central area was simply removed from the display, thus giving rise to a 'subjective' occluder. Parametric studies revealed that the probability of seeing oblique or vertical motion was affected by the size of the central area but not by its contrast relationships with the grating. Similar phenomena of ambiguous motion direction were observed using changes in colour along a translating grating that produced neon colour spreading effects, or using oriented edge discontinuities that collapsed into subjective plaids composed of two one-dimensional gratings. These results are discussed with respect to the hypothesis that surface segmentation mechanisms play a crucial part in the interpretation of motion signals.

  8. Unified beam splitter of fused silica grating under the second Bragg incidence.

    Science.gov (United States)

    Sun, Zhumei; Zhou, Changhe; Cao, Hongchao; Wu, Jun

    2015-11-01

    A unified design for a 1×2 beam splitter of dielectric rectangular transmission gratings under the second Bragg incidence is theoretically investigated for TE- and TM-polarized light. The empirical equations of the relative grating parameters (ratio of the absolute one to incidence wavelength) for this design are also obtained with the simplified modal method (SMM). The influences of polarization of incident light and relative grating parameters on the performance of the beam splitter are thoroughly studied based on the SMM and rigorous coupled-wave analysis. Two specific gratings are demonstrated with an even split and high diffraction efficiency (>94% for TE polarization and >97% for the TM counterpart). The unified profiles of the 1×2 beam splitter are independent from the incidence wavelength since the refractive index of fused silica is roughly a constant over a wide range of wavelengths, which should be promising for future applications.

  9. Mechanical design aspects of a soft X-ray plane grating monochromator

    CERN Document Server

    Vasina, R; Dolezel, P; Mynar, M; Vondracek, M; Chab, V; Slezak, J A; Comicioli, C; Prince, K C

    2001-01-01

    A plane grating monochromator based on the SX-700 concept has been constructed for the Materials Science Beamline, Elettra, which is attached to a bending magnet. The tuning range is from 35 to 800 eV with calculated spectral resolving power epsilon/DELTA epsilon better than 4000 in the whole range. The optical elements consist of a toroidal prefocusing mirror, polarization aperture, entrance slit, plane pre-mirror, single plane grating (blazed), spherical mirror, exit slit and toroidal refocusing mirror. The plane grating is operated in the fixed focus mode with C sub f sub f =2.4. Energy scanning is performed by rotation of the plane grating and simultaneous translation and rotation of the plane pre-mirror. A novel solution is applied for the motion of the plane pre-mirror, namely by a translation and mechanically coupling the rotation by a cam. The slits have no moving parts in vacuum to reduce cost and increase ruggedness, and can be fully closed without risk of damage. In the first tests, a resolving pow...

  10. Surface relief and refractive index gratings patterned in chalcogenide glasses and studied by off-axis digital holography.

    Science.gov (United States)

    Cazac, V; Meshalkin, A; Achimova, E; Abashkin, V; Katkovnik, V; Shevkunov, I; Claus, D; Pedrini, G

    2018-01-20

    Surface relief gratings and refractive index gratings are formed by direct holographic recording in amorphous chalcogenide nanomultilayer structures As 2 S 3 -Se and thin films As 2 S 3 . The evolution of the grating parameters, such as the modulation of refractive index and relief depth in dependence of the holographic exposure, is investigated. Off-axis digital holographic microscopy is applied for the measurement of the photoinduced phase gratings. For the high-accuracy reconstruction of the wavefront (amplitude and phase) transmitted by the fabricated gratings, we used a computational technique based on the sparse modeling of phase and amplitude. Both topography and refractive index maps of recorded gratings are revealed. Their separated contribution in diffraction efficiency is estimated.

  11. Polarization-Independent Electrically Tunable Holographic Polymer Dispersed Liquid Crystals Grating Doped with Chiral Molecules

    Directory of Open Access Journals (Sweden)

    Hui LI

    2017-08-01

    Full Text Available This study proposes a holographic grating made of polymer dispersed liquid crystal (PDLC, with a small amount of chiral molecules doped into PDLC material. The major advantage of this grating is that it is independent of light polarization. This characteristic was verified by applying the interference beam intensity of a He-Cd laser at 150 mW/cm2, with an incidence angle between the two interference beams of 24°, for an irradiation curing duration of 120 s. The observed periodic structure of the grating is consistent with the theoretical value. As chiral molecules are doped, nematic-LC experiences a phase-change in the grating. However, the electro-optical features are only slightly affected. This proposed grating has greatly potential in 3D imaging because of its polarization-independent feature.DOI: http://dx.doi.org/10.5755/j01.ms.23.2.16312

  12. The high-resolution cross-dispersed echelle white-pupil spectrometer of the McDonald Observatory 2.7-m telescope

    Science.gov (United States)

    Tull, Robert G.; Macqueen, Phillip J.; Sneden, Christopher; Lambert, David L.

    1995-01-01

    A new high-resolution cross-dispersed echelle spectrometer has been installed at the coude focus of the McDonald Observatory 2.7-m telescope. Its primary goal was simultaneously to gather spectra over as much of the spectral range 3400 A to 1 micrometer as practical, at a resolution R identical with lambda/Delta lambda which approximately = 60,000 with signal-to-noise ratio of approximately 100 for stars down to magnitude 11, using 1-h exposures. In the instrument as built, two exposures are all that are needed to cover the full range. Featuring a white-pupil design, fused silica prism cross disperser, and folded Schmidt camera with a Tektronix 2048x2048 CCD used at either of two foci, it has been in regularly scheduled operation since 1992 April. Design details and performance are described.

  13. Characterizing and modeling of an 88 MW grate-fired boiler burning wheat straw: Experience and lessons

    DEFF Research Database (Denmark)

    Yin, Chungen; Rosendahl, Lasse Aistrup; Clausen, Sønnik

    2012-01-01

    and availability. To better understand grate-firing of biomass and to establish a reliable but relatively simple Computational Fluid Dynamics (CFD) modeling methodology for industrial applications, biomass combustion in a number of different grate boilers has been measured and modeled. As one of the case studies......, modeling effort on an 88 MW grate-fired boiler burning wheat straw is presented in this paper. Different modeling issues and their expected impacts on CFD analysis of the kind of grate boilers are discussed. The modeling results are compared with in-flame measurements in the 88 MW boiler, which shows...... measures will be tested in a modern 500 kW grate boiler rig...

  14. Performance testing of an off-plane reflection grating and silicon pore optic spectrograph at PANTER

    Science.gov (United States)

    Marlowe, Hannah; McEntaffer, Randall L.; Allured, Ryan; DeRoo, Casey T.; Donovan, Benjamin D.; Miles, Drew M.; Tutt, James H.; Burwitz, Vadim; Menz, Benedikt; Hartner, Gisela D.; Smith, Randall K.; Cheimets, Peter; Hertz, Edward; Bookbinder, Jay A.; Günther, Ramses; Yanson, Alex; Vacanti, Giuseppe; Ackermann, Marcelo

    2015-10-01

    An x-ray spectrograph consisting of aligned, radially ruled off-plane reflection gratings and silicon pore optics (SPO) was tested at the Max Planck Institute for Extraterrestrial Physics PANTER x-ray test facility. SPO is a test module for the proposed Arcus mission, which will also feature aligned off-plane reflection gratings. This test is the first time two off-plane gratings were actively aligned to each other and with an SPO to produce an overlapped spectrum. We report the performance of the complete spectrograph utilizing the aligned gratings module and plans for future development.

  15. Tailoring Spectral Properties of Binary PT-Symmetric Gratings by Duty-Cycle Methods

    DEFF Research Database (Denmark)

    Lupu, Anatole T.; Benisty, Henri; Lavrinenko, Andrei

    2016-01-01

    We explore the frequency selective functionalities of a nonuniform PT-symmetric Bragg grating with modulated complex index profile. We start by assessing the possibility to achieve an efficient apodization of the PT-symmetric Bragg grating spectral response by using direct adaptations of the conv...

  16. MSE spectrograph optical design: a novel pupil slicing technique

    Science.gov (United States)

    Spanò, P.

    2014-07-01

    The Maunakea Spectroscopic Explorer shall be mainly devoted to perform deep, wide-field, spectroscopic surveys at spectral resolutions from ~2000 to ~20000, at visible and near-infrared wavelengths. Simultaneous spectral coverage at low resolution is required, while at high resolution only selected windows can be covered. Moreover, very high multiplexing (3200 objects) must be obtained at low resolution. At higher resolutions a decreased number of objects (~800) can be observed. To meet such high demanding requirements, a fiber-fed multi-object spectrograph concept has been designed by pupil-slicing the collimated beam, followed by multiple dispersive and camera optics. Different resolution modes are obtained by introducing anamorphic lenslets in front of the fiber arrays. The spectrograph is able to switch between three resolution modes (2000, 6500, 20000) by removing the anamorphic lenses and exchanging gratings. Camera lenses are fixed in place to increase stability. To enhance throughput, VPH first-order gratings has been preferred over echelle gratings. Moreover, throughput is kept high over all wavelength ranges by splitting light into more arms by dichroic beamsplitters and optimizing efficiency for each channel by proper selection of glass materials, coatings, and grating parameters.

  17. Metrology of variable-line-spacing x-ray gratings using the APS Long Trace Profiler

    Science.gov (United States)

    Sheung, Janet; Qian, Jun; Sullivan, Joseph; Thomasset, Muriel; Manton, Jonathan; Bean, Sunil; Takacs, Peter; Dvorak, Joseph; Assoufid, Lahsen

    2017-09-01

    As resolving power targets have increased with each generation of beamlines commissioned in synchrotron radiation facilities worldwide, diffraction gratings are quickly becoming crucial optical components for meeting performance targets. However, the metrology of variable-line-spacing (VLS) gratings for high resolution beamlines is not widespread; in particular, no metrology facility at any US DOE facility is currently equipped to fully characterize such gratings. To begin to address this issue, the Optics Group at the Advanced Photon Source at Argonne, in collaboration with SOLEIL and with support from Brookhaven National Laboratory (BNL), has developed an alternative beam path addition to the Long Trace Profiler (LTP) at Argonne's Advanced Photon Source. This significantly expands the functionality of the LTP not only to measure mirrors surface slope profile at normal incidence, but also to characterize the groove density of VLS diffraction gratings in the Littrow incidence up to 79°, which covers virtually all diffraction gratings used at synchrotrons in the first order. The LTP light source is a 20mW HeNe laser, which yields enough signal for diffraction measurements to be performed on low angle blazed gratings optimized for soft X-ray wavelengths. We will present the design of the beam path, technical requirements for the optomechanics, and our data analysis procedure. Finally, we discuss challenges still to be overcome and potential limitations with use of the LTP to perform metrology on diffraction gratings.

  18. Nano-imprint gold grating as refractive index sensor

    International Nuclear Information System (INIS)

    Kumari, Sudha; Mohapatra, Saswat; Moirangthem, Rakesh S.

    2016-01-01

    Large scale of fabrication of plasmonic nanostructures has been a challenging task due to time consuming process and requirement of expensive nanofabrication tools such as electron beam lithography system, focused ion beam system, and extreme UV photolithography system. Here, we present a cost-effective fabrication technique so called soft nanoimprinting to fabricate nanostructures on the larger sample area. In our fabrication process, a commercially available optical DVD disc was used as a template which was imprinted on a polymer glass substrate to prepare 1D polymer nano-grating. A homemade nanoimprinting setup was used in this fabrication process. Further, a label-free refractive index sensor was developed by utilizing the properties of surface plasmon resonance (SPR) of a gold coated 1D polymer nano-grating. Refractive index sensing was tested by exposing different solutions of glycerol-water mixture on the surface of gold nano-grating. The calculated bulk refractive index sensitivity was found to be 751nm/RIU. We believed that our proposed SPR sensor could be a promising candidate for developing low-cost refractive index sensor with high sensitivity on a large scale.

  19. Interrogating adhesion using fiber Bragg grating sensing technology

    Science.gov (United States)

    Rasberry, Roger D.; Rohr, Garth D.; Miller, William K.; Udd, Eric; Blach, Noah T.; Davis, Ryan A.; Olson, Walter R.; Calkins, David; Roach, Allen R.; Walsh, David S.; McElhanon, James R.

    2015-05-01

    The assurance of the integrity of adhesive bonding at substrate interfaces is paramount to the longevity and sustainability of encapsulated components. Unfortunately, it is often difficult to non-destructively evaluate these materials to determine the adequacy of bonding after manufacturing and then later in service. A particularly difficult problem in this regard is the reliable detection/monitoring of regions of weak bonding that may result from poor adhesion or poor cohesive strength, or degradation in service. One promising and perhaps less explored avenue we have recently begun to investigate for this purpose centers on the use of (chirped) fiber Bragg grating sensing technology. In this scenario, a grating is patterned into a fiber optic such that a (broadband) spectral reflectance is observed. The sensor is highly sensitive to local and uniform changes across the length of the grating. Initial efforts to evaluate this approach for measuring adhesive bonding defects at substrate interfaces are discussed. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  20. Analytic models of spectral responses of fiber-grating-based interferometers on FMC theory.

    Science.gov (United States)

    Zeng, Xiangkai; Wei, Lai; Pan, Yingjun; Liu, Shengping; Shi, Xiaohui

    2012-02-13

    In this paper the analytic models (AMs) of the spectral responses of fiber-grating-based interferometers are derived from the Fourier mode coupling (FMC) theory proposed recently. The interferometers include Fabry-Perot cavity, Mach-Zehnder and Michelson interferometers, which are constructed by uniform fiber Bragg gratings and long-period fiber gratings, and also by Gaussian-apodized ones. The calculated spectra based on the analytic models are achieved, and compared with the measured cases and those on the transfer matrix (TM) method. The calculations and comparisons have confirmed that the AM-based spectrum is in excellent agreement with the TM-based one and the measured case, of which the efficiency is improved up to ~2990 times that of the TM method for non-uniform-grating-based in-fiber interferometers.

  1. Diffraction from polarization holographic gratings with surface relief in side-chain azobenzene polyesters

    DEFF Research Database (Denmark)

    Naydenova, I; Nikolova, L; Todorov, T

    1998-01-01

    We investigate the polarization properties of holographic gratings in side-chain azobenzene polyesters in which an anisotropic grating that is due to photoinduced linear and circular birefringence is recorded in the volume of the material and a relief grating appears on the surface. A theoretical...... model is proposed to explain the experimental results, making it possible to understand the influence of the different photoinduced effects. It is shown that at low intensity the polarization properties of the diffraction at these gratings are determined by the interaction of the linear and circular...... photobirefringences, and at larger intensity the influence of the surface relief dominates the effect of the circular anisotropy. Owing to the high recording efficiency of the polyesters, the +/-1-order diffracted waves change the polarization interference pattern during the holographic recording, resulting...

  2. Analysis of higher order harmonics with holographic reflection gratings

    Science.gov (United States)

    Mas-Abellan, P.; Madrigal, R.; Fimia, A.

    2017-05-01

    Silver halide emulsions have been considered one of the most energetic sensitive materials for holographic applications. Nonlinear recording effects on holographic reflection gratings recorded on silver halide emulsions have been studied by different authors obtaining excellent experimental results. In this communication specifically we focused our investigation on the effects of refractive index modulation, trying to get high levels of overmodulation that will produce high order harmonics. We studied the influence of the overmodulation and its effects on the transmission spectra for a wide exposure range by use of 9 μm thickness films of ultrafine grain emulsion BB640, exposed to single collimated beams using a red He-Ne laser (wavelength 632.8 nm) with Denisyuk configuration obtaining a spatial frequency of 4990 l/mm recorded on the emulsion. The experimental results show that high overmodulation levels of refractive index produce second order harmonics with high diffraction efficiency (higher than 75%) and a narrow grating bandwidth (12.5 nm). Results also show that overmodulation produce diffraction spectra deformation of the second order harmonic, transforming the spectrum from sinusoidal to approximation of square shape due to very high overmodulation. Increasing the levels of overmodulation of refractive index, we have obtained higher order harmonics, obtaining third order harmonic with diffraction efficiency (up to 23%) and narrowing grating bandwidth (5 nm). This study is the first step to develop a new easy technique to obtain narrow spectral filters based on the use of high index modulation reflection gratings.

  3. The infrared imaging spectrograph (IRIS) for TMT: volume phase holographic grating performance testing and discussion

    Science.gov (United States)

    Chen, Shaojie; Meyer, Elliot; Wright, Shelley A.; Moore, Anna M.; Larkin, James E.; Maire, Jerome; Mieda, Etsuko; Simard, Luc

    2014-07-01

    Maximizing the grating efficiency is a key goal for the first light instrument IRIS (Infrared Imaging Spectrograph) currently being designed to sample the diffraction limit of the TMT (Thirty Meter Telescope). Volume Phase Holographic (VPH) gratings have been shown to offer extremely high efficiencies that approach 100% for high line frequencies (i.e., 600 to 6000l/mm), which has been applicable for astronomical optical spectrographs. However, VPH gratings have been less exploited in the near-infrared, particularly for gratings that have lower line frequencies. Given their potential to offer high throughputs and low scattered light, VPH gratings are being explored for IRIS as a potential dispersing element in the spectrograph. Our team has procured near-infrared gratings from two separate vendors. We have two gratings with the specifications needed for IRIS current design: 1.51-1.82μm (H-band) to produce a spectral resolution of 4000 and 1.19-1.37μm (J-band) to produce a spectral resolution of 8000. The center wavelengths for each grating are 1.629μm and 1.27μm, and the groove densities are 177l/mm and 440l/mm for H-band R=4000 and J-band R=8000, respectively. We directly measure the efficiencies in the lab and find that the peak efficiencies of these two types of gratings are quite good with a peak efficiency of ~88% at the Bragg angle in both TM and TE modes at H-band, and 90.23% in TM mode, 79.91% in TE mode at J-band for the best vendor. We determine the drop in efficiency off the Bragg angle, with a 20-23% decrease in efficiency at H-band when 2.5° deviation from the Bragg angle, and 25%-28% decrease at J-band when 5° deviation from the Bragg angle.

  4. Grating writing and growth at 325nm in non-hydrogenated silica fiber

    DEFF Research Database (Denmark)

    Town, Graham E; Yuan, Scott Wu; Stefani, Alessio

    We report on the writing and growth dynamics of Bragg gratings written in standard silica fiber using a 325nm He:Cd laser.......We report on the writing and growth dynamics of Bragg gratings written in standard silica fiber using a 325nm He:Cd laser....

  5. Development and Characterization of Two-Dimensional Gratings for Single-Shot X-ray Phase-Contrast Imaging

    Directory of Open Access Journals (Sweden)

    Margarita Zakharova

    2018-03-01

    Full Text Available Single-shot grating-based phase-contrast imaging techniques offer additional contrast modalities based on the refraction and scattering of X-rays in a robust and versatile configuration. The utilization of a single optical element is possible in such methods, allowing the shortening of the acquisition time and increasing flux efficiency. One of the ways to upgrade single-shot imaging techniques is to utilize customized optical components, such as two-dimensional (2D X-ray gratings. In this contribution, we present the achievements in the development of 2D gratings with UV lithography and gold electroplating. Absorption gratings represented by periodic free-standing gold pillars with lateral structure sizes from 5 µm to 25 µm and heights from 5 µm to 28 µm have shown a high degree of periodicity and defect-free patterns. Grating performance was tested in a radiographic setup using a self-developed quality assessment algorithm based on the intensity distribution histograms. The algorithm allows the final user to estimate the suitability of a specific grating to be used in a particular setup.

  6. Fiber-optical accelerometers based on polymer optical fiber Bragg gratings

    DEFF Research Database (Denmark)

    Yuan, Scott Wu; Stefani, Alessio; Bang, Ole

    2010-01-01

    Fiber-optical accelerometers based on polymer optical fiber Bragg gratings (FBGs) are reported. We have written 3mm FBGs for 1550nm operation, characterized their temperature and strain response, and tested their performance in a prototype accelerometer.......Fiber-optical accelerometers based on polymer optical fiber Bragg gratings (FBGs) are reported. We have written 3mm FBGs for 1550nm operation, characterized their temperature and strain response, and tested their performance in a prototype accelerometer....

  7. Mathematical modeling and experimental study of biomass combustion in a thermal 108 MW grate-fired boiler

    DEFF Research Database (Denmark)

    Yin, Chungen; Rosendahl, Lasse; Kær, Søren K.

    2008-01-01

    Grate boilers are widely used to fire biomass for heat and power production. However grate-firing systems are often reported to have relatively high un-burnout, low efficiency and high emissions, and need to be optimized and modernized. This paper presents the efforts towards a reliable baseline...... computational fluid dynamics (CFD) model for an industrial biomass-fired grate boiler, which can be used for diagnosis and optimization of the grate boiler as well as design of new grate boilers. First, based on the design conditions, a thorough sensitivity analysis is done to evaluate the relative importance...... of different factors in CFD analysis of the grate boiler. In a late stage, a two-day measuring campaign is carried out to measure the gas temperatures and gas concentrations in the boiler using a fiber optic probe connected to a Fourier transform infrared (FTIR) spectrometer. A baseline model is then defined...

  8. Flexible PCPDTBT:PCBM solar cells with integrated grating structures

    DEFF Research Database (Denmark)

    Oliveira Hansen, Roana Melina de; Liu, Yinghui; Madsen, Morten

    2013-01-01

    We report on development of flexible PCPDTBT:PCBM solar cells with integrated diffraction gratings on the bottom electrodes. The presented results address PCPDTBT:PCBM solar cells in an inverted geometry, which contains implemented grating structures whose pitch is tuned to match the absorption...... spectra of the active layer. This optimized solar cell structure leads to an enhanced absorption in the active layer and thus improved short-circuit currents and power conversion efficiencies in the fabricated devices. Fabrication of the solar cells on thin polyimide substrates which are compatible...

  9. UV writing of advanced Bragg gratings in optical waveguides

    DEFF Research Database (Denmark)

    Jensen, Jesper Bo Damm

    2002-01-01

    of the novel polarization control method for UV writing of Bragg gratings with advanced apodization profiles including phase shifts. The principle of the polarization control method relies on a spatial separation of the s- and p-polarized components of a linearly polarized UV beam corresponding to half......, Technical University of Denmark. During fabrication the planar waveguides were annealed in an oxygen rich atmosphere. This reduces the photosensitivity to a negligible level and Bragg gratings cannot be written within reasonable time unless the waveguides are sensitized by deuterium loading. Samples were...

  10. Color multiplexing using directional holographic gratings and linear polarization

    International Nuclear Information System (INIS)

    Lugo, L I; Rodriguez, A; Ramirez, G; Guel, S; Nunez, O F

    2011-01-01

    We propose a system of multiplexing and de-multiplexing, which uses a holographic diffraction grating to compel modulated light of different colors to be sent through an optical fiber. Diffraction gratings were fabricated specifically to pick the desired direction in which we wanted the light of different wavelengths to impinge the optic fiber, and also to be separated at the output. It was been found that the system preserves the polarization of light, which give us a one more freedom degree, allowing us to process twice the original information amount.

  11. Geometric effect on second harmonic generation from gold grating

    Science.gov (United States)

    Lu, Jiao; Ding, Baoyong; Huo, Yanyan; Ning, Tingyin

    2018-05-01

    We numerically investigate second harmonic generation from gold gratings of an ideal rectangular and ladder-shaped cross-section. The SHG efficiency from the gold gratings of the ladder-shaped cross-section is significantly enhanced compared with that from the ideal rectangular cross-section with a maximum enhancement factor of around two. The enhancement is ascribe to the nanostructure dependent local fundamental electric field, the nonlinear sources and thus the far field radiation. Our results have a practical meaning in the explanation of experimental SHG measurement, and the modulation of SHG response in the metallic nanostructure.

  12. Direct Writing of Fiber Bragg Grating in Microstructured Polymer Optical Fiber

    DEFF Research Database (Denmark)

    Stefani, Alessio; Stecher, Matthias; Town, G. E.

    2012-01-01

    We report point-by-point laser direct writing of a 1520-nm fiber Bragg grating in a microstructured polymer optical fiber (mPOF). The mPOF is specially designed such that the microstructure does not obstruct the writing beam when properly aligned. A fourth-order grating is inscribed in the m......POF with only a 2.5-s writing time....

  13. Design of a high-efficiency seven-port beam splitter using a dual duty cycle grating structure.

    Science.gov (United States)

    Wen, Fung Jacky; Chung, Po Sheun

    2011-07-01

    In this paper, we propose a compact seven-port beam splitter which is constructed using only a single-layer high-density grating with a dual duty cycle structure. The properties of this grating are investigated by a simplified modal method. The diffraction efficiency can be achieved around 10% more than conventional Dammann gratings while the uniformity can still be maintained at less than 1%. The effect of deviations from the design parameters on the performance of the grating is also presented.

  14. Installation of a technological center for highly efficient optical gratings at Helmholtz-Zentrum Berlin (HZB)

    International Nuclear Information System (INIS)

    Loechel, B; Erko, A; Lemke, St; Senf, F; Nelles, B; Schmidt, M

    2013-01-01

    In 2009 Carl Zeiss stopped the manufacture of precision gratings. All users of their gratings were very concerned about this decision, since they all need precision gratings for their experiments. One of the institutes of the HZB, the Institute for Nanometer Optics and Technology (INT), has extensive experience in micro fabrication (technology group). In spring 2010, HZB decided to take over the old C. Zeiss grating fabrication and build up its own technology center for grating fabrication. In March 2010, the INT applied to the Senate of Berlin for funding for our project from the European Regional Development Fund (ERDF). In October 2010, HZB received an approval of its application from the Senate of Berlin (contract No 20072013 2/43). Using this governmental support, HZB will install all necessary equipment and processes to fulfill these demands until end of 2013.

  15. Application of holographic sub-wavelength diffraction gratings for monitoring of kinetics of bioprocesses

    International Nuclear Information System (INIS)

    Tamulevičius, Tomas; Šeperys, Rimas; Andrulevičius, Mindaugas; Kopustinskas, Vitoldas; Meškinis, Šarūnas; Tamulevičius, Sigitas; Mikalayeva, Valeryia; Daugelavičius, Rimantas

    2012-01-01

    Highlights: ► Refractive index sensor based on DLC holographic sub-wavelength period grating. ► Spectroscopic analysis of polarized white light reflected from the grating. ► Control of critical wavelength shift and reflectivity changes. ► Testing of model liquid analyte materials. ► Evaluation of interaction between B. subtilis cells and lysozyme. - Abstract: In this work we present a refractive index (RI) sensor based on a sub-wavelength holographic diffraction grating. The sensor chip was fabricated by dry etching of the finely spaced (d = 428 nm) diffraction grating in SiO x doped diamond like carbon (DLC) film. It is shown that employing a fabricated sensor chip, and using the proposed method of analysis of data, one can inspect kinetics of processes in liquids occurring in the vicinity of the grating surface. The method is based on the spectral composition analysis of polarized polychromatic light reflected from the sub-wavelength diffraction grating. The RI measurement system was tested with different model liquid analytes including 25 wt.%, 50 wt.% sugar water solutions, 10 °C, 50 °C distilled water, also Gram-positive bacteria Bacillus subtilis interaction with ion-permeable channels forming antibiotic gramicidin D and a murolytic enzyme lysozyme. Analysis of the data set of specular reflection spectra enabled us to follow the kinetics of the RI changes in the analyte with millisecond resolution. Detectable changes in the effective RI were not worse than Δn = 10 −4 .

  16. [Research on demodulation system for human body temperature measurement of intelligent clothing based on arrayed waveguide grating].

    Science.gov (United States)

    Yu, Xiao-gang; Miao, Chang-yun; Li, Hong-qiang; Li, En-bang; Liu, Zhi-hui; Wei, Ke-jia

    2012-08-01

    A system for demodulating distributed fiber Bragg grating sensors of the intelligent clothing was researched and realized, which is based on arrayed waveguide grating. The principle of demodulation method based on arrayed waveguide grating was analyzed, intensity--demodulating method was used to interrogate the wavelength of the fiber Bragg grating based on the building up of an experimental platform, and demodulation experiment of pre and post series of fiber Bragg grating was completed. The results show that the wavelength demodulation of the system has high linearity for fiber Bragg grating, the system gives a wavelength accuracy of 0.001 nm, and demodulation error caused by crosstalk between different sensors is 0.0005 nm. The measurement error of human body temperature is +/- 0.16 degrees C. It can be applied to the human body temperature measurement.

  17. A Single-Element Plane Grating Monochromator

    Directory of Open Access Journals (Sweden)

    Michael C. Hettrick

    2016-01-01

    Full Text Available Concerted rotations of a self-focused varied line-space diffraction grating about its groove axis and surface normal define a new geometric class of monochromator. Defocusing is canceled, while the scanned wavelength is reinforced at fixed conjugate distances and horizontal deviation angle. This enables high spectral resolution over a wide band, and is of particular advantage at grazing reflection angles. A new, rigorous light-path formulation employs non-paraxial reference points to isolate the lateral ray aberrations, with those of power-sum ≤ 3 explicitly expanded for a plane grating. Each of these 14 Fermat equations agrees precisely with the value extracted from numerical raytrace simulations. An example soft X-ray design (6° deviation angle and 2 × 4 mrad aperture attains a resolving power > 25 , 000 over a three octave scan range. The proposed rotation scheme is not limited to plane surfaces or monochromators, providing a new degree of freedom in optical design.

  18. Design Parameter Optimization of a Silicon-Based Grating Waveguide for Performance Improvement in Biochemical Sensor Application.

    Science.gov (United States)

    Hong, Yoo-Seung; Cho, Chun-Hyung; Sung, Hyuk-Kee

    2018-03-05

    We performed numerical analysis and design parameter optimization of a silicon-based grating waveguide refractive index (RI) sensor. The performance of the grating waveguide RI sensor was determined by the full-width at half-maximum (FWHM) and the shift in the resonance wavelength in the transmission spectrum. The transmission extinction, a major figure-of-merit of an RI sensor that reflects both FWHM and resonance shift performance, could be significantly improved by the proper determination of three major grating waveguide parameters: duty ratio, grating period, and etching depth. We analyzed the transmission characteristics of the grating waveguide under various design parameter conditions using a finite-difference time domain method. We achieved a transmission extinction improvement of >26 dB under a given bioenvironmental target change by the proper choice of the design procedure and parameters. This design procedure and choice of appropriate parameters would enable the widespread application of silicon-based grating waveguide in high-performance RI biochemical sensor.

  19. Analysis on two technologic errors of color separation grating used for ICF

    International Nuclear Information System (INIS)

    Chen Dewei; Li Yongping

    2003-01-01

    In this paper, the depth of color separation grating applied in ICF system is optimized firstly for good separating effect. After this, duty cycle error and the trapezoid structure are analyzed. A probable scope of technologic error that make the color separation grating have good effect is given in the end

  20. Optical fiber refractometer based on tapered tilted-fiber Bragg grating

    Science.gov (United States)

    Wang, Tao; Liu, Tiegen; Liu, Kun; Jiang, Junfeng; Yu, Zhe; Xue, Meng

    2016-11-01

    Tilted fiber Bragg gratings (TFBGs) have been demonstrated to be accurate refractometers as they couple light from the fiber core to the cladding. In our experiment, we changed the physical structure of the TFBGs to improve the refractive index sensing ability. One way is to stretch the grating section 5 mm longer. The result showed that not only the number of the cladding mode of the TFBG decreases but also the full width half-maximum (FWHM) of the cladding modes and core mode changes. The FWHM of the cladding mode of the tapered TFBG is more than twice than that of the original. However, the refractive index sensitivity of the tapered TFBG has no obvious improvement. Another way is to etch the grating section with 20% hydrofluoric acid solution. We find that the smaller the clad diameter, the higher the refractive index sensitivity of the TFBG.

  1. Spatiotemporal optical pulse transformation by a resonant diffraction grating

    Energy Technology Data Exchange (ETDEWEB)

    Golovastikov, N. V.; Bykov, D. A., E-mail: bykovd@gmail.com; Doskolovich, L. L., E-mail: leonid@smr.ru; Soifer, V. A. [Russian Academy of Sciences, Image Processing Systems Institute (Russian Federation)

    2015-11-15

    The diffraction of a spatiotemporal optical pulse by a resonant diffraction grating is considered. The pulse diffraction is described in terms of the signal (the spatiotemporal incident pulse envelope) passage through a linear system. An analytic approximation in the form of a rational function of two variables corresponding to the angular and spatial frequencies has been obtained for the transfer function of the system. A hyperbolic partial differential equation describing the general form of the incident pulse envelope transformation upon diffraction by a resonant diffraction grating has been derived from the transfer function. A solution of this equation has been obtained for the case of normal incidence of a pulse with a central frequency lying near the guided-mode resonance of a diffraction structure. The presented results of numerical simulations of pulse diffraction by a resonant grating show profound changes in the pulse envelope shape that closely correspond to the proposed theoretical description. The results of the paper can be applied in creating new devices for optical pulse shape transformation, in optical information processing problems, and analog optical computations.

  2. Bragg gratings inscription in step-index PMMA optical fiber by femtosecond laser pulses at 400 nm

    Science.gov (United States)

    Hu, X.; Kinet, D.; Chah, K.; Mégret, P.; Caucheteur, C.

    2016-05-01

    In this paper, we report photo-inscription of uniform Bragg gratings in trans-4-stilbenemethanol-doped photosensitive step-index polymer optical fiber. Gratings were produced at ~1575 nm by the phase mask technique with a femtosecond laser emitting at 400 nm with different average optical powers (8 mW, 13 mW and 20 mW). The grating growth dynamics in transmission were monitored during the manufacturing process, showing that the grating grows faster with higher power. Using 20 mW laser beam power, the reflectivity reaches 94 % (8 dB transmission loss) in 70 seconds. Finally, the gratings were characterized in temperature in the range 20 - 45 °C. The thermal sensitivity has been computed equal to - 86.6 pm/°C.

  3. Undergraduate Experiment with Fractal Diffraction Gratings

    Science.gov (United States)

    Monsoriu, Juan A.; Furlan, Walter D.; Pons, Amparo; Barreiro, Juan C.; Gimenez, Marcos H.

    2011-01-01

    We present a simple diffraction experiment with fractal gratings based on the triadic Cantor set. Diffraction by fractals is proposed as a motivating strategy for students of optics in the potential applications of optical processing. Fraunhofer diffraction patterns are obtained using standard equipment present in most undergraduate physics…

  4. Spectral characterization of differential group delay in uniform fiber Bragg gratings.

    Science.gov (United States)

    Bette, S; Caucheteur, C; Wuilpart, M; Mégret, P; Garcia-Olcina, R; Sales, S; Capmany, J

    2005-12-12

    In this paper, we completely study the wavelength dependency of differential group delay (DGD) in uniform fiber Bragg gratings (FBG) exhibiting birefringence. An analytical expression of DGD is established. We analyze the impact of grating parameters (physical length, index modulation and apodization profile) on the wavelength dependency of DGD. Experimental results complete the paper. A very good agreement between theory and experience is reported.

  5. A review of spectrally coded multiplexing techniques for fibre grating sensor systems

    International Nuclear Information System (INIS)

    Childs, Paul; Wong, Allan C L; Yan, Binbin; Li, Mo; Peng, Gang-Ding

    2010-01-01

    We review recent work and progress on spectrally coded multiplexing (SCM). SCM is a generic multiplexing technique that provides more efficient data usage, additional flexibility and greater channel capability for fibre and fibre grating based sensor systems. We show a few examples of newly developed SCM techniques based on specially designed fibre gratings

  6. Recording multiple holographic gratings in silver-doped ...

    Indian Academy of Sciences (India)

    doped photopolymer film using peristrophic multiplexing techniques. Constant and variable exposure scheduling methods were adopted for storing gratings in the film using He–Ne laser (632.8 nm). The role of recording geometry on the dynamic ...

  7. Scatter measurement and correction method for cone-beam CT based on single grating scan

    Science.gov (United States)

    Huang, Kuidong; Shi, Wenlong; Wang, Xinyu; Dong, Yin; Chang, Taoqi; Zhang, Hua; Zhang, Dinghua

    2017-06-01

    In cone-beam computed tomography (CBCT) systems based on flat-panel detector imaging, the presence of scatter significantly reduces the quality of slices. Based on the concept of collimation, this paper presents a scatter measurement and correction method based on single grating scan. First, according to the characteristics of CBCT imaging, the scan method using single grating and the design requirements of the grating are analyzed and figured out. Second, by analyzing the composition of object projection images and object-and-grating projection images, the processing method for the scatter image at single projection angle is proposed. In addition, to avoid additional scan, this paper proposes an angle interpolation method of scatter images to reduce scan cost. Finally, the experimental results show that the scatter images obtained by this method are accurate and reliable, and the effect of scatter correction is obvious. When the additional object-and-grating projection images are collected and interpolated at intervals of 30 deg, the scatter correction error of slices can still be controlled within 3%.

  8. Fabrication of advanced Bragg gratings with complex apodization profiles by use of the polarization control method

    DEFF Research Database (Denmark)

    Deyerl, Hans-Jürgen; Plougmann, Nikolai; Jensen, Jesper Bo Damm

    2004-01-01

    The polarization control method offers a flexible, robust, and low-cost route for the parallel fabrication of gratings with complex apodization profiles including several discrete phase shifts and chirp. The performance of several test gratings is evaluated in terms of their spectral response...... and compared with theoretical predictions. Short gratings with sidelobe-suppression levels in excess of 32 dB and transmission dips lower than 80 dB have been realized. Finally, most of the devices fabricated by the polarization control method show comparable quality to gratings manufactured by far more...

  9. Security System Responsive to Optical Fiber Having Bragg Grating

    Science.gov (United States)

    Gary, Charles K. (Inventor); Ozcan, Meric (Inventor)

    1997-01-01

    An optically responsive electronic lock is disclosed comprising an optical fiber serving as a key and having Bragg gratings placed therein. Further, an identification system is disclosed which has the optical fiber serving as means for tagging and identifying an object. The key or tagged object is inserted into a respective receptacle and the Bragg gratings cause the optical fiber to reflect a predetermined frequency spectra pattern of incident light which is detected by a decoder and compared against a predetermined spectrum to determine if an electrical signal is generated to either operate the lock or light a display of an authentication panel.

  10. VCSELs and silicon light sources exploiting SOI grating mirrors

    DEFF Research Database (Denmark)

    Chung, Il-Sug; Mørk, Jesper

    2012-01-01

    In this talk, novel vertical-cavity laser structure consisting of a dielectric Bragg reflector, a III-V active region, and a high-index-contrast grating made in the Si layer of a silicon-on-insulator (SOI) wafer will be presented. In the Si light source version of this laser structure, the SOI...... the Bragg reflector. Numerical simulations show that both the silicon light source and the VCSEL exploiting SOI grating mirrors have superior performances, compared to existing silicon light sources and long wavelength VCSELs. These devices are highly adequate for chip-level optical interconnects as well...

  11. Using a cover layer to improve the damage resistance of gold-coated gratings induced by a picosecond pulsed laser

    Science.gov (United States)

    Xia, Zhilin; Wu, Yihan; Kong, Fanyu; Jin, Yunxia

    2018-04-01

    The chirped pulse amplification (CPA) technology is the main approach to achieve high-intensity short-pulse laser. Diffraction gratings are good candidates for stretching and compressing laser pulses in CPA. In this paper, a kind of gold-coated grating has been prepared and its laser damage experiment has been performed. The results reflect that the gratings laser damage was dominated by thermal ablation due to gold films or inclusions absorption and involved the deformation or eruption of the gold film. Based on these damage phenomena, a method of using a cover layer to prevent gold films from deforming and erupting has been adopted to improve the gold-coated gratings laser damage threshold. Since the addition of a cover layer changes the gratings diffraction efficiency, the gratings structure has been re-optimized. Furthermore, according to the calculated thermal stress distributions in gratings with optimized structures, the cover layer was demonstrated to be helpful for improving the gratings laser damage resistance if it is thick enough.

  12. A search for lithium in Pleiades brown dwarf candidates using the Keck hires echelle

    Science.gov (United States)

    Marcy, Geoffrey W.; Basri, Gibor; Graham, James R.

    1994-01-01

    We report Keck Observatory high-resolution echelle spectra of lithium at 670.8 nm in two of the lowest luminosity brown dwarf candidates in the Pleiades. These objects have estimated masses of 0.055 to 0.059 solar mass from their location on a color-magnitude diagram relative to theoretical isochrones. Stellar interior models predict that Li has not burned in them. However, we find no evidence of the Li line, at limits 100 to 1000 times below the initial abundance. This indicates that Li has in fact been depleted, presumably by nuclear processing as occurs in Pleiades stars. Interior models suggest that such large Li depletion occurs only for objects with M greater than 0.09 solar mass at the age of the Pleiades. Thus, it is unlikely that the candidates are brown dwarfs. The brown dwarf candidates present a conflict: either they have masses greater than suggested from their placement on the H-R diagram, or they do have the very low suggested masses but are nonetheless capable of destroying Li, in only 70 Myr. Until this dilemma is resolved, the photometric identification of brown dwarfs will remain difficult. Resolution may reside in higher T(sub eff) derived from optical and IR colors or in lower T(sub eff) in the interior models.

  13. Monte Carlo simulation of grating-based neutron phase contrast imaging at CPHS

    International Nuclear Information System (INIS)

    Zhang Ran; Chen Zhiqiang; Huang Zhifeng; Xiao Yongshun; Wang Xuewu; Wie Jie; Loong, C.-K.

    2011-01-01

    Since the launching of the Compact Pulsed Hadron Source (CPHS) project of Tsinghua University in 2009, works have begun on the design and engineering of an imaging/radiography instrument for the neutron source provided by CPHS. The instrument will perform basic tasks such as transmission imaging and computerized tomography. Additionally, we include in the design the utilization of coded-aperture and grating-based phase contrast methodology, as well as the options of prompt gamma-ray analysis and neutron-energy selective imaging. Previously, we had implemented the hardware and data-analysis software for grating-based X-ray phase contrast imaging. Here, we investigate Geant4-based Monte Carlo simulations of neutron refraction phenomena and then model the grating-based neutron phase contrast imaging system according to the classic-optics-based method. The simulated experimental results of the retrieving phase shift gradient information by five-step phase-stepping approach indicate the feasibility of grating-based neutron phase contrast imaging as an option for the cold neutron imaging instrument at the CPHS.

  14. Research on robot navigation vision sensor based on grating projection stereo vision

    Science.gov (United States)

    Zhang, Xiaoling; Luo, Yinsheng; Lin, Yuchi; Zhu, Lei

    2016-10-01

    A novel visual navigation method based on grating projection stereo vision for mobile robot in dark environment is proposed. This method is combining with grating projection profilometry of plane structured light and stereo vision technology. It can be employed to realize obstacle detection, SLAM (Simultaneous Localization and Mapping) and vision odometry for mobile robot navigation in dark environment without the image match in stereo vision technology and without phase unwrapping in the grating projection profilometry. First, we research the new vision sensor theoretical, and build geometric and mathematical model of the grating projection stereo vision system. Second, the computational method of 3D coordinates of space obstacle in the robot's visual field is studied, and then the obstacles in the field is located accurately. The result of simulation experiment and analysis shows that this research is useful to break the current autonomous navigation problem of mobile robot in dark environment, and to provide the theoretical basis and exploration direction for further study on navigation of space exploring robot in the dark and without GPS environment.

  15. Application of holographic sub-wavelength diffraction gratings for monitoring of kinetics of bioprocesses

    Energy Technology Data Exchange (ETDEWEB)

    Tamulevicius, Tomas, E-mail: tomas.tamulevicius@ktu.lt [Institute of Materials Science of Kaunas University of Technology, Savanoriu Ave. 271, LT-50131, Kaunas (Lithuania); Seperys, Rimas; Andrulevicius, Mindaugas; Kopustinskas, Vitoldas; Meskinis, Sarunas; Tamulevicius, Sigitas [Institute of Materials Science of Kaunas University of Technology, Savanoriu Ave. 271, LT-50131, Kaunas (Lithuania); Mikalayeva, Valeryia; Daugelavicius, Rimantas [Department of Biochemistry and Biotechnologies of Vytautas Magnus University, Vileikos St. 8, LT-44404 Kaunas (Lithuania)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Refractive index sensor based on DLC holographic sub-wavelength period grating. Black-Right-Pointing-Pointer Spectroscopic analysis of polarized white light reflected from the grating. Black-Right-Pointing-Pointer Control of critical wavelength shift and reflectivity changes. Black-Right-Pointing-Pointer Testing of model liquid analyte materials. Black-Right-Pointing-Pointer Evaluation of interaction between B. subtilis cells and lysozyme. - Abstract: In this work we present a refractive index (RI) sensor based on a sub-wavelength holographic diffraction grating. The sensor chip was fabricated by dry etching of the finely spaced (d = 428 nm) diffraction grating in SiO{sub x} doped diamond like carbon (DLC) film. It is shown that employing a fabricated sensor chip, and using the proposed method of analysis of data, one can inspect kinetics of processes in liquids occurring in the vicinity of the grating surface. The method is based on the spectral composition analysis of polarized polychromatic light reflected from the sub-wavelength diffraction grating. The RI measurement system was tested with different model liquid analytes including 25 wt.%, 50 wt.% sugar water solutions, 10 Degree-Sign C, 50 Degree-Sign C distilled water, also Gram-positive bacteria Bacillus subtilis interaction with ion-permeable channels forming antibiotic gramicidin D and a murolytic enzyme lysozyme. Analysis of the data set of specular reflection spectra enabled us to follow the kinetics of the RI changes in the analyte with millisecond resolution. Detectable changes in the effective RI were not worse than {Delta}n = 10{sup -4}.

  16. Study of physiology of visual cortex activated by rotating grating with functional MRI

    International Nuclear Information System (INIS)

    Liang Ping; Shao Qing; Zhang Zhiqiang; Lu Guangming

    2004-01-01

    Objective: To research the physiology of visual cortex activated by rotating grating with functional-MRI (fMRI), and to identify the components of the activation. Methods: Functional MRI was performed in 9 healthy volunteers by using GRE-EPI sequences on a 1.5 T MR scanner. In the block designing, rotating grating, static grating, and luminance were plotted as task states, while static grating, luminance, and darkness were set as control states. The stimuli tasks included six steps. Imaging processing and statistical analysis was carried out off-line using SPM99 in single-subject method. Results: Some respective areas of visual cortex were activated by the various stimuli information supplied by rotating grating. The strong activation in the middle of occipital lobe located at primary vision area was related to the stimuli of white luminance. Its average maximum points were at 13, -98, -2 and 11, -100, -41 The bilateral activations of Brodmann 19th area located at MT area were related to visual motion perception. Its average maximum points were at 46, -72, -2 and -44, -74, 0. The mild activation in the middle of occipital lobe was related to form perception. Its average maximum points were at -12, -98, -6 and -16, -96, -6. Conclusion: The plotting of control state is important in bock design. The effective visual information of rotating grating includes components of luminance, visual motion perception, and form perception. FMRI has potential as a tool for studying the basic physiology of visual cortex. (authors)

  17. Fabrication of locally micro-structured fiber Bragg gratings by fs-laser machining

    Science.gov (United States)

    Dutz, Franz J.; Stephan, Valentin; Marchi, Gabriele; Koch, Alexander W.; Roths, Johannes; Huber, Heinz P.

    2018-06-01

    Here, we describe a method for producing locally micro-structured fiber Bragg gratings (LMFGB) by fs-laser machining. This technique enables the precise and reproducible ablation of cladding material to create circumferential grooves inside the claddings of optical fibers. From initial ablation experiments we acquired optimized process parameters. The fabricated grooves were located in the middle of uniform type I fiber Bragg gratings. LMFBGs with four different groove widths of 48, 85, 135 and 205 μ { {m}} were produced. The grooves exhibited constant depths of about 30 μ {m} and steep sidewall angles. With the combination of micro-structures and fiber Bragg gratings, fiber optic sensor elements with enhanced functionalities can be achieved.

  18. The recent development of an X-ray grating interferometer at Shanghai Synchrotron Radiation Facility

    Energy Technology Data Exchange (ETDEWEB)

    Sun Haohua; Kou Bingquan; Xi Yan; Qi Juncheng; Sun Jianqi; Mohr, Juergen; Boerner, Martin; Zhao Jun; Xu, Lisa X.; Xiao Tiqiao; Wang Yujie [Department of Physics, Shanghai Jiao Tong University, Shanghai 200240 (China); School of Biomedical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China) and Med-X Research Institute, Shanghai Jiao Tong University, Shanghai 200040 (China); Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China); Karlsruhe Institute of Technology (KIT), Institute for Microstructure Technology (IMT), Hermannvon-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany)

    2012-07-31

    An X-ray grating interferometer has been installed at Shanghai Synchrotron Radiation Facility (SSRF). Three sets of phase gratings were designed to cover the wide X-ray energy range needed for biological and soft material imaging capabilities. The performance of the grating interferometer has been evaluated by a tomography study of a PMMA particle packing and a new born mouse chest. In the mouse chest study, the carotid artery and carotid vein inside the mouse can be identified in situ without contrast agents.

  19. The recent development of an X-ray grating interferometer at Shanghai Synchrotron Radiation Facility

    International Nuclear Information System (INIS)

    Sun Haohua; Kou Bingquan; Xi Yan; Qi Juncheng; Sun Jianqi; Mohr, Jürgen; Börner, Martin; Zhao Jun; Xu, Lisa X.; Xiao Tiqiao; Wang Yujie

    2012-01-01

    An X-ray grating interferometer has been installed at Shanghai Synchrotron Radiation Facility (SSRF). Three sets of phase gratings were designed to cover the wide X-ray energy range needed for biological and soft material imaging capabilities. The performance of the grating interferometer has been evaluated by a tomography study of a PMMA particle packing and a new born mouse chest. In the mouse chest study, the carotid artery and carotid vein inside the mouse can be identified in situ without contrast agents.

  20. Uniform Fiber Bragg Grating modeling and simulation used matrix transfer method

    OpenAIRE

    IKHLEF, Abdallah; HEDARA, Rachida; CHIKH-BLED, Mohamed

    2012-01-01

    This paper presents the modeling and simulation of an optical fiber Bragg grating for maximum reflectivity, minimum side lobe. Gating length represents as one of the critical parameters in contributing to a high performance fiber Bragg grating. The reflection spectra and side lobes strength were analyzed with different lengths .The side lobes have been suppressed using raised cosine apodization while maintaining the peak reflectivity. Such simulations are based on ...

  1. Highly sensitive fiber grating chemical sensors: An effective alternative to atomic absorption spectroscopy

    Science.gov (United States)

    Laxmeshwar, Lata. S.; Jadhav, Mangesh S.; Akki, Jyoti. F.; Raikar, Prasad; Kumar, Jitendra; prakash, Om; Raikar, U. S.

    2017-06-01

    Accuracy in quantitative determination of trace elements like Zinc, present in drinking water in ppm level, is a big challenge and optical fiber gratings as chemical sensors may provide a promising solution to overcome the same. This paper presents design of two simple chemical sensors based on the principle of shift in characteristic wavelength of gratings with change in their effective refractive index, to measure the concentration of Zinc in drinking water using etched short period grating (FBG) and Long period grating (LPG) respectively. Three samples of drinking water from different places have been examined for presence of Zinc. Further, the results obtained by our sensors have also been verified with the results obtained by a standard method, Atomic absorption spectroscopy (AAS). The whole experiment has been performed by fixing the fibers in a horizontal position with the sensor regions at the center of the fibers, making it less prone to disturbance and breaking. The sensitivity of LPG sensor is about 205 times that of the FBG sensor. A few advantages of Fiber grating sensors, besides their regular features, over AAS have also been discussed, that make our sensors potential alternatives for existing techniques in determination of trace elements in drinking water.

  2. Polarization-selective infrared bandpass filter based on a two-layer subwavelength metallic grating

    Science.gov (United States)

    Hohne, Andrew J.; Moon, Benjamin; Baumbauer, Carol L.; Gray, Tristan; Dilts, James; Shaw, Joseph A.; Dickensheets, David L.; Nakagawa, Wataru

    2017-08-01

    We present the design, fabrication, and characterization of a polarization-selective infrared bandpass filter based on a two-layer subwavelength metallic grating for use in polarimetric imaging. Gold nanowires were deposited via physical vapor deposition (PVD) onto a silicon surface relief grating that was patterned using electron beam lithography (EBL) and fabricated using standard silicon processing techniques. Optical characterization with a broad-spectrum tungsten halogen light source and a grating spectrometer showed normalized peak TM transmission of 53% with a full-width at half-maximum (FWHM) of 122 nm, which was consistent with rigorous coupled-wave analysis (RCWA) simulations. Simulation results suggested that device operation relied on suppression of the TM transmission caused by surface plasmon polariton (SPP) excitation at the gold-silicon interface and an increase in TM transmission caused by a Fabry-Perot (FP) resonance in the cavity between the gratings. TE rejection occurred at the initial air/gold interface. We also present simulation results of an improved design based on a two-dielectric grating where two different SPP resonances allowed us to improve the shape of the passband by suppressing the side lobes. This newer design resulted in improved side-band performance and increased peak TM transmission.

  3. Radical polymerization in holographic grating formation in PQ-PMMA photopolymer part II: Consecutive exposure and dark decay

    Science.gov (United States)

    Yu, Dan; Liu, Hongpeng; Geng, Yaohui; Wang, Weibo; Zhao, Yuanyuan

    2014-11-01

    Photochemical radical polymerization in phenathrenequinone doped poly(methyl methacrylate) photopolymer are investigated theoretically and experimentally under consecutive exposure. The detailed photochemical mechanisms are analyzed. Based on the rate equations of photochemical reactions, the diffusion models with nonlocal response are proposed to describe the kinetic process of radical polymerization and the significance of photochemical processes for the grating formation. In experiments, the temporal evolution of diffraction efficiency in grating formation is measured under consecutive exposure and after exposure. The percentages of these radical polymerizations, namely the polymerization of PQ with matrix, the bimolecular combination of MMA molecules, and the disproportionation of MMA molecules, are extracted quantitatively by comparing theory with experiments. It is indicated that the polymerization of PQ with matrix is primary photochemical process which dominated the grating formation under consecutive exposure. In this period, the contribution of chain polymerization of MMA radicals is weak for the grating formation. After reaching the peak values of grating strength, the influence of the free MMA molecules and photoproduct macromolecules on the grating decay is discussed in a long-term period. The diffusion coefficients of MMA and photoproduct are extracted by fitting the curves using double exponential function. MMA’s diffusion contributed to the fast decay process of grating after exposure and photoproduct’s diffusion contributed to the slow and long decay of grating. The results break previous understanding about the diffusion of single photoproduct macromolecules lead to the dark decay of grating. This investigation can provide a significant foundation for improving modulation depth and long-term stability by photochemical mechanism.

  4. Psychophysical and physiological responses to gratings with luminance and chromatic components of different spatial frequencies.

    Science.gov (United States)

    Cooper, Bonnie; Sun, Hao; Lee, Barry B

    2012-02-01

    Gratings that contain luminance and chromatic components of different spatial frequencies were used to study the segregation of signals in luminance and chromatic pathways. Psychophysical detection and discrimination thresholds to these compound gratings, with luminance and chromatic components of the one either half or double the spatial frequency of the other, were measured in human observers. Spatial frequency tuning curves for detection of compound gratings followed the envelope of those for luminance and chromatic gratings. Different grating types were discriminable at detection threshold. Fourier analysis of physiological responses of macaque retinal ganglion cells to compound waveforms showed chromatic information to be restricted to the parvocellular pathway and luminance information to the magnocellular pathway. Taken together, the human psychophysical and macaque physiological data support the strict segregation of luminance and chromatic information in independent channels, with the magnocellular and parvocellular pathways, respectively, serving as likely the physiological substrates. © 2012 Optical Society of America

  5. Design and fabrication of an active polynomial grating for soft-X-ray monochromators and spectrometers

    CERN Document Server

    Chen, S J; Perng, S Y; Kuan, C K; Tseng, T C; Wang, D J

    2001-01-01

    An active polynomial grating has been designed for use in synchrotron radiation soft-X-ray monochromators and spectrometers. The grating can be dynamically adjusted to obtain the third-order-polynomial surface needed to eliminate the defocus and coma aberrations at any photon energy. Ray-tracing results confirm that a monochromator or spectrometer based on this active grating has nearly no aberration limit to the overall spectral resolution in the entire soft-X-ray region. The grating substrate is made of a precisely milled 17-4 PH stainless steel parallel plate, which is joined to a flexure-hinge bender shaped by wire electrical discharge machining. The substrate is grounded into a concave cylindrical shape with a nominal radius and then polished to achieve a roughness of 0.45 nm and a slope error of 1.2 mu rad rms. The long trace profiler measurements show that the active grating can reach the desired third-order polynomial with a high degree of figure accuracy.

  6. Photovoltaic dependence of photorefractive grating on the externally applied dc electric field

    Science.gov (United States)

    Maurya, M. K.; Yadav, R. A.

    2013-04-01

    Photovoltaic dependence of photorefractive grating (i.e., space-charge field and phase-shift of the index grating) on the externally applied dc electric field in photovoltaic-photorefractive materials has been investigated. The influence of photovoltaic field (EPhN), diffusion field and carrier concentration ratio r (donor/acceptor impurity concentration ratio) on the space-charge field (SCF) and phase-shift of the index grating in the presence and absence of the externally applied dc electric field have also been studied in details. Our results show that, for a given value of EPhN and r, the magnitude of the SCF and phase-shift of the index grating can be enhanced significantly by employing the lower dc electric field (EONphotovoltaic-photorefractive crystal and higher value of diffusion field (EDN>40). Such an enhancement in the magnitude of the SCF and phase-shift of the index grating are responsible for the strongest beam coupling in photovoltaic-photorefractive materials. This sufficiently strong beam coupling increases the two-beam coupling gain that may be exceed the absorption and reflection losses of the photovoltaic-photorefractive sample, and optical amplification can occur. The higher value of optical amplification in photovoltaic-photorefractive sample is required for the every applications of photorefractive effect so that technology based on the photorefractive effect such as holographic storage devices, optical information processing, acousto-optic tunable filters, gyro-sensors, optical modulators, optical switches, photorefractive-photovoltaic solitons, biomedical applications, and frequency converters could be improved.

  7. Active phase correction of high resolution silicon photonic arrayed waveguide gratings.

    Science.gov (United States)

    Gehl, M; Trotter, D; Starbuck, A; Pomerene, A; Lentine, A L; DeRose, C

    2017-03-20

    Arrayed waveguide gratings provide flexible spectral filtering functionality for integrated photonic applications. Achieving narrow channel spacing requires long optical path lengths which can greatly increase the footprint of devices. High index contrast waveguides, such as those fabricated in silicon-on-insulator wafers, allow tight waveguide bends which can be used to create much more compact designs. Both the long optical path lengths and the high index contrast contribute to significant optical phase error as light propagates through the device. Therefore, silicon photonic arrayed waveguide gratings require active or passive phase correction following fabrication. Here we present the design and fabrication of compact silicon photonic arrayed waveguide gratings with channel spacings of 50, 10 and 1 GHz. The largest device, with 11 channels of 1 GHz spacing, has a footprint of only 1.1 cm2. Using integrated thermo-optic phase shifters, the phase error is actively corrected. We present two methods of phase error correction and demonstrate state-of-the-art cross-talk performance for high index contrast arrayed waveguide gratings. As a demonstration of possible applications, we perform RF channelization with 1 GHz resolution. Additionally, we generate unique spectral filters by applying non-zero phase offsets calculated by the Gerchberg Saxton algorithm.

  8. The FIREBall-2 UV sample grating efficiency at 200-208nm

    Science.gov (United States)

    Quiret, S.; Milliard, B.; Grange, R.; Lemaitre, G. R.; Caillat, A.; Belhadi, M.; Cotel, A.

    2014-07-01

    The FIREBall-2 (Faint Intergalactic Redshifted Emission Balloon-2) is a balloon-borne ultraviolet spectro-imaging mission optimized for the study of faint diffuse emission around galaxies. A key optical component of the new spectrograph design is the high throughput cost-effective holographic 2400 ℓ =mm, 110x130mm aspherized reflective grating used in the range 200 - 208nm, near 28°deviation angle. In order to anticipate the efficiency in flight conditions, we have developed a PCGrate model for the FIREBall grating calibrated on linearly polarized measurements at 12° deviation angle in the range 240-350nm of a 50x50mm replica of the same master selected for the flight grating. This model predicts an efficiency within [64:7; 64:9]+/-0:7% (S polarization) and [38:3; 45]+/-2:2% (P-polarization) for the baseline aluminum coated grating with an Al2O3 natural oxidation layer and within [63:5; 65] +/-1% (S-polarization) and [51:3; 54:8] +/-2:8% (P-polarization) for an aluminum plus a 70nm MgF2 coating, in the range 200 - 208nm and for a 28°deviation angle. The model also shows there is room for significant improvements at shorter wavelengths, of interest for future deep UV spectroscopic missions.

  9. Bragg solitons in systems with separated nonuniform Bragg grating and nonlinearity

    Science.gov (United States)

    Ahmed, Tanvir; Atai, Javid

    2017-09-01

    The existence and stability of quiescent Bragg grating solitons are systematically investigated in a dual-core fiber, where one of the cores is uniform and has Kerr nonlinearity while the other one is linear and incorporates a Bragg grating with dispersive reflectivity. Three spectral gaps are identified in the system, in which both lower and upper band gaps overlap with one branch of the continuous spectrum; therefore, these are not genuine band gaps. However, the central band gap is a genuine band gap. Soliton solutions are found in the lower and upper gaps only. It is found that in certain parameter ranges, the solitons develop side lobes. To analyze the side lobes, we have derived exact analytical expressions for the tails of solitons that are in excellent agreement with the numerical solutions. We have analyzed the stability of solitons in the system by means of systematic numerical simulations. We have found vast stable regions in the upper and lower gaps. The effect and interplay of dispersive reflectivity, the group velocity difference, and the grating-induced coupling on the stability of solitons are investigated. A key finding is that a stronger grating-induced coupling coefficient counteracts the stabilization effect of dispersive reflectivity.

  10. Design of a Label-Free, Distributed Bragg Grating Resonator Based Dielectric Waveguide Biosensor

    Directory of Open Access Journals (Sweden)

    Florian Kehl

    2015-01-01

    Full Text Available In this work, we present a resonant, dielectric waveguide device based on distributed Bragg gratings for label-free biosensing applications. The refractive index sensitive optical transducer aims at improving the performance of planar waveguide grating sensor systems with limited Q-factor and dynamic range by combing the advantages of resonant cavities, such as a multitude of resonance peaks with high finesse, with the manageable complexity of waveguide grating couplers. The general sensor concept is introduced and supported by theoretical considerations as well as numerical simulations based on Coupled Mode Theory. In contrast to a single Bragg grating reflector, the presented Fabry-Pérot type distributed Bragg resonator exhibits an extended measurement range as well as relaxed fabrication tolerances. The resulting, relatively simple sensor structure can be fabricated with standard lithographic means and is independent of expensive light-sources and/or detectors, making an affordable but sensitive device, potentially suitable for point-of-care applications.

  11. Performance testing of a novel off-plane reflection grating and silicon pore optic spectrograph at PANTER

    Science.gov (United States)

    Marlowe, Hannah; McEntaffer, Randall L.; Allured, Ryan; DeRoo, Casey; Miles, Drew M.; Donovan, Benjamin D.; Tutt, James H.; Burwitz, Vadim; Menz, Benedikt; Hartner, Gisela D.; Smith, Randall K.; Günther, Ramses; Yanson, Alex; Vacanti, Giuseppe; Ackermann, Marcelo

    2015-05-01

    An X-ray spectrograph consisting of aligned, radially ruled off-plane reflection gratings and silicon pore optics (SPO) was tested at the Max Planck Institute for extraterrestrial Physics PANTER X-ray test facility. The SPO is a test module for the proposed Arcus mission, which will also feature aligned off-plane reflection gratings. This test is the first time two off-plane gratings were actively aligned to each other and with a SPO to produce an overlapped spectrum. We report the performance of the complete spectrograph utilizing the aligned gratings module and plans for future development.

  12. Multi-resonance peaks fiber Bragg gratings based on largely-chirped structure

    Science.gov (United States)

    Chen, Chao; Zhang, Xuan-Yu; Wei, Wei-Hua; Chen, Yong-Yi; Qin, Li; Ning, Yong-Qiang; Yu, Yong-Sen

    2018-04-01

    A composite fiber Bragg grating (FBG) with multi-resonance peaks (MRPs) has been realized by using femtosecond (fs) laser point-by-point inscription in single-mode fiber. This device contains a segment of largely-chirped gratings with the ultrahigh chirp coefficients and a segment of uniform high-order gratings. The observed MRPs are distributed in an ultra-broadband wavelength range from 1200 nm to 1700 nm in the form of quasi-period or multi-peak-group. For the 8th-order MRPs-FBG, we studied the axial strain and high-temperature sensing characteristics of different resonance peaks experimentally. Moreover, we have demonstrated a multi-wavelength fiber lasers with three-wavelength stable output by using a 9th-order MRPs-FBG as the wavelength selector. This work is significant for the fabrication and functionalization of FBGs with complicated spectra characteristics.

  13. Mueller matrix ellipsometric detection of profile asymmetry in nanoimprinted grating structures

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xiuguo; Ma, Zhichao; Xu, Zhimou [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); Zhang, Chuanwei; Jiang, Hao [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan, E-mail: shyliu@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2014-11-21

    Mueller matrix ellipsometry (MME) is applied to detect foot-like asymmetry encountered in nanoimprint lithography (NIL) processes. We present both theoretical and experimental results which show that MME has good sensitivity to both the magnitude and direction of asymmetric profiles. The physics behind the use of MME for asymmetry detection is the breaking of electromagnetic reciprocity theorem for the zeroth-order diffraction of asymmetric gratings. We demonstrate that accurate characterization of asymmetric nanoimprinted gratings can be achieved by performing MME measurements in a conical mounting with the plane of incidence parallel to grating lines and meanwhile incorporating depolarization effects into the optical model. The comparison of MME-extracted asymmetric profile with the measurement by cross-sectional scanning electron microscopy also reveals the strong potential of this technique for in-line monitoring NIL processes, where symmetric structures are desired.

  14. A low-cost and temperature-insensitive fibre Bragg grating sensor for monitoring localized strain concentrations

    International Nuclear Information System (INIS)

    Davis, C E; Thompson, A; Li, H C H; Dethlefsen, A F; Stoddart, P R

    2009-01-01

    A simple, self-diagnostic strain sensor is described, based on a strongly reflective optical fibre Bragg grating illuminated by a broadband source. The total reflected power from these gratings is shown to be a function of the strain gradient experienced by the grating. This is because a change in pitch within a section of the grating results in the emergence of reflected energy in other spectral regions, without any significant reduction in the peak intensity at the Bragg wavelength. Thus, the presence of a localized strain can be inferred directly from an intensity measurement without the need for an optical filter or other more complex interrogation schemes. For spectrally flat light sources, the measurement is relatively insensitive to environmental temperature changes. The sensing mechanism can also be considered 'self-diagnostic' as a signal is returned by the grating even under zero load unless the sensor has failed. Modelling results are presented to determine the minimum grating strength required to achieve this effect, while the technique has been experimentally verified by measuring the strain transfer on a loaded scarf repair joint at room and elevated temperatures. The scarf repair was loaded to failure and a reduction in strain transfer was observed as the failure grew along the bondline, in accordance with finite element modelling results

  15. Observation of optical Smith-Purcell radiation at an electron beam energy of 855 MeV

    International Nuclear Information System (INIS)

    Kube, G.; Backe, H.; Euteneuer, H.; Grendel, A.; Hagenbuck, F.; Hartmann, H.; Kaiser, K.H.; Lauth, W.; Schoepe, H.; Wagner, G.; Walcher, Th.; Kretzschmar, M.

    2002-01-01

    Smith-Purcell radiation, generated when a beam of charged particles passes close to the surface of a diffraction grating, has been studied in the visible spectral range at wavelengths of 360 and 546 nm with the low emittance 855 MeV electron beam of the Mainz Microtron MAMI. The beam focused to a spot size of 4 μm (full width at half maximum) passed over optical diffraction gratings of echelle profiles with blaze angles of 0.8 deg., 17.27 deg., and 41.12 deg. and grating periods of 0.833 and 9.09 μm. Taking advantage of the specific emission characteristics of Smith-Purcell radiation a clear separation from background components, such as diffracted synchrotron radiation from upstream beam optical elements and transition radiation, was possible. The intensity scales with a modified Bessel function of the first kind as a function of the distance between electron beam and grating surface. Experimental radiation factors have been determined and compared with calculations on the basis of Van den Berg's theory [P.M. Van den Berg, J. Opt. Soc. Am. 63, 689 (1973)]. Fair agreement has been found for gratings with large blaze angles while the measurement with the shallow grating (blaze angle 0.8 deg.) is at variance with this theory. Finally, the optimal operational parameters of a Smith-Purcell radiation source in view of already existing powerful undulator sources are discussed

  16. Generation of sinusoidal fringes with a holographic phase grating and a phase-only spatial light modulator

    International Nuclear Information System (INIS)

    Berberova, Natalia; Stoykova, Elena; Sainov, Ventseslav

    2012-01-01

    A variety of pattern projection methods for the three-dimensional capture of objects is based on the generation of purely sinusoidal fringes. This is not an easy task, especially when a portable non-interferometric system for outdoor usage is required. The use of phase gratings with coherent illumination as a possible solution has the advantage of providing good stability and a large measurement volume. In this work, we analyze the quality of fringes projected with two sinusoidal phase gratings. The first grating is recorded on a silver-halide holographic plate by means of a Michelson interferometer. The spatial resolution of the silver-halide material used is greater than 6000 lines per millimeter, and the recorded grating is practically analogous to a smooth variation of the phase profile. The second grating is formed as a sinusoidal phase variation on a liquid crystal-on-silicon phase-only reflective display with a resolution of 1920×1080 pixels, a pixel pitch of 8 μm and 256 phase levels. The frequency content of the fringes projected with both gratings is analyzed and compared on the basis of the calculated Fresnel diffraction pattern, taking into account that the sinusoidal phase distribution in the case of a spatial light modulator is both sampled and quantized. Experimental fringe patterns projected using both gratings are also provided.

  17. An ultrafast nanotip electron gun triggered by grating-coupled surface plasmons

    Energy Technology Data Exchange (ETDEWEB)

    Schröder, Benjamin; Sivis, Murat; Bormann, Reiner; Schäfer, Sascha; Ropers, Claus, E-mail: cropers@gwdg.de [4th Physical Institute - Solids and Nanostructures, University of Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany)

    2015-12-07

    We demonstrate multiphoton photoelectron emission from gold nanotips induced by nanofocusing surface plasmons, resonantly excited on the tip shaft by a grating coupler. The tip is integrated into an electron gun assembly, which facilitates control over the spatial emission sites and allows us to disentangle direct grating emission from plasmon-triggered apex emission. The nanoscale source size of this electron gun concept enables highly coherent electron pulses with applications in ultrafast electron imaging and diffraction.

  18. Computer control for the Tampella double-grate boiler. Tampella-kaksoisarinan toiminnan ja arinapolton ohjaustutkimus

    Energy Technology Data Exchange (ETDEWEB)

    Imelaeinen, K; Petaenen, P; Koskela, O; Sutinen, R

    1986-01-01

    Most of the new boilers recently installed in Finland are multifuel boilers using woodwastes and peat as the main fuel. Although burning of woodwastes and peat is economically most attractive, noticeable difficulties are encountered in the combustion control due to such fuel characteristics as varying physical properties, moisture value etc. In this project a control strategy was developed for the Tampella double-grate boiler. Special attention was paid to the grate burning properties and the function of the mechanical grate. The control system consists of the optimization of the Tampella multifuel boiler (K10) and the steam levelling control system of the power plant. Because of the rapid load fluctuations caused by boarding machine web breaks or fluctuations in digester house steam demand, a steam network levelling system was installed in the power plant. The main object of the project was to minimize oil burning in the K10-boiler and the whole power plant and the optimization of grate burning. The practical results of the mechanical grate function control and air distribution optimization are very encouraging. During normal operation boiler pressure and excess oxygen are very stable compared with other grate boilers. The response time of boiler load changes is also very fast compared to other boilers of this type. The main object of the whole boiler installation project was to decrease oil consumption by effective burning of domestic fuels. This object was attained better than was predicted.

  19. Electromagnetic resonance modes on a two-dimensional tandem grating and its application for broadband absorption in the visible spectrum.

    Science.gov (United States)

    Han, Sunwoo; Lee, Bong Jae

    2016-01-25

    In this work, we numerically investigate the electromagnetic resonances on two-dimensional tandem grating structures. The base of a tandem grating consists of an opaque Au substrate, a SiO(2) spacer, and a Au grating (concave type); that is, a well-known fishnet structure forming Au/SiO(2)/Au stack. A convex-type Au grating (i.e., topmost grating) is then attached on top of the base fishnet structure with or without additional SiO(2) spacer, resulting in two types of tandem grating structures. In order to calculate the spectral reflectance and local magnetic field distribution, the finite-difference time-domain method is employed. When the topmost Au grating is directly added onto the base fishnet structure, the surface plasmon and magnetic polariton in the base structure are branched out due to the geometric asymmetry with respect to the SiO(2) spacer. If additional SiO(2) spacer is added between the topmost Au grating and the base fishnet structure, new magnetic resonance modes appear due to coupling between two vertically aligned Au/SiO(2)/Au stacks. With the understanding of multiple electromagnetic resonance modes on the proposed tandem grating structures, we successfully design a broadband absorber made of Au and SiO(2) in the visible spectrum.

  20. Topology-optimized broadband surface relief transmission grating

    DEFF Research Database (Denmark)

    Andkjær, Jacob; Ryder, Christian P.; Nielsen, Peter C.

    2014-01-01

    We propose a design methodology for systematic design of surface relief transmission gratings with optimized diffraction efficiency. The methodology is based on a gradient-based topology optimization formulation along with 2D frequency domain finite element simulations for TE and TM polarized plane...

  1. The application of diffraction grating in the design of virtual reality (VR) system

    Science.gov (United States)

    Chen, Jiekang; Huang, Qitai; Guan, Min

    2017-10-01

    Virtual Reality (VR) products serve for human eyes ultimately, and the optical properties of VR optical systems must be consistent with the characteristic of human eyes. The monocular coaxial VR optical system is simulated in ZEMAX. A diffraction grating is added to the optical surface next to the eye, and the lights emitted from the diffraction grating are deflected, which can forming an asymmetrical field of view(FOV). Then the lateral chromatic aberration caused by the diffraction grating was corrected by the chromatic dispersion of the prism. Finally, the aspheric surface was added to further optimum design. During the optical design of the system, how to balance the dispersion of the diffraction grating and the prism is the main problem. The balance was achieved by adjusting the parameters of the grating and the prism constantly, and then using aspheric surfaces finally. In order to make the asymmetric FOV of the system consistent with the angle of the visual axis, and to ensure the stereo vision area clear, the smaller half FOV of monocular system is required to reach 30°. Eventually, a system with asymmetrical FOV of 30°+40° was designed. In addition, the aberration curve of the system was analyzed by ZEMAX, and the binocular FOV was calculated according to the principle of binocular overlap. The results show that the asymmetry of FOV of VR monocular optical system can fit to human eyes and the imaging quality match for the human visual characteristics. At the same time, the diffraction grating increases binocular FOV, which decreases the requirement for the design FOV of monocular system.

  2. The Off-plane Grating Rocket Experiment

    Science.gov (United States)

    Donovan, Benjamin

    2018-01-01

    The next generation of X-ray spectrometers necessitate significant increases in both resolution and effective area to achieve the science goals set forth in the 2010 Decadal Survey and the 2013 Astrophysics Roadmap. The Off-plane Grating Rocket Experiment (OGRE), an X-ray spectroscopy suborbital rocket payload currently scheduled for launch in Q3 2020, will serve as a testbed for several key technologies which can help achieve the desired performance increases of future spectrometers. OGRE will be the first instrument to fly mono-crystalline silicon X-ray mirrors developed at NASA Goddard Space Flight Center. The payload will also utilize an array of off-plane gratings manufactured at The Pennsylvania State University. Additionally, the focal plane will be populated with an array of four electron-multiplying CCDs developed by the Open University and XCAM Ltd. With these key technologies, OGRE hopes to achieve the highest resolution on-sky soft X-ray spectrum to date. We discuss the optical design, expected performance, and the current status of the payload.

  3. Modeling optical transmissivity of graphene grate in on-chip silicon photonic device

    Science.gov (United States)

    Amiri, Iraj S.; Ariannejad, M. M.; Jalil, M. A.; Ali, J.; Yupapin, P.

    2018-06-01

    A three-dimensional (3-D) finite-difference-time-domain (FDTD) analysis was used to simulate a silicon photonic waveguide. We have calculated power and transmission of the graphene used as single or multilayers to study the light transmission behavior. A new technique has been developed to define the straight silicon waveguide integrated with grate graphene layer. The waveguide has a variable grate spacing to be filled by the graphene layer. The number of graphene atomic layers varies between 100 and 1000 (or 380 nm and 3800 nm), the transmitted power obtained varies as ∼30% and ∼80%. The ∼99%, blocking of the light was occurred in 10,000 (or 38,000 nm) atomic layers of the graphene grate.

  4. Hybrid fiber gratings coated with a catalytic sensitive layer for hydrogen sensing in air.

    Science.gov (United States)

    Caucheteur, Christophe; Debliquy, Marc; Lahem, Driss; Megret, Patrice

    2008-10-13

    Using hydrogen as fuel presents a potential risk of explosion and requires low cost and efficient leak sensors. We present here a hybrid sensor configuration consisting of a long period fiber grating (LPFG) and a superimposed uniform fiber Bragg grating (FBG). Both gratings are covered with a sensitive layer made of WO(3) doped with Pt on which H(2) undergoes an exothermic reaction. The released heat increases the temperature around the gratings. In this configuration, the LPFG favors the exothermic reaction thanks to a light coupling to the sensitive layer while the FBG reflects the temperature change linked to the hydrogen concentration. Our sensor is very fast and suitable to detect low hydrogen concentrations in air whatever the relative humidity level and for temperatures down to -50 degrees C, which is without equivalent for other hydrogen optical sensors reported so far.

  5. Polarization-independent high-index contrast grating and its fabrication tolerances

    DEFF Research Database (Denmark)

    Ikeda, Kazuhiro; Takeuchi, Kazuma; Takayose, Kentaro

    2013-01-01

    also investigated the fabrication tolerances of the structure and found that, assuming careful optimizations of electron beam lithography for the precise grating width and dry-etching for the vertical sidewall, the suggested polarization-independent HCG can be fabricated using standard technologies.......A polarization-independent, high-index contrast grating (HCG) with a single layer of cross stripes allowing simple fabrication is proposed. Since the cross stripes structure can be suspended in air by selectively wet-etching the layer below, all the layers can be grown at once when implemented...

  6. Ultrahigh-efficiency apodized grating coupler using fully etched photonic crystals

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ou, Haiyan; Peucheret, Christophe

    2013-01-01

    We present an efficient method to design apodized grating couplers with Gaussian output profiles for efficient coupling between standard single mode fibers and silicon chips. An apodized grating coupler using fully etched photonic crystal holes on the silicon-on-insulator platform is designed......, and fabricated in a single step of lithography and etching. An ultralow coupling loss of x2212;1.74x2009;x2009;dB (67% coupling efficiency) with a 3xA0;dB bandwidth of 60xA0;nm is experimentally measured....

  7. Development of generalised model for grate combustion of biomass. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Rosendahl, L.

    2007-02-15

    This project has been divided into two main parts, one of which has focused on modelling and one on designing and constructing a grate fired biomass test rig. The modelling effort has been defined due to a need for improved knowledge of the transport and conversion processes within the bed layer for two reasons: 1) to improve emission understanding and reduction measures and 2) to improve boundary conditions for CFD-based furnace modelling. The selected approach has been based on a diffusion coefficient formulation, where conservation equations for the concentration of fuel are solved in a spatially resolved grid, much in the same manner as in a finite volume CFD code. Within this porous layer of fuel, gas flows according to the Ergun equation. The diffusion coefficient links the properties of the fuel to the grate type and vibration mode, and is determined for each combination of fuel, grate and vibration mode. In this work, 3 grates have been tested as well as 4) types of fuel, drinking straw, wood beads, straw pellets and wood pellets. Although much useful information and knowledge has been obtained on transport processes in fuel layers, the model has proved to be less than perfect, and the recommendation is not to continue along this path. New visual data on the motion of straw on vibrating grates indicate that a diffusion governed motion does not very well represent the transport. Furthermore, it is very difficult to obtain the diffusion coefficient in other places than the surface layer of the grate, and it is not likely that this is representative for the motion within the layer. Finally, as the model complexity grows, model turnover time increases to a level where it is comparable to that of the full furnace model. In order to proceed and address the goals of the first paragraph, it is recommended to return to either a walking column approach or even some other, relatively simple method of prediction, and combine this with a form of randomness, to mimic the

  8. Microfiber Bragg grating hydrogen sensor base on co-sputtered Pd/Ni composite film

    Science.gov (United States)

    Wang, Gaopeng; Yang, Minghong; Dai, Jixiang; Cheng, Cheng; Yuan, Yinqian

    2015-07-01

    A novel hydrogen sensor based on Pd/Ni co-sputtered coating on micro fiber Bragg grating (MFBG) is proposed and experimentally demonstrated. The microfiber is stretched uniformly and the Bragg grating is directly inscribed on the microfiber without hydrogen loading using 193 nm ArF excimer laser and a phase mask. Palladium and nickel coatings are co-sputtered on the micro fiber Bragg grating for hydrogen sensing. The MFBG hydrogen sensors are characterized concerning their response to the hydrogen, ambient temperature and ambient refractive index, respectively. The performance of the proposed MFBG hydrogen sensor is obviously enhanced, especially when compared to standard FBG hydrogen sensors.

  9. Study on guided-mode resonance characteristic of multilayer dielectric grating with broadband and wide using-angle

    International Nuclear Information System (INIS)

    Jian-Peng, Wang; Yun-Xia, Jin; Jian-Yong, Ma; Jian-Da, Shao; Zheng-Xiu, Fan

    2010-01-01

    Guided-mode resonance in a diffraction band of multilayer dielectric gratings may lead to a catastrophic result in laser system, especially in the ultrashort pulse laser system, so the inhibition of guided-mode resonance is very important. In this paper the characteristics of guided-mode resonance in multilayer dielectric grating are studied with the aim of better understanding the physical process of guided-mode resonance and designing a broadband multilayer dielectric grating with no guided-mode resonance. By employing waveguide theory, all guided-wave modes appearing in multilayer dielectric grating are found, and the incident conditions, separately, corresponding to each guided-wave mode are also obtained. The electric field enhancement in multilayer dielectric grating is shown obviously. Furthermore, from the detailed analyses on the guided-mode resonance conditions, it is found that the reduction of the grating period would effectively avoid the appearing of guided-mode resonance. And the expressions for calculating maximum periods, which ensure that no guided-mode resonance occurs in the requiring broad angle or wavelength range, are first reported. The above results calculated by waveguide theory and Fourier mode method are compared with each other, and they are coincident completely. Moreover, the method that relies on waveguide theory is more helpful for understanding the guided-mode resonance excited process and analyzing how each parameter affects the characteristic of guided-mode resonance. Therefore, the effects of multilayer dielectric grating parameters, such as period, fill factor, thickness of grating layer, et al., on the guided-mode resonance characteristic are discussed in detail based on waveguide theory, and some meaningful results are obtained. (classical areas of phenomenology)

  10. Photorefractive grating formation in piezoelectric La3Ga5SiO14:Pr3+ crystals

    DEFF Research Database (Denmark)

    Dam-Hansen, C.; Johansen, P.M.; Fridkin, V.M.

    1996-01-01

    Photorefractive grating formation and erasure in piezoelectric crystals of La3Ga5SiO14:Pr3+ are presented. The specific photoconductivity and the photorefractive sensitivity are determined. The polarization dependence of the grating formation due to the bulk photovoltaic effect is shown and compa......Photorefractive grating formation and erasure in piezoelectric crystals of La3Ga5SiO14:Pr3+ are presented. The specific photoconductivity and the photorefractive sensitivity are determined. The polarization dependence of the grating formation due to the bulk photovoltaic effect is shown...... and compared favorably with the theoretical expression. This photorefractive material provides a possibility for separate investigations of the charge migration processes responsible for the photorefractive effect. (C) 1996 American Institute of Physics....

  11. Biomimetic spiral grating for stable and highly efficient absorption in crystalline silicon thin-film solar cells

    KAUST Repository

    Hou, Jin; Hong, Wei; Li, Xiaohang; Yang, Chunyong; Chen, Shaoping

    2017-01-01

    By emulating the phyllotaxis structure of natural plants, which has an efficient and stable light capture capability, a two-dimensional spiral grating is introduced on the surface of crystalline silicon solar cells to obtain both efficient and stable light absorption. Using the rigorous coupled wave analysis method, the absorption performance on structural parameter variations of spiral gratings is investigated firstly. Owing to diffraction resonance and excellent superficies antireflection, the integrated absorption of the optimal spiral grating cell is raised by about 77 percent compared with the conventional slab cell. Moreover, though a 15 percent deviation of structural parameters from the optimal spiral grating is applied, only a 5 percent decrease of the absorption is observed. This reveals that the performance of the proposed grating would tolerate large structural variations. Furthermore, the angular and polarization dependence on the absorption of the optimized cell is studied. For average polarizations, a small decrease of only 11 percent from the maximum absorption is observed within an incident angle ranging from −70 to 70 degrees. The results show promising application potentials of the biomimetic spiral grating in the solar cell.

  12. Biomimetic spiral grating for stable and highly efficient absorption in crystalline silicon thin-film solar cells

    KAUST Repository

    Hou, Jin

    2017-09-12

    By emulating the phyllotaxis structure of natural plants, which has an efficient and stable light capture capability, a two-dimensional spiral grating is introduced on the surface of crystalline silicon solar cells to obtain both efficient and stable light absorption. Using the rigorous coupled wave analysis method, the absorption performance on structural parameter variations of spiral gratings is investigated firstly. Owing to diffraction resonance and excellent superficies antireflection, the integrated absorption of the optimal spiral grating cell is raised by about 77 percent compared with the conventional slab cell. Moreover, though a 15 percent deviation of structural parameters from the optimal spiral grating is applied, only a 5 percent decrease of the absorption is observed. This reveals that the performance of the proposed grating would tolerate large structural variations. Furthermore, the angular and polarization dependence on the absorption of the optimized cell is studied. For average polarizations, a small decrease of only 11 percent from the maximum absorption is observed within an incident angle ranging from −70 to 70 degrees. The results show promising application potentials of the biomimetic spiral grating in the solar cell.

  13. Use of first-order diffraction wavelengths corresponding to dual-grating periodicities in a single fibre Bragg grating for simultaneous temperature and strain measurement

    International Nuclear Information System (INIS)

    Yam, Sui P; Brodzeli, Zourab; Rollinson, Claire M; Baxter, Greg W; Collins, Stephen F; Wade, Scott A

    2009-01-01

    A fibre Bragg grating (FBG) sensor, fabricated using a phase mask with 536 nm uniform pitch, for simultaneous temperature and strain measurement is presented. Two peaks/dips occur, at 785 and 1552 nm, due to reflection/transmission at the Bragg wavelength and at twice the Bragg wavelength, and arising primarily from FBG periodicities associated with half the phase mask periodicity and the phase mask periodicity, respectively. This grating was simple to fabricate and by having greater reflectivity at 785 nm, compared with 1552 nm, it is better suited for long-distance operation compared with similar schemes where the greater fibre attenuation at 785 nm is a significant limitation

  14. Research on fiber Bragg grating heart sound sensing and wavelength demodulation method

    Science.gov (United States)

    Zhang, Cheng; Miao, Chang-Yun; Gao, Hua; Gan, Jing-Meng; Li, Hong-Qiang

    2010-11-01

    Heart sound includes a lot of physiological and pathological information of heart and blood vessel. Heart sound detecting is an important method to gain the heart status, and has important significance to early diagnoses of cardiopathy. In order to improve sensitivity and reduce noise, a heart sound measurement method based on fiber Bragg grating was researched. By the vibration principle of plane round diaphragm, a heart sound sensor structure of fiber Bragg grating was designed and a heart sound sensing mathematical model was established. A formula of heart sound sensitivity was deduced and the theoretical sensitivity of the designed sensor is 957.11pm/KPa. Based on matched grating method, the experiment system was built, by which the excursion of reflected wavelength of the sensing grating was detected and the information of heart sound was obtained. Experiments show that the designed sensor can detect the heart sound and the reflected wavelength variety range is about 70pm. When the sampling frequency is 1 KHz, the extracted heart sound waveform by using the db4 wavelet has the same characteristics with a standard heart sound sensor.

  15. A high spatial resolution distributed optical fiber grating sensing system based on OFDR

    Science.gov (United States)

    Dong, Ke; Xiong, Yuchuan; Wen, Hongqiao; Tong, Xinlin; Zhang, Cui; Deng, Chengwei

    2017-10-01

    A distributed optical fiber grating sensing system with large capacity and high spatial resolution is presented. Since highdensity identical weak grating array was utilized as sensing fiber, the multiplexing number was greatly increased, meanwhile, optical frequency domain reflectometry (OFDR) technology was used to implement high resolution distributed sensing system. In order to eliminate the nonlinear effect of tunable light source, a windowed FFT algorithm based on cubic spline interpolation was applied. The feasibility of the algorithm was experimentally testified, ultimately, the spatial resolution of system can reach mm-level. The influence of the crosstalk signal in the grating array on the OFDR system was analyzed. A method that a long enough delay fiber was added before the first FBG to remove crosstalk signal was proposed. The experiment was verified using an optical fiber with 113 uniform Bragg gratings at an interval of 10cm whose reflectivity are less than 1%. It demonstrates that crosstalk signal and measurement signal can be completely separated in the distance domain after adding a long enough delay fiber. Finally, the temperature experiment of distributed grating sensing system was carried out. The results display that each raster's center wavelength in the fiber link is independent of each other and the center wavelength drift has a good linear relationship with the temperature. The sensitivity of linear fitting is equal to 11.1pm/°C.

  16. PiC code KARAT simulations of Coherent THz Smith-Purcell Radiation from diffraction gratings of various profiles

    International Nuclear Information System (INIS)

    Artyomov, K P; Ryzhov, V V; Potylitsyn, A P; Sukhikh, L G

    2017-01-01

    Generation of coherent THz Smith-Purcell radiation by single electron bunch or multi-bunched electron beam was simulated for lamellar, sinusoidal and echelette gratings. The dependences of the CSPR intensity of the corrugation gratings depth were investigated. The angular and spectral characteristics of the CSPR for different profiles of diffraction gratings were obtained. It is shown that in the case of femtosecond multi-bunched electron beam with 10 MeV energy sinusoidal grating with period 292 μm and groove depth 60 μm has the uniform angular distribution with high radiation intensity. (paper)

  17. Bragg gratings in air-silica structured fibers

    NARCIS (Netherlands)

    Groothoff, N.; Canning, J.; Buckley, E.; Lyttikainen, K.; Zagari, J.

    2003-01-01

    We report on grating writing in air-silica structured optical fibers with pure silica cores by use of two-photon absorption at 193 nm. A decrease in propagation loss with irradiation was observed. The characteristic growth curves were obtained. © 2003 Optical Society of America.

  18. Surface Fluctuation Scattering using Grating Heterodyne Spectroscopy

    DEFF Research Database (Denmark)

    Edwards, R. V.; Sirohi, R. S.; Mann, J. A.

    1982-01-01

    Heterodyne photon spectroscopy is used for the study of the viscoelastic properties of the liquid interface by studying light scattered from thermally generated surface fluctuations. A theory of a heterodyne apparatus based on a grating is presented, and the heterodyne condition is given in terms...

  19. Polymer waveguide Bragg grating Fabry–Perot filter using a nanoimprinting technique

    International Nuclear Information System (INIS)

    Binfeng, Yun; Guohua, Hu; Yiping, Cui

    2014-01-01

    A narrow band waveguide Fabry–Perot filter at 1550 nm, which is composed of two polymer waveguide Bragg gratings as reflectors, is presented. By using conventional lithography, a low-loss polymer channel waveguide was fabricated, and the submicron Bragg grating structure was transferred onto the waveguide surface using a nanoimprinting technique. The transmission spectrum of the device was measured, and the results show that there is a very narrow transmission peak, with a 3 dB bandwidth of 0.011 nm in the 0.38 nm rejection band of the waveguide Bragg grating. A quality factor of Q ≈ 1.41 × 10 5 is achieved. The insertion loss and the extinction ratio of the Fabry–Perot filter are about −12.5 dB and 17 dB, respectively. In addition, the measured transmission spectrum is in excellent accordance with the numerical simulation. (paper)

  20. Fiber optic liquid-level sensor using a long fiber Bragg grating

    Science.gov (United States)

    Ricchiuti, Amelia L.; Barrera, David; Nonaka, Koji; Sales, Salvador

    2013-05-01

    A technique for liquid-level sensors based on a long fiber Bragg grating (FBG) is presented and experimentally demonstrated. The measurement system is based on the measurement of the central frequency distribution of the FBG based on time-frequency domain analysis. A short optical pulse is injected into a 10-cm long FBG mounted in a container. The back-reflected pulse is scanned by means of an oscilloscope. When part of the grating is immersed in a liquid having temperature higher than the surrounding ambient, the structure of the uniform grating is distorted and its time-frequency response changes. A spatial resolution of 2 mm, given by the input pulse duration, and a 10-cm long measurement range are achieved. Liquid-temperature sensing has also been implemented by scanning the spectral response of the FBG by means of a CW laser and an OSA.

  1. Palladium coated fibre Bragg grating based hydrogen sensor

    International Nuclear Information System (INIS)

    Kasinathan, M.; Sosamma, S.; Kishore, S.; Elumalai, V.; Krishnan, R.; Babu Rao, C.; Dash, Sitaram; Murali, N.; Jayakumar, T.

    2011-01-01

    Detection of steam generator leaks in fast nuclear reactors is carried out by monitoring hydrogen in argon cover-gas. Hydrogen released during sodium cleaning of fast reactor components is required to be monitored. Hydrogen sensors with good sensitivity, stability and response time are required for all the above applications. We report a new type of hydrogen sensor with a Fibre Bragg Grating (FBG) coated with palladium thin film which is used to detect the leak of hydrogen gas in the Steam Generator (SG) module of the Fast Breeder Reactor (FBR). If water leaks into sodium, it results in sodium-water reaction. In this reaction hydrogen and sodium hydroxide are formed. Due to the explosive risk of hydrogen system, hydrogen sensors are of great interest in this case. It is known that hydrogen forms an explosive mixture with air once its concentration exceeds beyond the explosion limit of four percent. The advantages of FBG based hydrogen sensor over the other hydrogen sensors are its inherent property of safety from sparking, immunity to ambient electromagnetic interference. The sensing mechanism in this device is based on mechanical strain that is induced in the palladium coating when it absorbs hydrogen. This process physically stretches the grating and causes the grating period and grating's refractive index, to change. The Bragg wavelength shift is directly proportional to the strain induced and can be directly related to the percentage of hydrogen exposure. The online monitoring of palladium thin film coating on FBG is carried out and recorded the wavelength change and strain induced on the FBG. A hydrogen sensor set up have been fabricated which consists of SS vessel of capacity 10 litres, provided with pressure gauge, Argon filling line with a valve, Hydrogen injection line with flange, a vent line with valve and Hydrogen sensor fixing point. The Palladium coated FBG based Hydrogen sensor is tested in this experimental facility in the exposure of hydrogen in

  2. Investigating the interaction of x-ray free electron laser radiation with grating structure

    NARCIS (Netherlands)

    Gaudin, J.; Ozkan, C.; Chalupsky, J.; Bajt, S.; Burian, T.; Vysin, L.; Coppola, N.; Farahani, S. D.; Chapman, H. N.; Galasso, G.; Hajkova, V.; Harmand, M.; Juha, L.; Jurek, M.; Loch, R. A.; Möller, S.; Nagasono, M.; Stormer, M.; Sinn, H.; Saksl, K.; Sobierajski, R.; Schulz, J.; Sovak, P.; Toleikis, S.; Tiedtke, K.; Tschentscher, T.; Krzywinski, J.

    2012-01-01

    The interaction of free electron laser pulses with grating structure is investigated using 4.6 +/- 0.1 nm radiation at the FLASH facility in Hamburg. For fluences above 63.7 +/- 8.7 mJ/cm(2), the interaction triggers a damage process starting at the edge of the grating structure as evidenced by

  3. Advancing grate-firing for greater environmental impacts and efficiency for decentralized biomass/wastes combustion

    DEFF Research Database (Denmark)

    Yin, Chungen; Li, Shuangshuang

    2017-01-01

    to well suit decentralized biomass and municipal/industrial wastes combustion. This paper discusses with concrete examples how to advance grate-firing for greater efficiency and environmental impacts, e.g., use of advanced secondary air system, flue gas recycling and optimized grate assembly, which...

  4. Determination of modulation transfer function of a printer by measuring the autocorrelation of the transmission function of a printed Ronchi grating

    International Nuclear Information System (INIS)

    Madanipour, Khosro; Tavassoly, Mohammad T.

    2009-01-01

    We show theoretically and verify experimentally that the modulation transfer function (MTF) of a printing system can be determined by measuring the autocorrelation of a printed Ronchi grating. In practice, two similar Ronchi gratings are printed on two transparencies and the transparencies are superimposed with parallel grating lines. Then, the gratings are uniformly illuminated and the transmitted light from a large section is measured versus the displacement of one grating with respect to the other in a grating pitch interval. This measurement provides the required autocorrelation function for determination of the MTF

  5. Crack displacement sensing and measurement in concrete using circular grating moire fringes and pattern matching

    Science.gov (United States)

    Chan, H. M.; Yen, K. S.; Ratnam, M. M.

    2008-09-01

    The moire method has been extensively studied in the past and applied in various engineering applications. Several techniques are available for generating the moire fringes in these applications, which include moire interferometry, projection moire, shadow moire, moire deflectometry etc. Most of these methods use the superposition of linear gratings to generate the moire patterns. The use of non-linear gratings, such as circular, radial and elongated gratings has received less attention from the research community. The potential of non-linear gratings in engineering measurement has been realized in a limited number of applications, such as rotation measurement, measurement of linear displacement, measurement of expansion coefficients of materials and measurement of strain distribution. In this work, circular gratings of different pitch were applied to the sensing and measurement of crack displacement in concrete structures. Gratings of pitch 0.50 mm and 0.55 mm were generated using computer software and attached to two overlapping acrylic plates that were bonded to either side of the crack. The resulting moire patterns were captured using a standard digital camera and compared with a set of reference patterns generated using a precision positioning stage. Using several image pre-processing stages, such as filtering and morphological operations, and pattern matching the magnitude displacements along two orthogonal axes can be detected with a resolution of 0.05 mm.

  6. A modified phase diversity wavefront sensor with a diffraction grating

    International Nuclear Information System (INIS)

    Luo Qun; Huang Lin-Hai; Gu Nai-Ting; Rao Chang-Hui

    2012-01-01

    The phase diversity wavefront sensor is one of the tools used to estimate wavefront aberration, and it is often used as a wavefront sensor in adaptive optics systems. However, the performance of the traditional phase diversity wavefront sensor is limited by the accuracy and dynamic ranges of the intensity distribution at the focus and defocus positions of the CCD camera. In this paper, a modified phase diversity wavefront sensor based on a diffraction grating is proposed to improve the ability to measure the wavefront aberration with larger amplitude and higher spatial frequency. The basic principle and the optics construction of the proposed method are also described in detail. The noise propagation property of the proposed method is also analysed by using the numerical simulation method, and comparison between the diffraction grating phase diversity wavefront sensor and the traditional phase diversity wavefront sensor is also made. The simulation results show that the diffraction grating phase diversity wavefront sensor can obviously improve the ability to measure the wavefront aberration, especially the wavefront aberration with larger amplitude and higher spatial frequency

  7. Distributed grating-assisted coupler for optical all-dielectric electron accelerator

    Directory of Open Access Journals (Sweden)

    Zhiyu Zhang

    2005-07-01

    Full Text Available A Bragg waveguide consisting of multiple dielectric layers with alternating index of refraction becomes an excellent option to form electron accelerating structure powered by high power laser sources. It provides confinement of a synchronous speed-of-light mode with extremely low loss. However, laser field cannot be coupled into the structure collinearly with the electron beam. There are three requirements in designing input coupler for a Bragg electron accelerator: side coupling, selective mode excitation, and high coupling efficiency. We present a side-coupling scheme using a distributed grating-assisted coupler to inject the laser power into the waveguide. Side coupling is achieved by a grating with a period on the order of an optical wavelength. The phase matching condition results in resonance coupling thus providing selective mode excitation capability. The coupling efficiency is limited by profile matching between the outgoing beam and the incoming beam, which has normally a Gaussian profile. We demonstrate a nonuniform distributed grating structure generating an outgoing beam with a Gaussian profile, therefore, increasing the coupling efficiency.

  8. High-speed two-dimensional laser scanner based on Bragg gratings stored in photothermorefractive glass.

    Science.gov (United States)

    Yaqoob, Zahid; Arain, Muzammil A; Riza, Nabeel A

    2003-09-10

    A high-speed free-space wavelength-multiplexed optical scanner with high-speed wavelength selection coupled with narrowband volume Bragg gratings stored in photothermorefractive (PTR) glass is reported. The proposed scanner with no moving parts has a modular design with a wide angular scan range, accurate beam pointing, low scanner insertion loss, and two-dimensional beam scan capabilities. We present a complete analysis and design procedure for storing multiple tilted Bragg-grating structures in a single PTR glass volume (for normal incidence) in an optimal fashion. Because the scanner design is modular, many PTR glass volumes (each having multiple tilted Bragg-grating structures) can be stacked together, providing an efficient throughput with operations in both the visible and the infrared (IR) regions. A proof-of-concept experimental study is conducted with four Bragg gratings in independent PTR glass plates, and both visible and IR region scanner operations are demonstrated.

  9. Single- and two-phase flow characterization using optical fiber bragg gratings.

    Science.gov (United States)

    Baroncini, Virgínia H V; Martelli, Cicero; da Silva, Marco José; Morales, Rigoberto E M

    2015-03-17

    Single- and two-phase flow characterization using optical fiber Bragg gratings (FBGs) is presented. The sensor unit consists of the optical fiber Bragg grating positioned transversely to the flow and fixed in the pipe walls. The hydrodynamic pressure applied by the liquid or air/liquid flow to the optical fiber induces deformation that can be detected by the FBG. Given that the applied pressure is directly related to the mass flow, it is possible to establish a relationship using the grating resonance wavelength shift to determine the mass flow when the flow velocity is well known. For two phase flows of air and liquid, there is a significant change in the force applied to the fiber that accounts for the very distinct densities of these substances. As a consequence, the optical fiber deformation and the correspondent grating wavelength shift as a function of the flow will be very different for an air bubble or a liquid slug, allowing their detection as they flow through the pipe. A quasi-distributed sensing tool with 18 sensors evenly spread along the pipe is developed and characterized, making possible the characterization of the flow, as well as the tracking of the bubbles over a large section of the test bed. Results show good agreement with standard measurement methods and open up plenty of opportunities to both laboratory measurement tools and field applications.

  10. Using InTeGrate materials to develop interdisciplinary thinking for a sustainable future

    Science.gov (United States)

    Awad, A. A.; Gilbert, L.; Iverson, E. A. R.; Manduca, C. A.; Steer, D. N.

    2017-12-01

    InTeGrate materials focus on societal grand challenges, sustainability, and interdisciplinary problems through developing geoscientific habits of mind, the use of credible data, and systems thinking. The materials are freely available 2-3 week modules and courses that allow instructors to focus on a wide variety of topics from regulating carbon emissions, changing biosphere, and storms and community resilience to environmental justice, ocean sustainability, and humans' dependence on mineral resources, integrating a variety of relevant interdisciplinary activities throughout. Presented with interdisciplinary approaches, students learn with tools to integrate engineering, policy, economics, and social aspects with the science to address the challenges. Students' ability to apply interdisciplinary approaches to address sustainability problems is made visible through the essays they write as a part of the materials assessment. InTeGrate modules have been adopted and implemented by faculty members interested in sustainability themes and innovative pedagogy, and have reached more than 50,000 students in all 50 states, Puerto Rico, India, and Micronesia. Student data were collected from 533 assessment essays in 57 undergraduate classes. The essays required students to describe a global challenge in an interdisciplinary manner through identifying scientific implications, and connecting it to economic, social and policy decisions. Students also completed a second essay assessing their systems thinking ability, a geoscience literacy exam (GLE), and demographic and attitudinal surveys. Scores for students enrolled in classes using InTeGrate materials were compared to scores from students in similar classes that did not use InteGrate materials. The InTeGrate and control groups had equivalent GLE scores and demographic characteristics. Essay scores for students in InTeGrate introductory or majors courses outperformed students in comparable level control courses as measured by

  11. Method for the construction of a pipe supporting grating in a heat exchanger and means for applying this method

    International Nuclear Information System (INIS)

    1984-01-01

    Usually, the grating that supports the pipes of a heat exchanger are kept together by various coupling parts like metallic bands, strips and belts. Such a construction is very complex and takes a lot of time. According to the invention the grating may also be made using only two half products. This is attained by coating the grating pipes with solder and by interconnecting the grating pipes with wires in such a way that there is almost a whole winding round each grating pipe. Thereupon the construction is heated to solder the pipes and wires together. (G.J.P.)

  12. Computer simulation of multiple dynamic photorefractive gratings

    DEFF Research Database (Denmark)

    Buchhave, Preben

    1998-01-01

    The benefits of a direct visualization of space-charge grating buildup are described. The visualization is carried out by a simple repetitive computer program, which simulates the basic processes in the band-transport model and displays the result graphically or in the form of numerical data. The...

  13. Fiber design and realization of point-by-point written fiber Bragg gratings in polymer optical fibers

    DEFF Research Database (Denmark)

    Stefani, Alessio; Stecher, Matthias; Town, Graham E.

    2012-01-01

    the gratings make the point-by-point grating writing technique very interesting and would appear to be able to fill this technological gap. On the other end this technique is hardly applicable for microstructured fibers because of the writing beam being scattered by the air-holes. We report on the design...... and because they allow to tune the guiding parameters by modifying the microstructure. Now a days the only technique used to write gratings in such fibers is the phase mask technique with UV light illumination. Despite the good results that have been obtained, a limited flexibility on the grating design...... and the very long times required for the writing of FBGs raise some questions about the possibility of exporting POF FBGs and the sensors based on them from the laboratory bench to the mass production market. The possibility of arbitrary design of fiber Bragg gratings and the very short time required to write...

  14. Measurement of chloride-ion concentration with long-period grating technology

    Science.gov (United States)

    Tang, Jaw-Luen; Wang, Jian-Neng

    2007-06-01

    A simple and low-cost long-period fiber grating (LPG) sensor suited for chloride-ion concentration measurement is presented. The LPG sensor is found to be sensitive to the refractive index of the medium around the cladding surface of the sensing grating, thus offering the prospect of development of practical sensors such as an ambient index sensor or a chemical concentration indicator with high stability and reliability. We measured chloride ions in a typical concrete sample immersed in salt water solutions with different weight concentrations ranging from 0% to 25%. Results show that the LPG sensor exhibited a linear decrease in the transmission loss and resonance wavelength shift when the concentration increased. The measurement accuracy for the concentration of salt in water solution is estimated to be 0.6% and the limit of detection for chloride ions is about 0.04%. To further enhance its sensitivity for chloride concentrations, we coated a monolayer of colloidal gold nanoparticles as the active material on the grating surface of the LPG sensor. The operating principle of sensing is based on the sensitivity of localized surface plasmon resonance of self-assembled gold colloids on the grating section of the LPG. With this method, a factor of two increase in the sensitivity of detecting chemical solution concentrations was obtained. The advantages of this type of fiber-optic sensor are that it is compact, relatively simple to construct and easy to use. Moreover, the sensor has the potential capability for on-site, in vivo and remote sensing, and it has potential use as a disposable sensor.

  15. Brillouin lasing in single-mode tapered optical fiber with inscribed fiber Bragg grating array

    Directory of Open Access Journals (Sweden)

    S.M. Popov

    2018-06-01

    Full Text Available A tapered optical fiber has been manufactured with an array of fiber Bragg gratings (FBG inscribed during the drawing process. The total fiber peak reflectivity is 5% and the reflection bandwidth is ∼3.5 nm. A coherent frequency domain reflectometry has been applied for precise profiling of the fiber core diameter and grating reflectivity both distributed along the whole fiber length. These measurements are in a good agreement with the specific features of Brillouin lasing achieved in the semi-open fiber cavity configuration. Keywords: Tapered optical fibers, Fiber Bragg gratings, Random lasers

  16. High force measurement sensitivity with fiber Bragg gratings fabricated in uniform-waist fiber tapers

    International Nuclear Information System (INIS)

    Wieduwilt, Torsten; Brückner, Sven; Bartelt, Hartmut

    2011-01-01

    Fiber Bragg gratings inscribed in the waist of tapered photosensitive fibers offer specific attractive properties for sensing applications. A small-diameter fiber reduces structural influences for imbedded fiber sensing elements. In the case of application as a force-sensing element for tensile forces, sensitivity scales inversely with the fiber cross-sectional area. It is therefore possible to increase force sensitivity by several orders of magnitude compared to Bragg grating sensors in conventionally sized fibers. Special requirements for such Bragg grating arrangements are discussed and experimental measurements for different fiber taper diameters down to 4 µm are presented

  17. Phase-shifted Bragg grating inscription in PMMA microstructured POF using 248 nm UV radiation

    DEFF Research Database (Denmark)

    Pereira, L.; Pospori, A.; Antunes, Paulo

    2017-01-01

    In this work we experimentally validate and characterize the first phase-shifted polymer optical fiber Bragg gratings (PS-POFBGs) produced using a single pulse from a 248 nm krypton fluoride laser. A single-mode poly (methyl methacrylate) optical fiber with a core doped with benzyl dimethyl ketal...... for photosensitivity improvement was used. A uniform phase mask customized for 850 nm grating inscription was used to inscribe these Bragg structures. The phase shift defect was created directly during the grating inscription process by placing a narrow blocking aperture in the center of the UV beam. The produced high...

  18. KiwiSpec: The Design and Performance of a High Resolution Echelle Spectrograph for Astronomy

    Science.gov (United States)

    Gibson, Steven Ross

    This document describes the design, analysis, construction and testing of KiwiSpec, a fibre-fed, high resolution astronomical spectrograph of an asymmetric white pupil design. The instrument employs an R4, 31.6 groove mm-1 échelle grating for primary dispersion and a 725 lines mm-1 volume phase holographic (VPH) based grism for cross-dispersion. Two versions of the prototype were designed and constructed: an 'in-air' prototype, and a prototype featuring a vacuum chamber (to increase the stability of the instrument). The KiwiSpec optical design is introduced, as well as a description of the theory behind a cross-dispersed échelle spectrograph. The results of tolerancing the optical design are reported for alignment, optical fabrication, and optical surface quality groups of parameters. The optical windows of an iodine cell are also toleranced. The opto-mechanical mounts of both prototypes are described in detail, as is the design of the vacuum chamber system. Given the goal of 1 m/s radial velocity stability, analyses were undertaken to determine the allowable amount of movement of the vacuum windows, and to determine the allowable changes in temperature and pressure within and outside of the vacuum chamber. The spectral efficiency of the instrument was estimated through a predictive model; this was calculated for the as-built instrument and also for an instrument with ideal, high-efficiency coatings. Measurements of the spectral efficiency of various components of the instrument are reported, as well as a description of the measurement system developed to test the efficiency of VPH gratings. On-sky efficiency measurements from use of KiwiSpec on the 1-m McLellan telescope at Mt John University Observatory are reported. Two possible exposure meter locations are explored via an efficiency model, and also through the measurement of the zero-order reflectivity of the échelle grating. Various stability aspects of the design are investigated. These include the

  19. Ultrabroadband Hybrid III-V/SOI Grating Reflector for On-chip Lasers

    DEFF Research Database (Denmark)

    Park, Gyeong Cheol; Taghizadeh, Alireza; Chung, Il-Sug

    2016-01-01

    We report on a new type of III-V/SOI grating reflector with a broad stopband of 350 nm. This reflector has promising prospects for applications in high-speed III-V/SOI vertical cavity lasers with an improved heat dissipation capability.......We report on a new type of III-V/SOI grating reflector with a broad stopband of 350 nm. This reflector has promising prospects for applications in high-speed III-V/SOI vertical cavity lasers with an improved heat dissipation capability....

  20. Quadratic grating apodized photon sieves for simultaneous multiplane microscopy

    Science.gov (United States)

    Cheng, Yiguang; Zhu, Jiangping; He, Yu; Tang, Yan; Hu, Song; Zhao, Lixin

    2017-10-01

    We present a new type of imaging device, named quadratic grating apodized photon sieve (QGPS), used as the objective for simultaneous multiplane imaging in X-rays. The proposed QGPS is structured based on the combination of two concepts: photon sieves and quadratic gratings. Its design principles are also expounded in detail. Analysis of imaging properties of QGPS in terms of point-spread function shows that QGPS can image multiple layers within an object field onto a single image plane. Simulated and experimental results in visible light both demonstrate the feasibility of QGPS for simultaneous multiplane imaging, which is extremely promising to detect dynamic specimens by X-ray microscopy in the physical and life sciences.

  1. Flat acoustic lens by acoustic grating with curled slits

    KAUST Repository

    Peng, Pai

    2014-10-01

    We design a flat sub-wavelength lens that can focus acoustic wave. We analytically study the transmission through an acoustic grating with curled slits, which can serve as a material with tunable impedance and refractive index for acoustic waves. The effective parameters rely on the geometry of the slits and are independent of frequency. A flat acoustic focusing lens by such acoustic grating with gradient effective refractive index is designed. The focusing effect is clearly observed in simulations and well predicted by the theory. We demonstrate that despite the large impedance mismatch between the acoustic lens and the matrix, the intensity at the focal point is still high due to Fabry-Perot resonance.

  2. Method to mosaic gratings that relies on analysis of far-field intensity patterns in two wavelengths

    Science.gov (United States)

    Hu, Yao; Zeng, Lijiang; Li, Lifeng

    2007-01-01

    We propose an experimental method to coherently mosaic two planar diffraction gratings. The method uses a Twyman-Green interferometer to guarantee the planar parallelism of the two sub-aperture gratings, and obtains the in-plane rotational error and the two translational errors from analysis of the far-field diffraction intensity patterns in two alignment wavelengths. We adjust the relative attitude and position of the two sub-aperture gratings to produce Airy disk diffraction patterns in both wavelengths. In our experiment, the repeatability of in-plane rotation adjustment was 2.35 μrad and that of longitudinal adjustment was 0.11 μm. The accuracy of lateral adjustment was about 2.9% of the grating period.

  3. Enhancement of terahertz radiation in a Smith-Purcell backward-wave oscillator by an inverse wet-etched grating

    International Nuclear Information System (INIS)

    Kim, Jung-Il; Jeon, Seok-Gy; Kim, Geun-Ju; Kim, Jaehong

    2011-01-01

    A terahertz (THz) Smith-Purcell (SP) backward-wave oscillator with an inverse wet-etched grating based on silicon has been proposed to enhance radiation intensity. This grating strengthens the interactions between an electron beam and the evanescent wave due to the adjacent surface structure between gratings that improves the magnitude of the electric field up to 1.7 times compared to the conventional rectangular gratings. A two-dimensional particle-in-cell (PIC) simulation shows that the radiated power is increased up to 2.3 times higher at the radiated frequency of 0.66 THz for an electron-beam energy of 30 keV.

  4. Optical image hiding based on chaotic vibration of deformable moiré grating

    Science.gov (United States)

    Lu, Guangqing; Saunoriene, Loreta; Aleksiene, Sandra; Ragulskis, Minvydas

    2018-03-01

    Image hiding technique based on chaotic vibration of deformable moiré grating is presented in this paper. The embedded secret digital image is leaked in a form of a pattern of time-averaged moiré fringes when the deformable cover grating vibrates according to a chaotic law of motion with a predefined set of parameters. Computational experiments are used to demonstrate the features and the applicability of the proposed scheme.

  5. Surface morphology of refractive-index waveguide gratings fabricated in polymer films

    Science.gov (United States)

    Dong, Yi; Song, Yan-fang; Ma, Lei; Gao, Fang-fang

    2016-09-01

    The characteristic modifications are reported on the surface of polymeric waveguide film in the process of volume- grating fabrication. The light from a mode-locked 76 MHz femtosecond laser with pulse duration of 200 fs and wavelength of 800 nm is focused normal to the surface of the sample. The surface morphology modifications are ascribed to a fact that surface swelling occurs during the process. Periodic micro-structure is inscribed with increasing incident power. The laser-induced swelling threshold on the grating, which is higher than that of two-photon initiated photo-polymerization (TPIP) (8 mW), is verified to be about 20 mW. It is feasible to enhance the surface smoothness of integrated optics devices for further encapsulation. The variation of modulation depth is studied for different values of incident power and scan spacing. Ablation accompanied with surface swelling appears when the power is higher. By optimizing the laser carving parameters, highly efficient grating devices can be fabricated.

  6. Ultra-high temperature chirped fiber Bragg gratings produced by gradient stretching of viscoelastic silica.

    Science.gov (United States)

    Gao, Shaorui; Canning, John; Cook, Kevin

    2013-12-15

    By applying a suitable quadratic temperature distribution at a temperature within the viscoelastic softening region for silica, a regenerated chirped grating with bandwidth of 9.8 nm is produced from a uniform grating using post strain-tuning under load. Simulated and experimental results are in good agreement.

  7. High-directionality fiber-chip grating coupler with interleaved trenches and subwavelength index-matching structure.

    Science.gov (United States)

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Cheben, Pavel; Schmid, Jens H; Wang, Shurui; Xu, Dan-Xia; Lapointe, Jean; Janz, Siegfried; Halir, Robert; Ortega-Moñux, Alejandro; Wangüemert-Pérez, J Gonzalo; Molina-Fernández, Iñigo; Fédéli, Jean-Marc; Vivien, Laurent; Dado, Milan

    2015-09-15

    We present the first experimental demonstration of a new fiber-chip grating coupler concept that exploits the blazing effect by interleaving the standard full (220 nm) and shallow etch (70 nm) trenches in a 220 nm thick silicon layer. The high directionality is obtained by controlling the separation between the deep and shallow trenches to achieve constructive interference in the upward direction and destructive interference toward the silicon substrate. Utilizing this concept, the grating directionality can be maximized independent of the bottom oxide thickness. The coupler also includes a subwavelength-engineered index-matching region, designed to reduce the reflectivity at the interface between the injection waveguide and the grating. We report a measured fiber-chip coupling efficiency of -1.3  dB, the highest coupling efficiency achieved to date for a surface grating coupler in a 220 nm silicon-on-insulator platform fabricated in a conventional dual-etch process without high-index overlays or bottom mirrors.

  8. Laser-assisted preparation and photoelectric properties of grating-structured Pt/FTO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Nai-fei, E-mail: rnf_ujs@126.com [School of Mechanical Engineering, Jiangsu University, Zhenjiang 212013 (China); Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); Huang, Li-jing, E-mail: lij_huang@126.com [Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); School of Materials Science and Engineering, Jiangsu University, Zhenjiang 212013 (China); Li, Bao-jia [Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); School of Materials Science and Engineering, Jiangsu University, Zhenjiang 212013 (China); Zhou, Ming [Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); The State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)

    2014-09-30

    Highlights: • Pt layers were deposited by DC magnetron sputtering on commercial FTO glasses. • Pt/FTO films were irradiated by laser for inducing gratings and annealing. • An ideal grating-structured Pt/FTO film was obtained using a fluence of 1.05 J/cm{sup 2}. • The grating-structured Pt/FTO film exhibited excellent photoelectric properties. • Laser-assisted treatment is effective for improving performance of FTO-based films. - Abstract: In order to improve the transparency and conductivity of commercial fluorine-doped tin oxide (FTO) glass, platinum (Pt) layers were deposited on the FTO film by direct current (DC) magnetron sputtering, followed by being irradiating with a 532 nm nanosecond pulsed laser for the dual purpose of inducing grating structures and annealing. Introducing a Pt layer decreased the average transmittance (400–800 nm) and the sheet resistance of the initial FTO film from 80.2% and 8.4 Ω/sq to 68.6% and 7.9 Ω/sq, respectively. The ideal grating-structured Pt/FTO film was obtained by laser irradiation with a fluence of 1.05 J/cm{sup 2}, and X-ray diffraction (XRD) analysis confirmed that this film underwent optimal annealing. As a result, it exhibited an average transmittance (400–800 nm) of 84.1% and a sheet resistance of 6.8 Ω/sq. These results indicated that laser-assisted treatment combined with introduction of metal layer can effectively improve photoelectric properties of FTO single-layer films.

  9. Focused-ion beam patterning of organolead trihalide perovskite for subwavelength grating nanophotonic applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-07-30

    The coherent amplified spontaneous emission and high photoluminescence quantum efficiency of organolead trihalide perovskite have led to research interest in this material for use in photonic devices. In this paper, the authors present a focused-ion beam patterning strategy for methylammonium lead tribromide (MAPbBr3) perovskite crystal for subwavelength grating nanophotonic applications. The essential parameters for milling, such as the number of scan passes, dwell time, ion dose, ion current, ion incident angle, and gas-assisted etching, were experimentally evaluated to determine the sputtering yield of the perovskite. Based on our patterning conditions, the authors observed that the sputtering yield ranged from 0.0302 to 0.0719 μm3/pC for the MAPbBr3 perovskite crystal. Using XeF2 for the focused-ion beam gas-assisted etching, the authors determined that the etching rate was reduced to between 0.40 and 0.97, depending on the ion dose, compared with milling with ions only. Using the optimized patterning parameters, the authors patterned binary and circular subwavelength grating reflectors on the MAPbBr3 perovskite crystal using the focused-ion beam technique. Based on the computed grating structure with around 97% reflectivity, all of the grating dimensions (period, duty cycle, and grating thickness) were patterned with nanoscale precision (>±3 nm), high contrast, and excellent uniformity. Our results provide a platform for utilizing the focused-ion beam technique for fast prototyping of photonic nanostructures or nanodevices on organolead trihalide perovskite.

  10. Grate monitoring systems, state of the art; Metoder foer rosteroevervakning, dagslaegesbestaemning

    Energy Technology Data Exchange (ETDEWEB)

    Blom, Elisabet [Aaf Processdesign AB, Linkoeping (Sweden)

    2001-01-01

    During a number of years research and development projects have been made to present methods of monitoring the grate in a grate fired boiler. The monitoring is interesting in order to be able to increase efficiency, increase burn-out of ash, improve the status of emissions, improve transportation of ash and fuel through the furnace, reduce costs for operation and maintenance, handle greater loads etc. Improvement of the combustion has been concentrated to the development of the gas phase combustion. In the past few years the computer development has progressed rapidly and the use of optical monitoring methods have been made possible for monitoring grates. To analyse state of the art of optical grate monitoring systems three different methods have been used, literature search, inquiry where plant owners were asked if they have used grate monitoring systems and if so what experiences they have, and interviews with scientists and users. The inquiry investigation was made during spring 2000 and supplemented with a few known users of grate monitoring systems in august 2000. The interviews were made during august 2000. The methods which have been examined are: Video monitoring; Computer vision; IR-pyrometry; IR-camera technology; Laser measuring methods; Acoustic pyrometry. The survey showed that video monitoring is useful to monitor the fuel bed and an aid to the operators. A good cooling and cleansing system is essential to make the system reliable. If the system is aided with computer vision the computer will show numbers which can be used in the control system. These have been tried at a couple of sites but the system is still being developed and no sites uses this function fully automated. Infrared measurements have been tried in number of research projects. The IR-pyrometer technique is good for measuring temperature but the reliability is too low for some of the users. IR-cameras are used in several waste incineration plants to control the combustion. The systems

  11. Optical Fiber Thermometer Based on Fiber Bragg Gratings

    Science.gov (United States)

    Rosli, Ekbal Bin; Mohd. Noor, Uzer

    2018-03-01

    Fiber Bragg grating has generated much interest in use as sensors to measure strain, temperature, and other physical parameters. It also the most common component used to develop this sensor with the advantages of simple, intrinsic sensing elements, electrically passive operation, EMI immunity, high sensitivity, compact size and potentially low cost [6]. This paper reports the design of an optical fiber thermometer based on fiber Bragg gratings. The system was developed for detecting temperature and strain by monitoring the shift of Bragg wavelength. The shifting of Bragg wavelength is used to indicate the temperature and strain due to the change in the surrounding temperature and strain. When the temperature and strain reach the exact wavelength level of the system, the temperature and strain value will display on the Arduino liquid crystal display (LCD). The optical fiber will provide the broadband light source and after passing the FBG the Bragg wavelength into the optical spectrum analyzer (OSA). The system is based on FBG as a physical quantity sensor. The temperatures measured is taken from the water bath and that of the strain is provided by amount of slotted mass used. The outcome of this project is to characterize the Bragg wavelength shifting from the fiber Bragg grating output. As the conclusion, this project provides an efficient optical fiber thermometer in measuring temperature and strain in order to replace the use of conventional electrical instruments.

  12. Fabrication of isolated platinum nanowire gratings and nanoparticles on silica substrate by femtosecond laser irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Nakajima, Yasutaka [School of Integrated Design Engineering, Keio University, 3-14-1, Hiyoshi, Kohoku-ku, Yokohama 223- 8522 (Japan); Nedyalkov, Nikolay [Institute of Electronics, Bulgarian Academy of Sciences, Tzarigradsko shouse 72, Sofia 1784 (Bulgaria); Department of Electronics and Electrical Engineering, Keio University, 3-14-1, Hiyoshi, Kohoku-ku, Yokohama, 223-8522 (Japan); Takami, Akihiro [School of Integrated Design Engineering, Keio University, 3-14-1, Hiyoshi, Kohoku-ku, Yokohama 223- 8522 (Japan); Terakawa, Mitsuhiro, E-mail: terakawa@elec.keio.ac.jp [School of Integrated Design Engineering, Keio University, 3-14-1, Hiyoshi, Kohoku-ku, Yokohama 223- 8522 (Japan); Department of Electronics and Electrical Engineering, Keio University, 3-14-1, Hiyoshi, Kohoku-ku, Yokohama, 223-8522 (Japan)

    2017-02-01

    Highlights: • Formation of HSFL with periodicities shorter than 100 nm. • Structural evolution from platinum nanowire gratings to platinum nanoparticles only by increasing the number of pulses. • Melting and fragmentation of the nanowire gratings would play a key role in structural evolution. - Abstract: We demonstrate the fabrication of isolated platinum nanostructures on a silica substrate by using femtosecond laser. Nanowire gratings which have short periodicities of approximately 50 nm were formed by irradiating a platinum thin film deposited on a fused silica substrate with 800-nm wavelength femtosecond laser pulses. The structural evolution from the nanowire gratings to nanoparticles was observed only by increasing the number of pulses. The periodicities or diameters of the structures showed good uniformity. Scanning electron microscopy of the surfaces and theoretical calculation of temperature profile using a two-temperature model revealed that the structural evolution can be attributed to the fragmentation of the formed nanowires. The presented method provides a simple and high-throughput technique for fabricating both metal nanowire gratings and nanoparticles, which have the potential to be used for the fabrication of optical, electrical and biomedical devices.

  13. Hard-x-ray phase-imaging microscopy using the self-imaging phenomenon of a transmission grating

    International Nuclear Information System (INIS)

    Yashiro, Wataru; Harasse, Sebastien; Momose, Atsushi; Takeuchi, Akihisa; Suzuki, Yoshio

    2010-01-01

    We report on a hard-x-ray imaging microscope consisting of a lens, a sample, and a transmission grating. After the theoretical framework of self-imaging phenomenon by the grating in the system is presented, equations for the electric field on the image plane are derived for ideal and real lenses and an equation for the intensity on the image plane for partially coherent illumination is derived. The equations are simple and similar to those applying to a projection microscope consisting of a transmission grating except that there is no defocusing effect, regardless of whether the grating is in front of or behind the lens. This means that x-ray phase-imaging microscopy can be done without the defocusing effect. It is also shown that, by resolving the self-image on the image plane, high-sensitive x-ray phase-imaging microscopy can be attained without degradation in the spatial resolution due to diffraction by the grating. Experimental results obtained using partially coherent illumination from a synchrotron x-ray source confirm that hard-x-ray phase-imaging microscopy can be quantitatively performed with high sensitivity and without the spatial resolution degradation.

  14. Compound grating structures in photonic crystals for resonant excitation of azobenzene

    DEFF Research Database (Denmark)

    Jahns, Sabrina; Kallweit, Christine; Adam, Jost

    Photo-switchable molecules such as azobenzene are of high interest for “smart” surfaces. Such “smart” surfaces respond to external light excitation by changing their macroscopic properties. The absorbance of light on a single normal path through a layer of azobenzene immobilized on a surface......-difference time-domain (FDTD) calculations for determination of resonance positions and electric field strengths in compound grating structures. By superimposing two single-period gratings a photonic crystal can be designed supporting multiple guided mode resonances suitable to switch azobenzenes between...

  15. Novel thermal annealing methodology for permanent tuning polymer optical fiber Bragg gratings to longer wavelengths.

    Science.gov (United States)

    Pospori, A; Marques, C A F; Sagias, G; Lamela-Rivera, H; Webb, D J

    2018-01-22

    The Bragg wavelength of a polymer optical fiber Bragg grating can be permanently shifted by utilizing the thermal annealing method. In all the reported fiber annealing cases, the authors were able to tune the Bragg wavelength only to shorter wavelengths, since the polymer fiber shrinks in length during the annealing process. This article demonstrates a novel thermal annealing methodology for permanently tuning polymer optical fiber Bragg gratings to any desirable spectral position, including longer wavelengths. Stretching the polymer optical fiber during the annealing process, the period of Bragg grating, which is directly related with the Bragg wavelength, can become permanently longer. The methodology presented in this article can be used to multiplex polymer optical fiber Bragg gratings at any desirable spectral position utilizing only one phase-mask for their photo-inscription, reducing thus their fabrication cost in an industrial setting.

  16. Performance enhancement of thin film silicon solar cells based on distributed Bragg reflector & diffraction grating

    Directory of Open Access Journals (Sweden)

    R. S. Dubey

    2014-12-01

    Full Text Available The influence of various designing parameters were investigated and explored for high performance solar cells. Single layer grating based solar cell of 50 μm thickness gives maximum efficiency up to 24 % whereas same efficiency is achieved with the use of three bilayers grating based solar cell of 30 μm thickness. Remarkably, bilayer grating based solar cell design not only gives broadband absorption but also enhancement in efficiency with reduced cell thickness requirement. This absorption enhancement is attributed to the high reflection and diffraction from DBR and grating respectively. The obtained short-circuit current were 29.6, 32.9, 34.6 and 36.05 mA/cm2 of 5, 10, 20 and 30 μm cell thicknesses respectively. These presented designing efforts would be helpful to design and realize new generation of solar cells.

  17. Grating-based guided-mode resonance devices and degradation of their performance in real-life conditions

    DEFF Research Database (Denmark)

    Ivinskaya, Aliaksandra; Bergmann, René; Kafka, Jan Robert

    2014-01-01

    Guided-mode resonances in structures having periodicity along at least one dimension were widely employed in the last decade in various optical devices. Initially it was shown that at frequencies close to the second order band gap periodic structures can feature total reflection of light due...... to the guided modes propagating along the surface of the grating. As an application, this allows to substitute a thick multilayer Bragg mirror in VCSELs by a thin grating-based mirror. Most devices utilizing guided-mode resonances were theoretically and numerically investigated with the idealized model...... of an infinite periodic structure illuminated by a plane wave. To see how grating-based components can perform in real life we take into account two critical factors: the finite size of the grating and the Gaussian shape of the light source replacing a plane wave. These factors can significantly change...

  18. Long-period fibre grating writing with a slit-apertured femtosecond laser beam (λ = 1026 nm)

    International Nuclear Information System (INIS)

    Dostovalov, A V; Wolf, A A; Babin, S A

    2015-01-01

    We report on long-period grating (LPG) writing in a standard telecom fibre, SMF-28e+, via refractive index modification by femtosecond pulses. A method is proposed for grating writing with a slit-apertured beam, which enables one to produce LPGs with reduced background losses and a resonance peak markedly stronger than that in the case of grating writing with a Gaussian beam. The method can be used to fabricate LPGs for use as spectral filters of fibre lasers and sensing elements of sensor systems. (fibre and integrated-optical structures)

  19. Design of a Binary Grating with Subwavelength Features that Acts as a Polarizing Beam Splitter.

    Science.gov (United States)

    Pajewski, L; Borghi, R; Schettini, G; Frezza, F; Santarsiero, M

    2001-11-10

    A binary diffractive optical element, acting as a polarizing beam splitter, is proposed and analyzed. It behaves like a transmissive blazed grating, working on the first or the second diffraction order, depending on the polarization state of the incident radiation. The grating-phase profile required for both polarization states is obtained by means of suitably sized subwavelength groups etched in an isotropic dielectric medium. A rigorous electromagnetic analysis of the grating is presented, and numerical results concerning its performances in terms of diffraction efficiency as well as frequency and angular bandwidths are provided.

  20. Reflection-grating photorefractive self-pumped ring mirror

    Science.gov (United States)

    D'Iakov, V. A.; Korol'Kov, S. A.; Mamaev, A. V.; Shkunov, V. V.; Zozulia, A. A.

    1991-10-01

    A reflection-grating ring mirror using a photorefractive KNbO2 crystal with a response time of several milliseconds and a reflectivity of as much as 50 percent has been experimentally fabricated. A theoretical analysis of the geometry involved is made which provides only qualitative agreement with the experimental findings.

  1. An analysis on mode selection by V-I transmission matrix in DBR laser with asymmetric fiber gratings

    Science.gov (United States)

    Li, Zhuoxuan; Pei, Li; Li, Qi; Ning, Tigang; Liu, Chao; Gao, Song

    2013-02-01

    The V-I Transmission Matrix Method (VITMM) which is well known in the microwave engineering field was firstly applied to analyze the output spectra of the Distributed Bragg Reflector (DBR) laser, formed by asymmetric fiber gratings as resonator mirrors. One mirror is the uniform Bragg grating and the other is chirped grating. A theoretical model of grating was established, and then a numerical simulation of the mode selection in DBR laser with asymmetric fiber gratings was presented. Simulation results show that VITMM, with calculation error less than 0.1%, could save the calculation time compared to the Rouard method. In the experiment, the setup design of the single-longitudinal-mode laser output at 1544.7 nm was carried out, and the result, which lasted about 10 min, observed on an optical spectrum analyzer, demonstrates the feasibility of VITMM to address the mode output issues of DBR fiber laser.

  2. TGCat, The Chandra Transmission Grating Catalog and Archive: Systems, Design and Accessibility

    OpenAIRE

    Mitschang, Arik W.; Huenemoerder, David P.; Nichols, Joy S.

    2009-01-01

    The recently released Chandra Transmission Grating Catalog and Archive, TGCat, presents a fully dynamic on-line catalog allowing users to browse and categorize Chandra gratings observations quickly and easily, generate custom plots of resulting response corrected spectra on-line without the need for special software and to download analysis ready products from multiple observations in one convenient operation. TGCat has been registered as a VO resource with the NVO providing direct access to ...

  3. Photoinduced Bragg grating formation in optical fibres as a consequence of convective instability

    International Nuclear Information System (INIS)

    Furman, A.S.

    1993-10-01

    The photoinduced formation of Bragg gratings in optical fibres is explained as a consequence of convective instability. Close analogy is emphasized between this phenomenon and the photoinduced second harmonic generation in optical fibres. The observed grating formation is interpreted as amplification of very low frequency noise. Predictions concerning the transient processes are made which could be checked experimentally. (author). 9 refs

  4. Reflectance spectra characteristics from an SPR grating fabricated by nano-imprint lithography technique for biochemical nanosensor applications

    Science.gov (United States)

    Setiya Pradana, Jalu; Hidayat, Rahmat

    2018-04-01

    In this paper, we report our research work on developing a Surface Plasmon Resonance (SPR) element with sub-micron (hundreds of nanometers) periodicity grating structure. This grating structure was fabricated by using a simple nano-imprint lithography technique from an organically siloxane polymers, which was then covered by nanometer thin gold layer. The formed grating structure was a very well defined square-shaped periodic structure. The measured reflectance spectra indicate the SPR wave excitation on this grating structure. For comparison, the simulations of reflectance spectra have been also carried out by using Rigorous Coupled-Wave Analysis (RCWA) method. The experimental results are in very good agreement with the simulation results.

  5. Fiber laser with combined feedback of core and cladding modes assisted by an intracavity long-period grating.

    Science.gov (United States)

    Sáez-Rodriguez, D; Cruz, J L; Díez, A; Andrés, M V

    2011-05-15

    We present a fiber laser made in a single piece of conventional doped-core fiber that operates by combined feedback of the fundamental core mode LP((0,1)) and the high-order cladding mode LP((0,10)). The laser is an all-fiber structure that uses two fiber Bragg gratings and a long-period grating to select the modes circulating in the cavity; the laser emits at the coupling wavelength between the core mode LP((0,1)) and the counterpropagating cladding mode LP((0,10)) in the Bragg gratings. This work demonstrates the feasibility of high-order mode fiber lasers assisted by long-period gratings. © 2011 Optical Society of America

  6. Programmable liquid crystal waveplate polarization gratings as elements for polarimetric and interference applications

    Science.gov (United States)

    Moreno, I.; Davis, J. A.

    2010-06-01

    We review the use of a parallel aligned nematic liquid crystal spatial light modulator as a very useful and flexible device for polarimetric and interferometric applications. The device acts as a programmable pixelated waveplate, and the encoding of a linear grating permits its use as a polarization beam splitter. When a grating with a reduced period is encoded, the diffracted beams are spatially separated and the device can be used for polarimetric analysis. On the contrary when a large period grating is displayed, the beams are not spatially separated, and they are useful to realize a common path interferometric system with polarization sensitivity. The flexibility offered by the programmability of the display allows non-conventional uses, including the analysis of light beams with structured spatial polarizations.

  7. Optical design of the PEPSI high-resolution spectrograph at LBT

    Science.gov (United States)

    Andersen, Michael I.; Spano, Paolo; Woche, Manfred; Strassmeier, Klaus G.; Beckert, Erik

    2004-09-01

    PEPSI is a high-resolution, fiber fed echelle spectrograph with polarimetric capabilities for the LBT. In order to reach a maximum resolution R=120.000 in polarimetric mode and 300.000 in integral light mode with high efficiency in the spectral range 390-1050~nm, we designed a white-pupil configuration with Maksutov collimators. Light is dispersed by an R4 31.6 lines/mm monolithic echelle grating mosaic and split into two arms through dichroics. The two arms, optimized for the spectral range 390-550~nm and 550-1050~nm, respectively, consist of Maksutov transfer collimators, VPH-grism cross dispersers, optimized dioptric cameras and 7.5K x 7.5K 8~μ CCDs. Fibers of different core sizes coupled to different image-slicers allow a high throughput, comparable to that of direct feed instruments. The optical configuration with only spherical and cylindrical surfaces, except for one aspherical surface in each camera, reduces costs and guarantees high optical quality. PEPSI is under construction at AIP with first light expected in 2006.

  8. Calibration Efforts and Unique Capabilities of the HST Space Telescope Imaging Spectrograph

    Science.gov (United States)

    Monroe, TalaWanda R.; Proffitt, Charles R.; Welty, Daniel; Branton, Doug; Carlberg, Joleen K.; debes, John Henry; Lockwood, Sean; Riley, Allyssa; Sohn, Sangmo Tony; Sonnentrucker, Paule G.; Walborn, Nolan R.; Jedrzejewski, Robert I.

    2018-01-01

    The Space Telescope Imaging Spectrograph (STIS) continues to offer the astronomy community the ability to carry out innovative UV and optical spectroscopic and imaging studies, two decades after its deployment on the Hubble Space Telescope (HST). Most notably, STIS provides spectroscopy in the FUV and NUV, including high spectral resolution echelle modes, imaging in the FUV, optical spectroscopy, and coronagraphic capabilities. Additionally, spatial scanning on the CCD with the long-slits is now possible to enable very high S/N spectroscopic observations without saturation while mitigating telluric and fringing concerns in the far red and near-IR. This new mode may especially benefit the diffuse interstellar bands and exoplanet transiting communities. We present recent calibration efforts for the instrument, including work to optimize the calibration of the echelle spectroscopic modes by improving the flux agreement of overlapping spectral orders affected by changes in the grating blaze function since HST Servicing Mission 4. We also discuss considerations to maintain the wavelength precision of the spectroscopic modes, and the current capabilities of CCD spectroscopic spatial trails.

  9. [CII] At 1 Universe with Zeus (1 and 2)

    Science.gov (United States)

    Ferkinhoff, Carl; Hailey-Dunsheath, S.; Nikola, T.; Oberst, T.; Parshley, S.; Stacey, G.; Benford, D.; staguhn, J.

    2010-01-01

    We report the detection of the [CII] 158 micron fine structure line from six submillimeter galaxies with redshifts between 1.12 and 1.73. This more than doubles the total number of [CII] 158 micron detections reported from high redshift sources. These observations were made with the Redshift(z) and Early Universe Spectrometer(ZEUS) at the Caltech Submillimeter Observatory on Mauna Kea, Hawaii between December 2006 and March 2009. ZEUS is a background limited submm echelle grating spectrometer (Hailey-Dunsheath 2009). Currently we are constructing ZEUS-2. This new instrument will utilize the same grating but will feature a two dimensional transition-edge sensed bolometer array with SQUID multiplexing readout system enabling simultaneous background limited observations in the 200, 340,450 and 650 micron telluric windows. ZEUS-2 will allow for long slit imaging spectroscopy in nearby galaxies and a [CII] survey from z 0.25 to 2.5.

  10. X-ray phase radiography and tomography with grating interferometry and the reverse projection technique

    International Nuclear Information System (INIS)

    Wang, Zhili; Gao, Kun; Ge, Xin; Wu, Zhao; Chen, Heng; Wang, Shenghao; Wu, Ziyu; Zhu, Peiping; Yuan, Qingxi; Huang, Wanxia; Zhang, Kai

    2013-01-01

    X-ray grating interferometry provides substantially increased contrast over conventional absorption-based imaging methods, and therefore new and complementary information. Compared with other phase-contrast imaging techniques, x-ray grating interferometry can overcome some of the problems that have impaired the applications of x-ray phase-contrast radiography and phase tomography. Recently, special attention has been paid to the development of quantitative phase retrieval methods, which is mandatory to perform x-ray phase tomography, to achieve material identification, to differentiate distinct tissues, etc. Typically, the phase-stepping approach has been utilized for phase retrieval in grating interferometry. This method requires a grating scanning and acquisition of multiple radiographic projections, and therefore is disadvantageous in terms of imaging speed and radiation damage. Here we present an innovative, highly sensitive approach, dubbed ‘reverse projection’ (RP), for quantitative phase retrieval. Compared with the phase-stepping approach, the present RP method abandons grating scanning completely, and thus is advantageous due to its much higher efficiency and the reduced radiation dose, without the degradation of reconstruction quality. This review presents a detailed explanation of the principle of the RP method. Both radiography and phase tomography experiments are performed to validate the RP method. We believe that this new technique will find widespread applications in biomedical imaging and in vivo studies. (paper)

  11. Study the effect of dye concentration on optical history using circular polarization holographic grating in thin polymeric film

    International Nuclear Information System (INIS)

    Mohajerani, E.; Hosain Nataj, N.

    2006-01-01

    By studying the effect of dye concentration, we obtained the optimal dye concentration for recording holographic gratings. The history of circular polarization grating is also investigated. We built polarization holographic grating with more than 2 weeks history. By using a mask in front of pump beam, different pictures could be built up in the film.

  12. Fiber Bragg Grating vibration sensor with DFB laser diode

    Science.gov (United States)

    Siska, Petr; Brozovic, Martin; Cubik, Jakub; Kepak, Stanislav; Vitasek, Jan; Koudelka, Petr; Latal, Jan; Vasinek, Vladimir

    2012-01-01

    The Fiber Bragg Grating (FBG) sensors are nowadays used in many applications. Thanks to its quite big sensitivity to a surrounding environment, they can be used for sensing of temperature, strain, vibration or pressure. A fiber Bragg grating vibration sensor, which is interrogated by a distributed feedback laser diode (DFB) is demonstrated in this article. The system is based on the intensity modulation of the narrow spectral bandwidth of the DFB laser, when the reflection spectrum of the FBG sensor is shifted due to the strain that is applied on it in form of vibrations caused by acoustic wave pressure from loud speaker. The sensor's response in frequency domain and strain is measured; also the factor of sensor pre-strain impact on its sensitivity is discussed.

  13. A Long-Period Grating Sensor for Wind Turbine Blades

    DEFF Research Database (Denmark)

    Glavind, Lars

    This PhD project concerns the applied research for providing a novel sensor for measurements on wind turbine blades, based on Long-Period Gratings. The idea is based on the utilization of a special asymmetrical optical fibre with Long-Period Gratings for directional sensitive bend sensing...... blade material, where a suitable process and recoating material were investigated. The sensor was implemented and tested on a full scale wind turbine blade placed on a test rig. This first prototype has demonstrated the capability of the sensor for wind turbine blade monitoring, particular...... the possibility to distinguish between the flap- and edge-wise bend directions on the wind turbine blade, providing a selective sensor. The sensor has proven to be very robust and suitable for this application....

  14. Temperature-insensitive fiber Bragg grating dynamic pressure sensing system.

    Science.gov (United States)

    Guo, Tuan; Zhao, Qida; Zhang, Hao; Zhang, Chunshu; Huang, Guiling; Xue, Lifang; Dong, Xiaoyi

    2006-08-01

    Temperature-insensitive dynamic pressure measurement using a single fiber Bragg grating (FBG) based on reflection spectrum bandwidth modulation and optical power detection is proposed. A specifically designed double-hole cantilever beam is used to provide a pressure-induced axial strain gradient along the sensing FBG and is also used to modulate the reflection bandwidth of the grating. The bandwidth modulation is immune to spatially uniform temperature effects, and the pressure can be unambiguously determined by measuring the reflected optical power, avoiding the complex wavelength interrogation system. The system acquisition time is up to 85 Hz for dynamic pressure measurement, and the thermal fluctuation is kept less than 1.2% full-scale for a temperature range of -10 degrees C to 80 degrees C.

  15. Theory of the special Smith-Purcell radiation from a rectangular grating

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Weihao, E-mail: liuwhao@ustc.edu.cn; He, Zhigang, E-mail: hezhg@ustc.edu.cn; Jia, Qika [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei, Anhui, 230029 (China); Li, Weiwei [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei, Anhui, 230029 (China); Istituto Nazionale di Fisica Nucleare, Laboratori Nazionali di Frascati, via E. Fermi 40, 00044 Frascati, RM (Italy)

    2015-12-15

    The recently uncovered special Smith-Purcell radiation (S-SPR) from the rectangular grating has significantly higher intensity than the ordinary Smith-Purcell radiation (SPR). Its monochromaticity and directivity are also much better. Here we explored the mechanism of the S-SPR by applying the fundamental electromagnetic theory and simulations. We have confirmed that the S-SPR is exactly from the radiating eigen modes of the grating. Its frequency and direction are well correlated with the beam velocity and structure parameters, which indicates its promising applications in tunable wave generation and beam diagnostic.

  16. Extended electrical tuning of quantum cascade lasers with digital concatenated gratings

    Energy Technology Data Exchange (ETDEWEB)

    Slivken, S.; Bandyopadhyay, N.; Bai, Y.; Lu, Q. Y.; Razeghi, M., E-mail: razeghi@eecs.northwestern.edu [Center for Quantum Devices, Department of Electrical Engineering and Computer Science, Northwestern University, Evanston, Illinois 60208 (United States)

    2013-12-02

    In this report, the sampled grating distributed feedback laser architecture is modified with digital concatenated gratings to partially compensate for the wavelength dependence of optical gain in a standard high efficiency quantum cascade laser core. This allows equalization of laser threshold over a wide wavelength range and demonstration of wide electrical tuning. With only two control currents, a full tuning range of 500 nm (236 cm{sup −1}) has been demonstrated. Emission is single mode, with a side mode suppression of >20 dB.

  17. Theory of the special Smith-Purcell radiation from a rectangular grating

    International Nuclear Information System (INIS)

    Liu, Weihao; He, Zhigang; Jia, Qika; Li, Weiwei

    2015-01-01

    The recently uncovered special Smith-Purcell radiation (S-SPR) from the rectangular grating has significantly higher intensity than the ordinary Smith-Purcell radiation (SPR). Its monochromaticity and directivity are also much better. Here we explored the mechanism of the S-SPR by applying the fundamental electromagnetic theory and simulations. We have confirmed that the S-SPR is exactly from the radiating eigen modes of the grating. Its frequency and direction are well correlated with the beam velocity and structure parameters, which indicates its promising applications in tunable wave generation and beam diagnostic

  18. Application of holographic sub-wavelength diffraction gratings for monitoring of kinetics of bioprocesses

    Science.gov (United States)

    Tamulevičius, Tomas; Šeperys, Rimas; Andrulevičius, Mindaugas; Kopustinskas, Vitoldas; Meškinis, Šarūnas; Tamulevičius, Sigitas; Mikalayeva, Valeryia; Daugelavičius, Rimantas

    2012-09-01

    In this work we present a refractive index (RI) sensor based on a sub-wavelength holographic diffraction grating. The sensor chip was fabricated by dry etching of the finely spaced (d = 428 nm) diffraction grating in SiOx doped diamond like carbon (DLC) film. It is shown that employing a fabricated sensor chip, and using the proposed method of analysis of data, one can inspect kinetics of processes in liquids occurring in the vicinity of the grating surface. The method is based on the spectral composition analysis of polarized polychromatic light reflected from the sub-wavelength diffraction grating. The RI measurement system was tested with different model liquid analytes including 25 wt.%, 50 wt.% sugar water solutions, 10 °C, 50 °C distilled water, also Gram-positive bacteria Bacillus subtilis interaction with ion-permeable channels forming antibiotic gramicidin D and a murolytic enzyme lysozyme. Analysis of the data set of specular reflection spectra enabled us to follow the kinetics of the RI changes in the analyte with millisecond resolution. Detectable changes in the effective RI were not worse than Δn = 10-4.

  19. Comparing modelling techniques when designing VPH gratings for BigBOSS

    Science.gov (United States)

    Poppett, Claire; Edelstein, Jerry; Lampton, Michael; Jelinsky, Patrick; Arns, James

    2012-09-01

    BigBOSS is a Stage IV Dark Energy instrument based on the Baryon Acoustic Oscillations (BAO) and Red Shift Distortions (RSD) techniques using spectroscopic data of 20 million ELG and LRG galaxies at 0.5VPH) gratings have been identified as a key technology which will enable the efficiency requirement to be met, however it is important to be able to accurately predict their performance. In this paper we quantitatively compare different modelling techniques in order to assess the parameter space over which they are more capable of accurately predicting measured performance. Finally we present baseline parameters for grating designs that are most suitable for the BigBOSS instrument.

  20. Miniaturized NIR scanning grating spectrometer for use in mobile phones

    Science.gov (United States)

    Knobbe, Jens; Pügner, Tino; Grüger, Heinrich

    2016-05-01

    An extremely miniaturized scanning grating spectrometer at the size of a sugar cube has been developed at Fraunhofer IPMS. To meet the requirements for the integration into a mobile phone a new system approach has been pursued. The key component within the system is a silicon-based deflectable diffraction grating with an integrated driving mechanism. A first sample of the new spectrometer was built and characterized. It was found to have a spectral range from 950 nm to 1900 nm at a resolution of 10 nm. The results show that the performance of the new MEMS spectrometer is in good agreement with the requirements for mobile phone integration.