WorldWideScience

Sample records for dielectric hfo2 films

  1. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  2. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  3. Nanopore fabricated in pyramidal HfO2 film by dielectric breakdown method

    Science.gov (United States)

    Wang, Yifan; Chen, Qi; Deng, Tao; Liu, Zewen

    2017-10-01

    The dielectric breakdown method provides an innovative solution to fabricate solid-state nanopores on insulating films. A nanopore generation event via this method is considered to be caused by random charged traps (i.e., structural defects) and high electric fields in the membrane. Thus, the position and number of nanopores on planar films prepared by the dielectric breakdown method is hard to control. In this paper, we propose to fabricate nanopores on pyramidal HfO2 films (10-nm and 15-nm-thick) to improve the ability to control the location and number during the fabrication process. Since the electric field intensity gets enhanced at the corners of the pyramid-shaped film, the probability of nanopore occurrence at vertex and edge areas increases. This priority of appearance provides us chance to control the location and number of nanopores by monitoring a sudden irreversible discrete increase in current. The experimental results showed that the probability of nanopore occurrence decreases in an order from the vertex area, the edge area to the side face area. The sizes of nanopores ranging from 30 nm to 10 nm were obtained. Nanopores fabricated on the pyramid-shaped HfO2 film also showed an obvious ion current rectification characteristic, which might improve the nanopore performance as a biomolecule sequencing platform.

  4. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  5. Band Alignment and Optical Properties of (ZrO20.66(HfO20.34 Gate Dielectrics Thin Films on p-Si (100

    Directory of Open Access Journals (Sweden)

    Dahlang Tahir

    2011-11-01

    Full Text Available (ZrO20.66(HfO20.34 dielectric films on p-Si (100 were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gaps were obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for (ZrO20.66(HfO20.34 dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of ZrO2. In addition, The dielectric function ε (k, ω, index of refraction n and the extinction coefficient k for the (ZrO20.66(HfO20.34 thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-ε (k,ω-REELS software package. These optical properties are similar with ZrO2 dielectric thin films.

  6. Atomic scale engineering of HfO2-based dielectrics for future DRAM applications

    International Nuclear Information System (INIS)

    Dudek, Piotr

    2011-01-01

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO 2 thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO 2 (BaHfO 3 ) films and Ti-added BaHfO 3 (BaHf 0.5 Ti 0.5 O 3 ) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO 3 (k∝38) and BaHf 0.5 Ti 0.5 O 3 (k∝90) with respect to monoclinic HfO 2 (k∝19). Meanwhile the CET values scaled down to 1 nm for BaHfO 3 and ∝0.8 nm for BaHf 0.5 Ti 0.5 O 3 with respect to HfO 2 (CET=1.5 nm). The Hf 4+ ions substitution in BaHfO 3 by Ti 4+ ions led to a significant decrease of thermal budget from 900 C for BaHfO 3 to 700 C for BaHf 0.5 Ti 0.5 O 3 . Future studies need to focus on the use of appropriate metal electrodes (high work function) and on film deposition process (homogeneity) for better current leakage control. (orig.)

  7. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  8. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2018-05-01

    Full Text Available In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment to 54.6 cm2/V∙s (with CF4 plasma treatment, which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability.

  9. A comparative study of amorphous InGaZnO thin-film transistors with HfOxNy and HfO2 gate dielectrics

    International Nuclear Information System (INIS)

    Zou, Xiao; Tong, Xingsheng; Fang, Guojia; Yuan, Longyan; Zhao, Xingzhong

    2010-01-01

    High-κ HfO x N y and HfO 2 films are applied to amorphous InGaZnO (a-IGZO) devices as gate dielectric using radio-frequency reactive sputtering. The electrical characteristics and reliability of a-IGZO metal–insulator–semiconductor (MIS) capacitors and thin-film transistors (TFTs) are then investigated. Experimental results indicate that the nitrogen incorporation into HfO 2 can effectively improve the interface quality and enhance the reliability of the devices. Electrical properties with an interface-state density of 5.2 × 10 11 eV −1 cm −2 , capacitance equivalent thickness of 1.65 nm, gate leakage current density of 3.4 × 10 −5 A cm −2 at V fb +1 V, equivalent permittivity of 23.6 and hysteresis voltage of 110 mV are obtained for an Al/HfO x N y /a-IGZO MIS capacitor. Superior performance of HfO x N y /a-IGZO TFTs has also been achieved with a low threshold voltage of 0.33 V, a high saturation mobility of 12.1 cm 2 V −1 s −1 and a large on–off current ratio up to 7 × 10 7 (W/L = 500/20 µm) at 3 V

  10. Analyses of desorbed H2O with temperature programmed desorption technique in sol-gel derived HfO2 thin films

    International Nuclear Information System (INIS)

    Shimizu, H.; Nemoto, D.; Ikeda, M.; Nishide, T.

    2009-01-01

    Hafnium oxide (HfO 2 ) is a promising material for the gate insulator in highly miniaturized silicon (Si) ultra-large-scale-integration (ULSI) devices (32 nm and beyond). In the field chemistry, a sol-gel processing has been used to fabricate HfO 2 thin film with the advantages of low cost, relative simplicity, and easy control of the composition of the layers formed. Temperature-programmed desorption (TPD) has been used not only for analyzing adsorbed gases on the surfaces of bulk sol-gel-derived HfO 2 of sol-gel-derived HfO 2 thin film fired at 350, 450, 550 and 700 deg C in sol-gel derived HfO 2 films in air is investigated using TPD, and also the material characterization of HfO 2 thin films is evaluated by X-ray diffraction (XRD) method. The dielectric constant of the films was also estimated using the capacitance-voltage (C-V) method. TPD is essentially a method of analyzing desorped gases from samples heated by infra-red light as a function of temperature under vacuum conditions using a detector of quadruple mass spectroscopy (QMS). Sol-gel-derived HfO 2 films were fabricated on 76-mm-diameter Si(100) wafers as follows. Hafnia sol solutions were prepared by dissolving HfCl 4 in NH 4 OH solution, followed by the of HCOOH. (author)

  11. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  12. Solid phase crystallisation of HfO2 thin films

    International Nuclear Information System (INIS)

    Modreanu, M.; Sancho-Parramon, J.; O'Connell, D.; Justice, J.; Durand, O.; Servet, B.

    2005-01-01

    In this paper, we report on the solid phase crystallisation of carbon-free HfO 2 thin films deposited by plasma ion assisted deposition (PIAD). After deposition, the HfO 2 films were annealed in N 2 ambient for 3 h at 350, 550 and 750 deg. C. Several characterisation techniques including X-ray reflectometry (XRR), X-ray diffraction (XRD), spectroscopic ellipsometry (SE) and atomic force microscopy (AFM) were used for the physical characterisation of as-deposited and annealed HfO 2 . XRD has revealed that the as-deposited HfO 2 film is in an amorphous-like state with only traces of crystalline phase and that the annealed films are in a highly crystalline state. These results are in good agreement with the SE results showing an increase of refractive index by increasing the annealing temperature. XRR results show a significant density gradient over the as-deposited film thickness, which is characteristic of the PIAD method. The AFM measurements show that the HfO 2 layers have a smooth surface even after annealing at 750 deg. C. The present study demonstrates that the solid phase crystallisation of HfO 2 PIAD thin films starts at a temperature as low as 550 deg. C

  13. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  14. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  15. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  16. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  17. Structural, morphological, optical and photoluminescence properties of HfO2 thin films

    International Nuclear Information System (INIS)

    Ma, C.Y.; Wang, W.J.; Wang, J.; Miao, C.Y.; Li, S.L.; Zhang, Q.Y.

    2013-01-01

    Nanocrystalline monoclinic HfO 2 films with an average crystal size of 4.2–14.8 nm were sputter deposited under controlled temperatures and their structural characteristics and optical and photoluminescence properties have been evaluated. Structural investigations indicate that monoclinic HfO 2 films grown at higher temperatures above 400 °C are highly oriented along the (− 111) direction. The lattice expansion increases with diminishing HfO 2 crystalline size below 6.8 nm while maximum lattice expansion occurs with highly oriented monoclinic HfO 2 of crystalline size about 14.8 nm. The analysis of atomic force microscopy shows that the film growth at 600 °C can be attributed to the surface-diffusion-dominated growth. The intensity of the shoulderlike band that initiates at ∼ 5.7 eV and saturates at 5.94 eV shows continued increase with increasing crystalline size, which is intrinsic to nanocrystalline monoclinic HfO 2 films. Optical band gap varies in the range 5.40 ± 0.03–5.60 ± 0.03 eV and is slightly decreased with the increase in crystalline size. The luminescence band at 4.0 eV of HfO 2 films grown at room temperature can be ascribed to the vibronic transition of excited OH · radical while the emission at 3.2–3.3 eV for the films grown at all temperatures was attributed to the radiative recombination at impurity and/or defect centers. - Highlights: • Nanocrystalline monoclinic HfO 2 films were sputter deposited. • Structural, optical and photoluminescence properties were studied. • To analyze the scaling behavior using the power spectral density • Optical and photoluminescence properties strongly depend on film growth temperature

  18. Suppression of interfacial reaction for HfO2 on silicon by pre-CF4 plasma treatment

    International Nuclear Information System (INIS)

    Lai, C.S.; Wu, W.C.; Chao, T.S.; Chen, J.H.; Wang, J.C.; Tay, L.-L.; Rowell, Nelson

    2006-01-01

    In this letter, the effects of pre-CF 4 plasma treatment on Si for sputtered HfO 2 gate dielectrics are investigated. The significant fluorine was incorporated at the HfO 2 /Si substrate interface for a sample with the CF 4 plasma pretreatment. The Hf silicide was suppressed and Hf-F bonding was observed for the CF 4 plasma pretreated sample. Compared with the as-deposited sample, the effective oxide thickness was much reduced for the pre-CF 4 plasma treated sample due to the elimination of the interfacial layer between HfO 2 and Si substrate. These improved characteristics of the HfO 2 gate dielectrics can be explained in terms of the fluorine atoms blocking oxygen diffusion through the HfO 2 film into the Si substrate

  19. High-throughput identification of higher-κ dielectrics from an amorphous N2-doped HfO2–TiO2 library

    International Nuclear Information System (INIS)

    Chang, K.-S.; Lu, W.-C.; Wu, C.-Y.; Feng, H.-C.

    2014-01-01

    Highlights: • Amorphous N 2 -doped HfO 2 –TiO 2 libraries were fabricated using sputtering. • Structure and quality of the dielectric and interfacial layers were investigated. • κ (54), J L < 10 −6 A/cm 2 , and equivalent oxide thickness (1 nm) were identified. - Abstract: High-throughput sputtering was used to fabricate high-quality, amorphous, thin HfO 2 –TiO 2 and N 2 -doped HfO 2 –TiO 2 (HfON–TiON) gate dielectric libraries. Electron probe energy dispersive spectroscopy was used to investigate the structures, compositions, and qualities of the dielectric and interfacial layers of these libraries to determine their electrical properties. A κ value of approximately 54, a leakage current density <10 −6 A/cm 2 , and an equivalent oxide thickness of approximately 1 nm were identified in an HfON–TiON library within a composition range of 68–80 at.% Ti. This library exhibits promise for application in highly advanced metal–oxide–semiconductor (higher-κ) gate stacks

  20. Thermoluminescence in films of HfO2:Dy+3

    International Nuclear Information System (INIS)

    Ceron, P.; Rivera, T.; Guzman, J.; Montes, E.; Pelaez, A.; Rojas, B.; Guzman, D.; Azorin, J.; Paredes, L.

    2014-08-01

    In this work the thermoluminescence (TL) response of films of hafnium oxide polluted with dysprosium (HfO 2 :Dy +3 ) that were irradiated in the near UV (200 nm - 400 nm). The films were deposited by means of the ultrasonics spray pyrolysis technique on a glass substrate, using different deposit temperatures (300 grades C - 600 grades C). The best TL emission corresponded to the prepared film to 450 grades C that was exposed to a spectral irradiation of 80 μJ/(cm 2 -s) with a wave longitude of 240 nm. The TL response in function of the spectral irradiation was lineal in the studied interval (24 to 288 mJ/cm 2 ), several kinetic parameters were also calculated of the shine curve as depth of the trap (E), frequency factor (s) and order to the kinetics (b). The obtained results show that the films of HfO 2 :Dy +3 could be used as radiation monitor in the region of the near UV. (Author)

  1. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Science.gov (United States)

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  2. Chemical states and electronic structure of a HfO(-2)/Ge(001) interface

    International Nuclear Information System (INIS)

    Seo, Kang-ill; McIntyre, Paul C.; Stanford U., Materials Sci. Dept.; Sun, Shiyu; Lee, Dong-Ick; Pianetta, Piero; SLAC, SSRL; Saraswat, Krishna C.; Stanford U., Elect. Eng. Dept.

    2005-01-01

    We report the chemical bonding structure and valence band alignment at the HfO 2 /Ge (001) interface by systematically probing various core level spectra as well as valence band spectra using soft x-rays at the Stanford Synchrotron Radiation Laboratory. We investigated the chemical bonding changes as a function of depth through the dielectric stack by taking a series of synchrotron photoemission spectra as we etched through the HfO 2 film using a dilute HF-solution. We found that a very non-stoichiometric GeO x layer exists at the HfO 2 /Ge interface. The valence band spectra near the Fermi level in each different film structure were carefully analyzed, and as a result, the valence band offset between Ge and GeO x was determined to be ΔE v (Ge-GeO x ) = 2.2 ± 0.15 eV, and that between Ge and HfO 2 , ΔE v (Ge-HfO 2 ) = 2.7 ± 0.15 eV

  3. Influence of O2 flow rate on HfO2 gate dielectrics for back-gated graphene transistors

    International Nuclear Information System (INIS)

    Ganapathi, Kolla Lakshmi; Bhat, Navakanta; Mohan, Sangeneni

    2014-01-01

    HfO 2  thin films deposited on Si substrate using electron beam evaporation, are evaluated for back-gated graphene transistors. The amount of O 2  flow rate, during evaporation is optimized for 35 nm thick HfO 2  films, to achieve the best optical, chemical and electrical properties. It has been observed that with increasing oxygen flow rate, thickness of the films increased and refractive index decreased due to increase in porosity resulting from the scattering of the evaporant. The films deposited at low O 2  flow rates (1 and 3 SCCM) show better optical and compositional properties. The effects of post-deposition annealing and post-metallization annealing in forming gas ambience (FGA) on the optical and electrical properties of the films have been analyzed. The film deposited at 3 SCCM O 2  flow rate shows the best properties as measured on MOS capacitors. To evaluate the performance of device properties, back-gated bilayer graphene transistors on HfO 2  films deposited at two O 2  flow rates of 3 and 20 SCCM have been fabricated and characterized. The transistor with HfO 2  film deposited at 3 SCCM O 2  flow rate shows better electrical properties consistent with the observations on MOS capacitor structures. This suggests that an optimum oxygen pressure is necessary to get good quality films for high performance devices. (paper)

  4. Thermally-driven H interaction with HfO2 films deposited on Ge(100) and Si(100)

    Science.gov (United States)

    Soares, G. V.; Feijó, T. O.; Baumvol, I. J. R.; Aguzzoli, C.; Krug, C.; Radtke, C.

    2014-01-01

    In the present work, we investigated the thermally-driven H incorporation in HfO2 films deposited on Si and Ge substrates. Two regimes for deuterium (D) uptake were identified, attributed to D bonded near the HfO2/substrate interface region (at 300 °C) and through the whole HfO2 layer (400-600 °C). Films deposited on Si presented higher D amounts for all investigated temperatures, as well as, a higher resistance for D desorption. Moreover, HfO2 films underwent structural changes during annealings, influencing D incorporation. The semiconductor substrate plays a key role in this process.

  5. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    Science.gov (United States)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  6. Mechanical properties of ultra-thin HfO2 films studied by nano scratches tests

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Yong-Qing; Chang, Chia-Wei; Yao, Chih-Kai; Liao, Jiunn-Der

    2013-01-01

    10-nm-thick atomic layer deposited HfO 2 films were characterized in terms of wear resistance and indentation hardness to investigate the thermal annealing induced impacts on mechanical properties. The wear resistance of ultra-thin films at low loads was characterized using nano-scratch tests with an atomic force microscope. The depth of the nano-scratches decreases with increasing annealing temperature, indicating that the hardness of the annealed films increases with the annealing temperatures. Surface nanoindentation was also performed to confirm the nanoscratch test results. The hardness variation of the annealed films is due to the generation of HfSi x O y induced by the thermal annealing. X-ray photoelectron spectroscopy measurements proved that the hardness of formed HfSi x O y with increasing annealing temperatures. The existence of HfSi x O y broadens the interface, and causes the increase of the interfacial layer thickness. As a result, the surface hardness increases with the increasing HfSi x O y induced by the thermal annealing. - Highlights: ► Mechanical properties of HfO 2 films were assessed by nano-scratch and indentation. ► Scratch depth of HfO 2 films decreased with the increase of annealing temperatures. ► Nano-hardness of HfO 2 films increased with the increase of annealing temperatures

  7. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  8. Laser conditioning effect on HfO2/SiO2 film

    International Nuclear Information System (INIS)

    Wei Yaowei; Zhang Zhe; Liu Hao; Ouyang Sheng; Zheng Yi; Tang Gengyu; Chen Songlin; Ma Ping

    2013-01-01

    Laser conditioning is one of the important methods to improve the laser damage threshold of film optics. Firstly, a large aperture laser was used to irradiate the HfO 2 /SiO 2 reflectors, which were evaporated from hafnia and silica by e-beam. Secondly, a laser calorimeter was used to test the film absorption before and after laser irradiation. Focused ion beam (FIB) was few reported using on laser film, it was used to study the damage morphology and explore the cause of damage. The shooting of the partial ejection on nodule was obtained for the first time, which provided the basis for study the damage process. The results show that film absorption was decreased obviously after the laser irradiation, laser conditioning can raise the laser damage threshold by the 'cleaning mechanism'. For the HfO 2 /SiO 2 reflectors, laser conditioning was effective to eject the nodules on substrate. It resulted from the nodule residue not to affect the subsequent laser. In addition, laser conditioning was not effective to the nodule in the film, which might be from the material spatter in coating process. In this case, other method could be used to get rid of the nodules. (authors)

  9. Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric

    Science.gov (United States)

    Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna

    2016-10-01

    In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.

  10. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  11. Effects of annealing temperature on the characteristics of ALD-deposited HfO2 in MIM capacitors

    International Nuclear Information System (INIS)

    Jeong, S.-W.; Lee, H.J.; Kim, K.S.; You, M.T.; Roh, Y.; Noguchi, T.; Xianyu, W.; Jung, J.

    2006-01-01

    We have investigated the annealing effects of HfO 2 films deposited by an atomic layer deposition (ALD) method on the electrical and physical properties in the Si/SiO 2 /Pt/ALD-HfO 2 /Pd metal-insulator-metal (MIM) capacitors. If the annealing temperature for HfO 2 films was restricted below 500 deg. C, an annealing step using a rapid thermal processor (RTP) improves the electrical properties such as the dissipation factor and the dielectric constant. On the other hand, annealing at 700 deg. C degrades the electrical characteristics in general; the dissipation factor increases over the frequency range of 1∼4 MHz, and the leakage current increases up to 2 orders at the low electric field regions. We found that the degradation of electrical properties is due to the grain growth in the HfO 2 film (i.e., poly-crystallization of the film) by the high temperature annealing processing. We suggested that the annealing temperature must be restricted below 500 deg. C to obtain the high quality high-k film for the MIM capacitors

  12. Study of bulk Hafnium oxide (HfO2) under compression

    Science.gov (United States)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  13. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  14. Growth Related Carrier Mobility Enhancement of Pentacene Thin-Film Transistors with High-k Oxide Gate Dielectric

    International Nuclear Information System (INIS)

    Ai-Fang, Yu; Qiong, Qi; Peng, Jiang; Chao, Jiang

    2009-01-01

    Carrier mobility enhancement from 0.09 to 0.59 cm 2 /Vs is achieved for pentacene-based thin-film transistors (TFTs) by modifying the HfO 2 gate dielectric with a polystyrene (PS) thin film. The improvement of the transistor's performance is found to be strongly related to the initial film morphologies of pentacene on the dielectrics. In contrast to the three-dimensional island-like growth mode on the HfO 2 surface, the Stranski-Krastanov growth mode on the smooth and nonpolar PS/HfO 2 surface is believed to be the origin of the excellent carrier mobility of the TFTs. A large well-connected first monolayer with fewer boundaries is formed via the Stranski–Krastanov growth mode, which facilitates a charge transport parallel to the substrate and promotes higher carrier mobility. (cross-disciplinary physics and related areas of science and technology)

  15. Perpendicular magnetic anisotropy of CoFeB\\Ta bilayers on ALD HfO2

    Directory of Open Access Journals (Sweden)

    Bart F. Vermeulen

    2017-05-01

    Full Text Available Perpendicular magnetic anisotropy (PMA is an essential condition for CoFe thin films used in magnetic random access memories. Until recently, interfacial PMA was mainly known to occur in materials stacks with MgO\\CoFe(B interfaces or using an adjacent crystalline heavy metal film. Here, PMA is reported in a CoFeB\\Ta bilayer deposited on amorphous high-κ dielectric (relative permittivity κ=20 HfO2, grown by atomic layer deposition (ALD. PMA with interfacial anisotropy energy Ki up to 0.49 mJ/m2 appears after annealing the stacks between 200°C and 350°C, as shown with vibrating sample magnetometry. Transmission electron microscopy shows that the decrease of PMA starting from 350°C coincides with the onset of interdiffusion in the materials. High-κ dielectrics are potential enablers for giant voltage control of magnetic anisotropy (VCMA. The absence of VCMA in these experiments is ascribed to a 0.6 nm thick magnetic dead layer between HfO2 and CoFeB. The results show PMA can be easily obtained on ALD high-κ dielectrics.

  16. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    Science.gov (United States)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  17. Investigation of various properties of HfO2-TiO2 thin film composites deposited by multi-magnetron sputtering system

    Science.gov (United States)

    Mazur, M.; Poniedziałek, A.; Kaczmarek, D.; Wojcieszak, D.; Domaradzki, J.; Gibson, D.

    2017-11-01

    In this work the properties of hafnium dioxide (HfO2), titanium dioxide (TiO2) and mixed HfO2-TiO2 thin films with various amount of titanium addition, deposited by magnetron sputtering were described. Structural, surface, optical and mechanical properties of deposited coatings were analyzed. Based on X-ray diffraction and Raman scattering measuremets it was observed that there was a significant influence of titanium concentration in mixed TiO2-HfO2 thin films on their microstructure. Increase of Ti content in prepared mixed oxides coatings caused, e.g. a decrease of average crystallite size and amorphisation of the coatings. As-deposited hafnia and titania thin films exhibited nanocrystalline structure of monoclinic phase and mixed anatase-rutile phase for HfO2 and TiO2 thin films, respectively. Atomic force microscopy investigations showed that the surface of deposited thin films was densely packed, crack-free and composed of visible grains. Surface roughness and the value of water contact angle decreased with the increase of Ti content in mixed oxides. Results of optical studies showed that all deposited thin films were well transparent in a visible light range. The effect of the change of material composition on the cut-off wavelength, refractive index and packing density was also investigated. Performed measurements of mechanical properties revealed that hardness and Young's elastic modulus of thin films were dependent on material composition. Hardness of thin films increased with an increase of Ti content in thin films, from 4.90 GPa to 13.7 GPa for HfO2 and TiO2, respectively. The results of the scratch resistance showed that thin films with proper material composition can be used as protective coatings in optical devices.

  18. Defect states and charge trapping characteristics of HfO2 films for high performance nonvolatile memory applications

    International Nuclear Information System (INIS)

    Zhang, Y.; Shao, Y. Y.; Lu, X. B.; Zeng, M.; Zhang, Z.; Gao, X. S.; Zhang, X. J.; Liu, J.-M.; Dai, J. Y.

    2014-01-01

    In this work, we present significant charge trapping memory effects of the metal-hafnium oxide-SiO 2 -Si (MHOS) structure. The devices based on 800 °C annealed HfO 2 film exhibit a large memory window of ∼5.1 V under ±10 V sweeping voltages and excellent charge retention properties with only small charge loss of ∼2.6% after more than 10 4  s retention. The outstanding memory characteristics are attributed to the high density of deep defect states in HfO 2 films. We investigated the defect states in the HfO 2 films by photoluminescence and photoluminescence excitation measurements and found that the defect states distributed in deep energy levels ranging from 1.1 eV to 2.9 eV below the conduction band. Our work provides further insights for the charge trapping mechanisms of the HfO 2 based MHOS devices.

  19. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  20. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.; Mejia, I.; Hovarth, J.; Alshareef, Husam N.; Cha, D. K.; Ramirez-Bon, R.; Gnade, B. E.; Quevedo-Lopez, M. A.

    2010-01-01

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  1. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.

    2010-06-29

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  2. Effect of heat treatment on properties of HfO2 film deposited by ion-beam sputtering

    Science.gov (United States)

    Liu, Huasong; Jiang, Yugang; Wang, Lishuan; Li, Shida; Yang, Xiao; Jiang, Chenghui; Liu, Dandan; Ji, Yiqin; Zhang, Feng; Chen, Deying

    2017-11-01

    The effects of atmosphere heat treatment on optical, stress, and microstructure properties of an HfO2 film deposited by ion-beam sputtering were systematically researched. The relationships among annealing temperature and refractive index, extinction coefficient, physical thickness, forbidden-band width, tape trailer width, Urbach energy, crystal phase structure, and stress were assessed. The results showed that 400 °C is the transformation point, and the microstructure of the HfO2 film changed from an amorphous into mixed-phase structure. Multistage phonons appeared on the HfO2 film, and the trends of the refractive index, extinction coefficient, forbidden-band width change, and Urbach energy shifted from decrease to increase. With the elevation of the annealing temperature, the film thickness increased monotonously, the compressive stress gradually turned to tensile stress, and the transformation temperature point for the stress was between 200 °C and 300 °C. Therefore, the change in the stress is the primary cause for the shifts in thin-film thickness.

  3. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    Science.gov (United States)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  4. New theory of effective work functions at metal/high-k dielectric interfaces : application to metal/high-k HfO2 and la2O 3 dielectric interfaces

    OpenAIRE

    Shiraishi, Kenji; Nakayama, Takashi; Akasaka, Yasushi; Miyazaki, Seiichi; Nakaoka, Takashi; Ohmori, Kenji; Ahmet, Parhat; Torii, Kazuyoshi; Watanabe, Heiji; Chikyow, Toyohiro; Nara, Yasuo; Iwai, Hiroshi; Yamada, Keisaku

    2006-01-01

    We have constructed a universal theory of the work functions at metal/high-k HfO2 and La2O3 dielectric interfaces by introducing a new concept of generalized charge neutrality levels. Our theory systematically reproduces the experimentally observed work functions of various gate metals on Hf-based high-k dielectrics, including the hitherto unpredictable behaviors of the work functions of p-metals. Our new concept provides effective guiding principles to achieving near-bandedge work functions ...

  5. Formation of Al2O3-HfO2 Eutectic EBC Film on Silicon Carbide Substrate

    Directory of Open Access Journals (Sweden)

    Kyosuke Seya

    2015-01-01

    Full Text Available The formation mechanism of Al2O3-HfO2 eutectic structure, the preparation method, and the formation mechanism of the eutectic EBC layer on the silicon carbide substrate are summarized. Al2O3-HfO2 eutectic EBC film is prepared by optical zone melting method on the silicon carbide substrate. At high temperature, a small amount of silicon carbide decomposed into silicon and carbon. The components of Al2O3 and HfO2 in molten phase also react with the free carbon. The Al2O3 phase reacts with free carbon and vapor species of AlO phase is formed. The composition of the molten phase becomes HfO2 rich from the eutectic composition. HfO2 phase also reacts with the free carbon and HfC phase is formed on the silicon carbide substrate; then a high density intermediate layer is formed. The adhesion between the intermediate layer and the substrate is excellent by an anchor effect. When the solidification process finished before all of HfO2 phase is reduced to HfC phase, HfC-HfO2 functionally graded layer is formed on the silicon carbide substrate and the Al2O3-HfO2 eutectic structure grows from the top of the intermediate layer.

  6. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  7. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  8. Effect of Advanced Plasma Source bias voltage on properties of HfO2 films prepared by plasma ion assisted electron evaporation from metal hafnium

    International Nuclear Information System (INIS)

    Zhu, Meiping; Yi, Kui; Arhilger, Detlef; Qi, Hongji; Shao, Jianda

    2013-01-01

    HfO 2 films, using metal hafnium as starting material, are deposited by plasma-ion assisted electron evaporation with different Advanced Plasma Source (APS) bias voltages. The refractive index and extinction coefficient are calculated, the chemical state and composition, as well as the stress and aging behavior is investigated. Laser induced damage threshold (LIDT) and damage mechanism are also evaluated and discussed. Optical, structural, mechanical and laser induced damage properties of HfO 2 films are found to be sensitive to APS bias voltage. The film stress can be tuned by varying the APS bias voltage. Damage morphologies indicate the LIDT of the HfO 2 films at 1064 nm and 532 nm are dominated by the nodular-defect density in coatings, while the 355 nm LIDT is dominated by the film absorption. HfO 2 films with higher 1064 nm LIDT than samples evaporated from hafnia are achieved with bias voltage of 100 V. - Highlights: • HfO 2 films are evaporated with different Advanced Plasma Source (APS) bias voltages. • Properties of HfO 2 films are sensitive to APS bias voltage. • With a bias voltage of 100 V, HfO 2 coatings without any stress can be achieved. • Higher 1064 nm laser induced damage threshold is achieved at a bias voltage of 100 V

  9. Study of Direct-Contact HfO2/Si Interfaces

    Directory of Open Access Journals (Sweden)

    Noriyuki Miyata

    2012-03-01

    Full Text Available Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs.

  10. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    Science.gov (United States)

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  11. Fabrication of Metal Nanoparticle Arrays in the ZrO2(Y, HfO2(Y, and GeOx Films by Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Oleg Gorshkov

    2017-01-01

    Full Text Available The single sheet arrays of Au nanoparticles (NPs embedded into the ZrO2(Y, HfO2(Y, and GeOx (x≈2 films have been fabricated by the alternating deposition of the nanometer-thick dielectric and metal films using Magnetron Sputtering followed by annealing. The structure and optical properties of the NP arrays have been studied, subject to the fabrication technology parameters. The possibility of fabricating dense single sheet Au NP arrays in the matrices listed above with controlled NP sizes (within 1 to 3 nm and surface density has been demonstrated. A red shift of the plasmonic optical absorption peak in the optical transmission spectra of the nanocomposite films (in the wavelength band of 500 to 650 nm has been observed. The effect was attributed to the excitation of the collective surface plasmon-polaritons in the dense Au NP arrays. The nanocomposite films fabricated in the present study can find various applications in nanoelectronics (e.g., single electronics, nonvolatile memory devices, integrated optics, and plasmonics.

  12. Crystal structure and band gap determination of HfO2 thin films

    NARCIS (Netherlands)

    Cheynet, M.C.; Pokrant, S.; Tichelaar, F.D.; Rouvière, J.L.

    2007-01-01

    Valence electron energy loss spectroscopy (VEELS) and high resolution transmission electron microscopy (HRTEM) are performed on three different HfO2 thin films grown on Si (001) by chemical vapor deposition (CVD) or atomic layer deposition (ALD). For each sample the band gap (Eg) is determined by

  13. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  14. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  15. TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films

    International Nuclear Information System (INIS)

    Lomenzo, Patrick D.; Nishida, Toshikazu; Takmeel, Qanit; Zhou, Chuanzhen; Fancher, Chris M.; Jones, Jacob L.; Lambers, Eric; Rudawski, Nicholas G.; Moghaddam, Saeed

    2015-01-01

    Ferroelectric HfO 2 -based thin films, which can exhibit ferroelectric properties down to sub-10 nm thicknesses, are a promising candidate for emerging high density memory technologies. As the ferroelectric thickness continues to shrink, the electrode-ferroelectric interface properties play an increasingly important role. We investigate the TaN interface properties on 10 nm thick Si-doped HfO 2 thin films fabricated in a TaN metal-ferroelectric-metal stack which exhibit highly asymmetric ferroelectric characteristics. To understand the asymmetric behavior of the ferroelectric characteristics of the Si-doped HfO 2 thin films, the chemical interface properties of sputtered TaN bottom and top electrodes are probed with x-ray photoelectron spectroscopy. Ta-O bonds at the bottom electrode interface and a significant presence of Hf-N bonds at both electrode interfaces are identified. It is shown that the chemical heterogeneity of the bottom and top electrode interfaces gives rise to an internal electric field, which causes the as-grown ferroelectric domains to preferentially polarize to screen positively charged oxygen vacancies aggregated at the oxidized bottom electrode interface. Electric field cycling is shown to reduce the internal electric field with a concomitant increase in remanent polarization and decrease in relative permittivity. Through an analysis of pulsed transient switching currents, back-switching is observed in Si-doped HfO 2 thin films with pinched hysteresis loops and is shown to be influenced by the internal electric field

  16. Chemical reaction at the interface between pentacene and HfO2

    International Nuclear Information System (INIS)

    Kang, S.J.; Yi, Y.; Kim, K.H.; Yoo, C.Y.; Moewes, A.; Cho, M.H.; Denlinger, J.D.; Whang, C.N.; Chang, G.S.

    2005-01-01

    The electronic structure and the interface formation at the interface region between pentacene and HfO2 are investigated using x-ray photoelectron spectroscopy (XPS), ultraviolet photoelectron spectroscopy (UPS), and x-ray emission spectroscopy (XES). The measured C 1s XPS spectra of pentacene indicate that chemical bonding occurs at the interface between pentacene and HfO2. The carbon of pentacene reacts with oxygen belonging to HfO2 and band bending occurs at the interface due to a redistribution of charge. The determined interface dipole and band bending between pentacene and HfO2 are 0.04 and 0.1 eV, respectively. The highest occupied molecular orbital (HOMO) level is observed at 0.68 eV below the Fermi level. This chemical reaction allows us to grow a pentacene film with large grains onto HfO2. We conclude that high performance pentacene thin film transistors can be obtained by inserting an ultrathin HfO2 layer between pentacene and a gate insulator

  17. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  18. Suspended HfO2 photonic crystal slab on III-nitride/Si platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Feng, Jiao; Cao, Ziping; Zhu, Hongbo

    2014-01-01

    We present here the fabrication of suspended hafnium oxide (HfO 2 ) photonic crystal slab on a III-nitride/Si platform. The calculations are performed to model the suspended HfO 2 photonic crystal slab. Aluminum nitride (AlN) film is employed as the sacrificial layer to form air gap. Photonic crystal patterns are defined by electron beam lithography and transferred into HfO 2 film, and suspended HfO 2 photonic crystal slab is achieved on a III-nitride/Si platform through wet-etching of AlN layer in the alkaline solution. The method is promising for the fabrication of suspended HfO 2 nanostructures incorporating into a III-nitride/Si platform, or acting as the template for epitaxial growth of III-nitride materials. (orig.)

  19. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  20. Optical properties of a HfO2/Si stack with a trace amount of nitrogen incorporation

    Science.gov (United States)

    Ye, Li; Tingting, Jiang; Qingqing, Sun; Pengfei, Wang; Shijin, Ding; Wei, Zhang

    2012-03-01

    HfO2 films were deposited by atomic layer deposition through alternating pulsing of Hf[N(C2H5)(CH3)]4 and H2O2. A trace amount of nitrogen was incorporated into the HfO2 through ammonia annealing. The composition, the interface stability of the HfO2/Si stack and the optical properties of the annealed films were analyzed to investigate the property evolution of HfO2 during thermal treatment. With a nitrogen concentration increase from 1.41 to 7.45%, the bandgap of the films decreased from 5.82 to 4.94 eV.

  1. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    Science.gov (United States)

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  2. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    Science.gov (United States)

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  3. Influence of standing-wave electric field pattern on the laser damage resistance of HfO sub 2 thin films

    CERN Document Server

    Protopapa, M L; De Tomasi, F; Di Giulio, M; Perrone, M R; Scaglione, S

    2002-01-01

    The standing-wave electric field pattern that forms inside an optical coating as a consequence of laser irradiation is one of the factors influencing the coating laser-induced damage threshold. The influence of the standing-wave electric field profile on the damage resistance to ultraviolet radiation of hafnium dioxide (HfO sub 2) thin films was investigated in this work. To this end, HfO sub 2 thin films of different thicknesses deposited by the electron beam evaporation technique at the same deposition conditions were analyzed. Laser damage thresholds of the samples were measured at 308 nm (XeCl laser) by the photoacoustic beam deflection technique and microscopic inspections. The dependence of the laser damage threshold on the standing-wave electric field pattern was analyzed.

  4. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.; Quevedo-Ló pez, Manuel Angel Quevedo; Alshareef, Husam N.; Gnade, Bruce E.; Ramí rez-Bon, Rafael

    2010-01-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  5. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.

    2010-03-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  6. Difference in Thermal Degradation Behavior of ZrO2 and HfO2 Anodized Capacitors

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Yoshida, Naoto; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2004-09-01

    Microcrystalline ZrO2 and HfO2 thin film capacitors were prepared by anodizing sputter-deposited Zr and Hf films. The thermal degradation behavior of both anodized capacitors was clarified by the measurement of their capacitance properties and Auger depth profiles before and after heat treatment in air. As a result, it is confirmed that the heat-resistance property of the HfO2 anodized capacitor is superior to that of the ZrO2 capacitor. In addition, it is revealed that the thermal degradation of the ZrO2 anodized capacitor is caused by the diffusion of Zr atoms from the underlying layer into the ZrO2 anodized layer, while that of the HfO2 anodized capacitor is caused by the diffusion of oxygen atoms from the anodized layer into the underlying Hf layer.

  7. Capacitor Property and Leakage Current Mechanism of ZrO2 Thin Dielectric Films Prepared by Anodic Oxidation

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2003-07-01

    Polycrystalline ZrO2 thin film capacitors were prepared by anodizing sputter-deposited Zr films. Electrical measurements are performed for the parallel-plate anodized capacitors with an Al-ZrO2-Zr (metal-insulator-metal) structure, and a high capacitance density (0.6 μF/cm2) and a low dielectric loss of nearly 1% are obtained for a very thin-oxide capacitor anodized at 10 V. In addition, the leakage current density of this capacitor is about 1.8 × 10-8 A/cm2 at an applied voltage of 5 V. However, the leakage current is somewhat larger than that of a low-loss HfO2 capacitor. The leakage current density (J) of ZrO2 capacitors as a function of applied electric field (E) was investigated for several capacitors with different oxide thicknesses, by plotting \\ln(J) vs E1/2 curves. As a result, it is revealed that the conduction mechanism is due to the Poole-Frenkel effect, irrespective of the oxide thickness.

  8. Characterization of luminescent samarium doped HfO2 coatings synthesized by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Chacon-Roa, C; Guzman-Mendoza, J; Aguilar-Frutis, M; Garcia-Hipolito, M; Alvarez-Fragoso, O; Falcony, C

    2008-01-01

    Trivalent samarium (Sm 3+ ) doped hafnium oxide (HfO 2 ) films were deposited using the spray pyrolysis deposition technique. The films were deposited on Corning glass substrates at temperatures ranging from 300 to 550 deg. C using chlorides as raw materials. Films, mostly amorphous, were obtained when deposition temperatures were below 350 deg. C. However, for temperatures higher than 400 deg. C, the films became polycrystalline, presenting the HfO 2 monoclinic phase. Scanning electron microscopy of the films revealed a rough surface morphology with spherical particles. Also, electron energy dispersive analysis was performed on these films. The photoluminescence and cathodoluminescence characteristics of the HfO 2 : SmCl 3 films, measured at room temperature, exhibited four main bands centred at 570, 610, 652 and 716 nm, which are due to the well-known intra-4f transitions of the Sm 3+ ion. It was found that the overall emission intensity rose as the deposition temperature was increased. Furthermore, a concentration quenching of the luminescence intensity was also observed

  9. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    Science.gov (United States)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  10. Advanced passivation techniques for Si solar cells with high-κ dielectric materials

    International Nuclear Information System (INIS)

    Geng, Huijuan; Lin, Tingjui; Letha, Ayra Jagadhamma; Hwang, Huey-Liang; Kyznetsov, Fedor A.; Smirnova, Tamara P.; Saraev, Andrey A.; Kaichev, Vasily V.

    2014-01-01

    Electronic recombination losses at the wafer surface significantly reduce the efficiency of Si solar cells. Surface passivation using a suitable thin dielectric layer can minimize the recombination losses. Herein, advanced passivation using simple materials (Al 2 O 3 , HfO 2 ) and their compounds H (Hf) A (Al) O deposited by atomic layer deposition (ALD) was investigated. The chemical composition of Hf and Al oxide films were determined by X-ray photoelectron spectroscopy (XPS). The XPS depth profiles exhibit continuous uniform dense layers. The ALD-Al 2 O 3 film has been found to provide negative fixed charge (−6.4 × 10 11  cm −2 ), whereas HfO 2 film provides positive fixed charge (3.2 × 10 12  cm −2 ). The effective lifetimes can be improved after oxygen gas annealing for 1 min. I-V characteristics of Si solar cells with high-κ dielectric materials as passivation layers indicate that the performance is significantly improved, and ALD-HfO 2 film would provide better passivation properties than that of the ALD-Al 2 O 3 film in this research work.

  11. Improved Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Lewis, Carol R.; Cygan, Peter J.; Jow, T. Richard

    1994-01-01

    Dielectric films made from blends of some commercially available high-dielectric-constant cyanoresins with each other and with cellulose triacetate (CTA) have both high dielectric constants and high breakdown strengths. Dielectric constants as high as 16.2. Films used to produce high-energy-density capacitors.

  12. Effects of nitrogen incorporation in HfO(2) grown on InP by atomic layer deposition: an evolution in structural, chemical, and electrical characteristics.

    Science.gov (United States)

    Kang, Yu-Seon; Kim, Dae-Kyoung; Kang, Hang-Kyu; Jeong, Kwang-Sik; Cho, Mann-Ho; Ko, Dae-Hong; Kim, Hyoungsub; Seo, Jung-Hye; Kim, Dong-Chan

    2014-03-26

    We investigated the effects of postnitridation on the structural characteristics and interfacial reactions of HfO2 thin films grown on InP by atomic layer deposition (ALD) as a function of film thickness. By postdeposition annealing under NH3 vapor (PDN) at 600 °C, an InN layer formed at the HfO2/InP interface, and ionized NHx was incorporated in the HfO2 film. We demonstrate that structural changes resulting from nitridation of HfO2/InP depend on the film thickness (i.e., a single-crystal interfacial layer of h-InN formed at thin (2 nm) HfO2/InP interfaces, whereas an amorphous InN layer formed at thick (>6 nm) HfO2/InP interfaces). Consequently, the tetragonal structure of HfO2 transformed into a mixture structure of tetragonal and monoclinic because the interfacial InN layer relieved interfacial strain between HfO2 and InP. During postdeposition annealing (PDA) in HfO2/InP at 600 °C, large numbers of oxidation states were generated as a result of interfacial reactions between interdiffused oxygen impurities and out-diffused InP substrate elements. However, in the case of the PDN of HfO2/InP structures at 600 °C, nitrogen incorporation in the HfO2 film effectively blocked the out-diffusion of atomic In and P, thus suppressing the formation of oxidation states. Accordingly, the number of interfacial defect states (Dit) within the band gap of InP was significantly reduced, which was also supported by DFT calculations. Interfacial InN in HfO2/InP increased the electron-barrier height to ∼0.6 eV, which led to low-leakage-current density in the gate voltage region over 2 V.

  13. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    Science.gov (United States)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  14. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  15. Effect of Dielectric Interface on the Performance of MoS2 Transistors.

    Science.gov (United States)

    Li, Xuefei; Xiong, Xiong; Li, Tiaoyang; Li, Sichao; Zhang, Zhenfeng; Wu, Yanqing

    2017-12-27

    Because of their wide bandgap and ultrathin body properties, two-dimensional materials are currently being pursued for next-generation electronic and optoelectronic applications. Although there have been increasing numbers of studies on improving the performance of MoS 2 field-effect transistors (FETs) using various methods, the dielectric interface, which plays a decisive role in determining the mobility, interface traps, and thermal transport of MoS 2 FETs, has not been well explored and understood. In this article, we present a comprehensive experimental study on the effect of high-k dielectrics on the performance of few-layer MoS 2 FETs from 300 to 4.3 K. Results show that Al 2 O 3 /HfO 2 could boost the mobility and drain current. Meanwhile, MoS 2 transistors with Al 2 O 3 /HfO 2 demonstrate a 2× reduction in oxide trap density compared to that of the devices with the conventional SiO 2 substrate. Also, we observe a negative differential resistance effect on the device with 1 μm-channel length when using conventional SiO 2 as the gate dielectric due to self-heating, and this is effectively eliminated by using the Al 2 O 3 /HfO 2 gate dielectric. This dielectric engineering provides a highly viable route to realizing high-performance transition metal dichalcogenide-based FETs.

  16. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    Science.gov (United States)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  17. Comparative studies of AlGaN/GaN MOS-HEMTs with stacked gate dielectrics by the mixed thin film growth method

    International Nuclear Information System (INIS)

    Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Ho, Chiu-Sheng; Lee, Ching-Sung

    2013-01-01

    This paper reports Al 0.27 Ga 0.73 N/GaN metal–oxide–semiconductor high electron mobility transistors (MOS-HEMTs) with stacked Al 2 O 3 /HfO 2 gate dielectrics by using hydrogen peroxideoxidation/sputtering techniques. The Al 2 O 3 employed as a gate dielectric and surface passivation layer effectively suppresses the gate leakage current, improves RF drain current collapse and exhibits good thermal stability. Moreover, by stacking the good insulating high-k HfO 2 dielectric further suppresses the gate leakage, enhances the dielectric breakdown field and power-added efficiency, and decreases the equivalent oxide thickness. The present MOS-HEMT design has demonstrated superior improvements of 10.1% (16.4%) in the maximum drain–source current (I DS,max ), 11.4% (22.5%) in the gate voltage swing and 12.5%/14.4% (21.9%/22.3%) in the two-terminal gate–drain breakdown/turn-on voltages (BV GD /V ON ), and the present design also demonstrates the lowest gate leakage current and best thermal stability characteristics as compared to two reference MOS-HEMTs with a single Al 2 O 3 /(HfO 2 ) dielectric layer of the same physical thickness. (invited paper)

  18. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  19. Probing the thermal decomposition behaviors of ultrathin HfO2 films by an in situ high temperature scanning tunneling microscope.

    Science.gov (United States)

    Xue, Kun; Wang, Lei; An, Jin; Xu, Jianbin

    2011-05-13

    The thermal decomposition of ultrathin HfO(2) films (∼0.6-1.2 nm) on Si by ultrahigh vacuum annealing (25-800 °C) is investigated in situ in real time by scanning tunneling microscopy. Two distinct thickness-dependent decomposition behaviors are observed. When the HfO(2) thickness is ∼ 0.6 nm, no discernible morphological changes are found below ∼ 700 °C. Then an abrupt reaction occurs at 750 °C with crystalline hafnium silicide nanostructures formed instantaneously. However, when the thickness is about 1.2 nm, the decomposition proceeds gradually with the creation and growth of two-dimensional voids at 800 °C. The observed thickness-dependent behavior is closely related to the SiO desorption, which is believed to be the rate-limiting step of the decomposition process.

  20. Influence of phosphorous precursors on spectroscopic properties of Er3+-activated SiO2-HfO2-P2O5 planar waveguides

    International Nuclear Information System (INIS)

    Vasilchenko, I; Carpentiero, A; Chiappini, A; Chiasera, A; Ferrari, M; Vaccari, A; Lukowiak, A; Righini, G C; Vereshagin, V

    2014-01-01

    (70-x)SiO 2 -30HfO 2 -xP 2 O 5 (x= 5, 10 mol %) glass planar waveguides activated by 0.5 mol% Er 3 + ions were prepared by sol-gel route. Several phosphorous precursors have been investigated for the synthesis of a dielectric stable sol useful for the realization of planar waveguides. The waveguides were investigated by different diagnostic techniques. The optical properties such as refractive index, thickness, number of propagating modes and attenuation coefficient were measured at 632.8 and 543.5 nm by prism coupling technique. Transmission measurements were carried out in order to assess the transparency of the deposited films. Photoluminescence measurements and lifetime decay curves of the Er 3 + transition (4 I 13/2 → 4 I 15/2 ) were performed in order to investigate the role of P 2 O 5

  1. Ge interactions on HfO2 surfaces and kinetically driven patterning of Ge nanocrystals on HfO2

    International Nuclear Information System (INIS)

    Stanley, Scott K.; Joshi, Sachin V.; Banerjee, Sanjay K.; Ekerdt, John G.

    2006-01-01

    Germanium interactions are studied on HfO 2 surfaces, which are prepared through physical vapor deposition (PVD) and by atomic layer deposition. X-ray photoelectron spectroscopy and temperature-programed desorption are used to follow the reactions of germanium on HfO 2 . Germanium chemical vapor deposition at 870 K on HfO 2 produces a GeO x adhesion layer, followed by growth of semiconducting Ge 0 . PVD of 0.7 ML Ge (accomplished by thermally cracking GeH 4 over a hot filament) also produces an initial GeO x layer, which is stable up to 800 K. PVD above 2.0 ML deposits semiconducting Ge 0 . Temperature programed desorption experiments of ∼1.0 ML Ge from HfO 2 at 400-1100 K show GeH 4 desorption below 600 K and GeO desorption above 850 K. These results are compared to Ge on SiO 2 where GeO desorption is seen at 550 K. Exploiting the different reactivity of Ge on HfO 2 and SiO 2 allows a kinetically driven patterning scheme for high-density Ge nanoparticle growth on HfO 2 surfaces that is demonstrated

  2. Customized binary and multi-level HfO2-x-based memristors tuned by oxidation conditions.

    Science.gov (United States)

    He, Weifan; Sun, Huajun; Zhou, Yaxiong; Lu, Ke; Xue, Kanhao; Miao, Xiangshui

    2017-08-30

    The memristor is a promising candidate for the next generation non-volatile memory, especially based on HfO 2-x , given its compatibility with advanced CMOS technologies. Although various resistive transitions were reported independently, customized binary and multi-level memristors in unified HfO 2-x material have not been studied. Here we report Pt/HfO 2-x /Ti memristors with double memristive modes, forming-free and low operation voltage, which were tuned by oxidation conditions of HfO 2-x films. As O/Hf ratios of HfO 2-x films increase, the forming voltages, SET voltages, and R off /R on windows increase regularly while their resistive transitions undergo from gradually to sharply in I/V sweep. Two memristors with typical resistive transitions were studied to customize binary and multi-level memristive modes, respectively. For binary mode, high-speed switching with 10 3 pulses (10 ns) and retention test at 85 °C (>10 4 s) were achieved. For multi-level mode, the 12-levels stable resistance states were confirmed by ongoing multi-window switching (ranging from 10 ns to 1 μs and completing 10 cycles of each pulse). Our customized binary and multi-level HfO 2-x -based memristors show high-speed switching, multi-level storage and excellent stability, which can be separately applied to logic computing and neuromorphic computing, further suitable for in-memory computing chip when deposition atmosphere may be fine-tuned.

  3. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  4. InAs/GaAs quantum-dot intermixing: comparison of various dielectric encapsulants

    KAUST Repository

    Alhashim, Hala H.

    2015-10-16

    We report on the impurity-free vacancy-disordering effect in InAs/GaAs quantum-dot (QD) laser structure based on seven dielectric capping layers. Compared to the typical SiO2 and Si3N4 films, HfO2 and SrTiO3 dielectric layers showed superior enhancement and suppression of intermixing up to 725°C, respectively. A QD peak ground-state differential blue shift of >175  nm (>148  meV) is obtained for HfO2 capped sample. Likewise, investigation of TiO2, Al2O3, and ZnO capping films showed unusual characteristics, such as intermixing-control caps at low annealing temperature (650°C) and interdiffusion-promoting caps at high temperatures (≥675°C). We qualitatively compared the degree of intermixing induced by these films by extracting the rate of intermixing and the temperature for ground-state and excited-state convergences. Based on our systematic characterization, we established reference intermixing processes based on seven different dielectric encapsulation materials. The tailored wavelength emission of ∼1060−1200  nm at room temperature and improved optical quality exhibited from intermixed QDs would serve as key materials for eventual realization of low-cost, compact, and agile lasers. Applications include solid-state laser pumping, optical communications, gas sensing, biomedical imaging, green–yellow–orange coherent light generation, as well as addressing photonic integration via area-selective, and postgrowth bandgap engineering.

  5. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  6. First-principles simulations of the leakage current in metal-oxide-semiconductor structures caused by oxygen vacancies in HfO2 high-K gate dielectric

    International Nuclear Information System (INIS)

    Mao, L.F.; Wang, Z.O.

    2008-01-01

    HfO 2 high-K gate dielectric has been used as a new gate dielectric in metal-oxide-semiconductor structures. First-principles simulations are used to study the effects of oxygen vacancies on the tunneling current through the oxide. A level which is nearly 1.25 eV from the bottom of the conduction band is introduced into the bandgap due to the oxygen vacancies. The tunneling current calculations show that the tunneling currents through the gate oxide with different defect density possess the typical characteristic of stress-induced leakage current. Further analysis shows that the location of oxygen vacancies will have a marked effect on the tunneling current. The largest increase in the tunneling current caused by oxygen vacancies comes about at the middle oxide field when defects are located at the middle of the oxide. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    Science.gov (United States)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  8. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    Science.gov (United States)

    Sales, T. S. N.; Cavalcante, F. H. M.; Bosch-Santos, B.; Pereira, L. F. D.; Cabrera-Pasca, G. A.; Freitas, R. S.; Saxena, R. N.; Carbonari, A. W.

    2017-05-01

    In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2) nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM) and scanning electron microscopy (SEM) with electron back scattering diffraction (EBSD), and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%).

  9. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    Directory of Open Access Journals (Sweden)

    T. S. N. Sales

    2017-05-01

    Full Text Available In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2 nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD, transmission electron microscopy (TEM and scanning electron microscopy (SEM with electron back scattering diffraction (EBSD, and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%.

  10. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  11. Atomic layer deposition of HfO2 on graphene through controlled ion beam treatment

    International Nuclear Information System (INIS)

    Kim, Ki Seok; Oh, Il-Kwon; Jung, Hanearl; Kim, Hyungjun; Yeom, Geun Young; Kim, Kyong Nam

    2016-01-01

    The polymer residue generated during the graphene transfer process to the substrate tends to cause problems (e.g., a decrease in electron mobility, unwanted doping, and non-uniform deposition of the dielectric material). In this study, by using a controllable low-energy Ar + ion beam, we cleaned the polymer residue without damaging the graphene network. HfO 2 grown by atomic layer deposition on graphene cleaned using an Ar + ion beam showed a dense uniform structure, whereas that grown on the transferred graphene (before Ar + ion cleaning) showed a non-uniform structure. A graphene–HfO 2 –metal capacitor fabricated by growing 20-nm thick HfO 2 on graphene exhibited a very low leakage current (<10 −11 A/cm 2 ) for Ar + ion-cleaned graphene, whereas a similar capacitor grown using the transferred graphene showed high leakage current.

  12. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    Science.gov (United States)

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  13. Influence of Optimization of Process Parameters on Threshold Voltage for Development of HfO2/TiSi2 18 nm PMOS

    Directory of Open Access Journals (Sweden)

    Atan N.

    2016-01-01

    Full Text Available Manufacturing a 18-nm transistor requires a variety of parameters, materials, temperatures, and methods. In this research, HfO2 was used as the gate dielectric ad TiO2 was used as the gate material. The transistor HfO2/TiSi2 18-nm PMOS was invented using SILVACO TCAD. Ion implantation was adopted in the fabrication process for the method’s practicality and ability to be used to suppress short channel effects. The study involved ion implantation methods: compensation implantation, halo implantation energy, halo tilt, and source–drain implantation. Taguchi method is the best optimization process for a threshold voltage of HfO2/TiSi2 18-nm PMOS. In this case, the method adopted was Taguchi orthogonal array L9. The process parameters (ion implantations and noise factors were evaluated by examining the Taguchi’s signal-to-noise ratio (SNR and nominal-the-best for the threshold voltage (VTH. After optimization, the result showed that the VTH value of the 18-nm PMOS device was -0.291339.

  14. UV protection filters by dielectric multilayer thin films on Glass BK-7 and Infrasil 301

    International Nuclear Information System (INIS)

    Abdel-Aziz, M.M.; Azim, Osama A.; Abdel-Wahab, L.A.; Seddik, Mohamed M.

    2006-01-01

    The increasing use of Ultraviolet (UV) light in medicine, industrial environments, for cosmetic use, and even in consumer products necessitates that greater attention be paid to the potential hazards of this type of electromagnetic radiation. To avoid any adverse effects of exposure to this type of radiation, four suitable protection filters were produced to block three UV bands (UVA, UVB, and UVC). The design structure of the required dielectric multilayer filters was done by optical thin film technology using the absorbing property of UV radiation for the substrates and dielectric materials. The computer analyses of the multilayer filter formulas were prepared using Macleod Software for the production processes. The deposition technique was achieved on optical substrates (Glass BK-7 and Infrasil 301) by dielectric material combinations including Titanium dioxide (Ti 2 O 3 ), Hafnium dioxide (HfO 2 ), and Lima (mixture of oxides SiO 2 /Al 2 O 3 ); deposition being achieved using an electron beam gun. The output results of the theoretical and experimental transmittance values for spectral band from 200 nm to 800 nm were discussed in four processes. To analyze the suitability for use in 'real world' applications, the test pieces were subjected to the durability tests (adhesion, abrasion resistance, and humidity) according to Military Standard MIL-C-675C and MIL-C-48497A

  15. UV protection filters by dielectric multilayer thin films on Glass BK-7 and Infrasil 301

    Science.gov (United States)

    Abdel-Aziz, M. M.; Azim, Osama A.; Abdel-Wahab, L. A.; Seddik, Mohamed M.

    2006-10-01

    The increasing use of Ultraviolet (UV) light in medicine, industrial environments, for cosmetic use, and even in consumer products necessitates that greater attention be paid to the potential hazards of this type of electromagnetic radiation. To avoid any adverse effects of exposure to this type of radiation, four suitable protection filters were produced to block three UV bands (UVA, UVB, and UVC). The design structure of the required dielectric multilayer filters was done by optical thin film technology using the absorbing property of UV radiation for the substrates and dielectric materials. The computer analyses of the multilayer filter formulas were prepared using Macleod Software for the production processes. The deposition technique was achieved on optical substrates (Glass BK-7 and Infrasil 301) by dielectric material combinations including Titanium dioxide (Ti 2O 3), Hafnium dioxide (HfO 2), and Lima (mixture of oxides SiO 2/Al 2O 3); deposition being achieved using an electron beam gun. The output results of the theoretical and experimental transmittance values for spectral band from 200 nm to 800 nm were discussed in four processes. To analyze the suitability for use in 'real world' applications, the test pieces were subjected to the durability tests (adhesion, abrasion resistance, and humidity) according to Military Standard MIL-C-675C and MIL-C-48497A.

  16. Low temperature formation of higher-k cubic phase HfO2 by atomic layer deposition on GeOx/Ge structures fabricated by in-situ thermal oxidation

    International Nuclear Information System (INIS)

    Zhang, R.; Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S.

    2016-01-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO 2 using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO x interfacial layer. It is found that the cubic phase is dominant in the HfO 2 film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO 2 film on a 1-nm-thick GeO x form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO 2 can be induced by the formation of six-fold crystalline GeO x structures in the underlying GeO x interfacial layer

  17. Surface modelling on heavy atom crystalline compounds: HfO2 and UO2 fluorite structures

    International Nuclear Information System (INIS)

    Evarestov, Robert; Bandura, Andrei; Blokhin, Eugeny

    2009-01-01

    The study of the bulk and surface properties of cubic (fluorite structure) HfO 2 and UO 2 was performed using the hybrid Hartree-Fock density functional theory linear combination of atomic orbitals simulations via the CRYSTAL06 computer code. The Stuttgart small-core pseudopotentials and corresponding basis sets were used for the core-valence interactions. The influence of relativistic effects on the structure and properties of the systems was studied. It was found that surface properties of Mott-Hubbard dielectric UO 2 differ from those found for other metal oxides with the closed-shell configuration of d-electrons

  18. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  19. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  20. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    Science.gov (United States)

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  1. Al-, Y-, and La-doping effects favoring intrinsic and field induced ferroelectricity in HfO2: A first principles study

    Science.gov (United States)

    Materlik, Robin; Künneth, Christopher; Falkowski, Max; Mikolajick, Thomas; Kersch, Alfred

    2018-04-01

    III-valent dopants have shown to be most effective in stabilizing the ferroelectric, crystalline phase in atomic layer deposited, polycrystalline HfO2 thin films. On the other hand, such dopants are commonly used for tetragonal and cubic phase stabilization in ceramic HfO2. This difference in the impact has not been elucidated so far. The prospect is a suitable doping to produce ferroelectric HfO2 ceramics with a technological impact. In this paper, we investigate the impact of Al, Y, and La doping, which have experimentally proven to stabilize the ferroelectric Pca21 phase in HfO2, in a comprehensive first-principles study. Density functional theory calculations reveal the structure, formation energy, and total energy of various defects in HfO2. Most relevant are substitutional electronically compensated defects without oxygen vacancy, substitutional mixed compensated defects paired with a vacancy, and ionically compensated defect complexes containing two substitutional dopants paired with a vacancy. The ferroelectric phase is strongly favored with La and Y in the substitutional defect. The mixed compensated defect favors the ferroelectric phase as well, but the strongly favored cubic phase limits the concentration range for ferroelectricity. We conclude that a reduction of oxygen vacancies should significantly enhance this range in Y doped HfO2 thin films. With Al, the substitutional defect hardly favors the ferroelectric phase before the tetragonal phase becomes strongly favored with the increasing concentration. This could explain the observed field induced ferroelectricity in Al-doped HfO2. Further Al defects are investigated, but do not favor the f-phase such that the current explanation remains incomplete for Al doping. According to the simulation, doping alone shows clear trends, but is insufficient to replace the monoclinic phase as the ground state. To explain this fact, some other mechanism is needed.

  2. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface

    International Nuclear Information System (INIS)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-01-01

    Silicon nanowire field effect transistor sensors with SiO 2 /HfO 2 as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO 2 as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  3. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    Science.gov (United States)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  4. Dielectric spectroscopy of Ag-starch nanocomposite films

    Science.gov (United States)

    Meena; Sharma, Annu

    2018-04-01

    In the present work Ag-starch nanocomposite films were fabricated via chemical reduction route. The formation of Ag nanoparticles was confirmed using transmission electron microscopy (TEM). Further the effect of varying concentration of Ag nanoparticles on the dielectric properties of starch has been studied. The frequency response of dielectric constant (ε‧), dielectric loss (ε″) and dissipation factor tan(δ) has been studied in the frequency range of 100 Hz to 1 MHz. Dielectric data was further analysed using Cole-Cole plots. The dielectric constant of starch was found to be 4.4 which decreased to 2.35 in Ag-starch nanocomposite film containing 0.50 wt% of Ag nanoparticles. Such nanocomposites with low dielectric constant have potential applications in microelectronic technologies.

  5. A thorough investigation of the progressive reset dynamics in HfO2-based resistive switching structures

    International Nuclear Information System (INIS)

    Lorenzi, P.; Rao, R.; Irrera, F.; Suñé, J.; Miranda, E.

    2015-01-01

    According to previous reports, filamentary electron transport in resistive switching HfO 2 -based metal-insulator-metal structures can be modeled using a diode-like conduction mechanism with a series resistance. Taking the appropriate limits, the model allows simulating the high (HRS) and low (LRS) resistance states of the devices in terms of exponential and linear current-voltage relationships, respectively. In this letter, we show that this simple equivalent circuit approach can be extended to represent the progressive reset transition between the LRS and HRS if a generalized logistic growth model for the pre-exponential diode current factor is considered. In this regard, it is demonstrated here that a Verhulst logistic model does not provide accurate results. The reset dynamics is interpreted as the sequential deactivation of multiple conduction channels spanning the dielectric film. Fitting results for the current-voltage characteristics indicate that the voltage sweep rate only affects the deactivation rate of the filaments without altering the main features of the switching dynamics

  6. Electrical Properties of Ultrathin Hf-Ti-O Higher k Gate Dielectric Films and Their Application in ETSOI MOSFET.

    Science.gov (United States)

    Xiong, Yuhua; Chen, Xiaoqiang; Wei, Feng; Du, Jun; Zhao, Hongbin; Tang, Zhaoyun; Tang, Bo; Wang, Wenwu; Yan, Jiang

    2016-12-01

    Ultrathin Hf-Ti-O higher k gate dielectric films (~2.55 nm) have been prepared by atomic layer deposition. Their electrical properties and application in ETSOI (fully depleted extremely thin SOI) PMOSFETs were studied. It is found that at the Ti concentration of Ti/(Ti + Hf) ~9.4%, low equivalent gate oxide thickness (EOT) of ~0.69 nm and acceptable gate leakage current density of 0.61 A/cm 2 @ (V fb  - 1)V could be obtained. The conduction mechanism through the gate dielectric is dominated by the F-N tunneling in the gate voltage range of -0.5 to -2 V. Under the same physical thickness and process flow, lower EOT and higher I on /I off ratio could be obtained while using Hf-Ti-O as gate dielectric compared with HfO 2 . With Hf-Ti-O as gate dielectric, two ETSOI PMOSFETs with gate width/gate length (W/L) of 0.5 μm/25 nm and 3 μm/40 nm show good performances such as high I on , I on /I off ratio in the magnitude of 10 5 , and peak transconductance, as well as suitable threshold voltage (-0.3~-0.2 V). Particularly, ETSOI PMOSFETs show superior short-channel control capacity with DIBL <82 mV/V and subthreshold swing <70 mV/decade.

  7. The effect of a HfO2 insulator on the improvement of breakdown voltage in field-plated GaN-based HEMT

    International Nuclear Information System (INIS)

    Mao Wei; Hao Yue; Ma Xiao-Hua; Wang Chong; Zhang Jin-Cheng; Liu Hong-Xia; Bi Zhi-Wei; Xu Sheng-Rui; Yang Lin-An; Yang Ling; Zhang Kai; Zhang Nai-Qian; Pei Yi; Yang Cui

    2011-01-01

    A GaN/Al 0.3 Ga 0.7 N/AlN/GaN high-electron mobility transistor utilizing a field plate (with a 0.3 μm overhang towards the drain and a 0.2 μm overhang towards the source) over a 165-nm sputtered HfO 2 insulator (HfO 2 -FP-HEMT) is fabricated on a sapphire substrate. Compared with the conventional field-plated HEMT, which has the same geometric structure but uses a 60-nm SiN insulator beneath the field plate (SiN-FP-HEMT), the HfO 2 -FP-HEMT exhibits a significant improvement of the breakdown voltage (up to 181 V) as well as a record field-plate efficiency (up to 276 V/μm). This is because the HfO 2 insulator can further improve the modulation of the field plate on the electric field distribution in the device channel, which is proved by the numerical simulation results. Based on the simulation results, a novel approach named the proportional design is proposed to predict the optimal dielectric thickness beneath the field plate. It can simplify the field-plated HEMT design significantly. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  8. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  9. Thermal response of Ru electrodes in contact with SiO2 and Hf-based high-k gate dielectrics

    International Nuclear Information System (INIS)

    Wen, H.-C.; Lysaght, P.; Alshareef, H.N.; Huffman, C.; Harris, H.R.; Choi, K.; Senzaki, Y.; Luan, H.; Majhi, P.; Lee, B.H.; Campin, M. J.; Foran, B.; Lian, G.D.; Kwong, D.-L.

    2005-01-01

    A systematic experimental evaluation of the thermal stability of Ru metal gate electrodes in direct contact with SiO 2 and Hf-based dielectric layers was performed and correlated with electrical device measurements. The distinctly different interfacial reactions in the Ru/SiO 2 , Ru/HfO 2 , and Ru/HfSiO x film systems were observed through cross-sectional high-resolution transmission electron microscopy, high angle annular dark field scanning transmission electron microscopy with electron-energy-loss spectra, and energy dispersive x-ray spectra analysis. Ru interacted with SiO 2 , but remained stable on HfO 2 at 1000 deg. C. The onset of Ru/SiO 2 interfacial interactions is identified via silicon substrate pitting possibly from Ru diffusion into the dielectric in samples exposed to a 900 deg. C/10-s anneal. The dependence of capacitor device degradation with decreasing SiO 2 thickness suggests Ru diffuses through SiO 2 , followed by an abrupt, rapid, nonuniform interaction of ruthenium silicide as Ru contacts the Si substrate. Local interdiffusion detected on Ru/HfSiO x samples may be due to phase separation of HfSiO x into HfO 2 grains within a SiO 2 matrix, suggesting that SiO 2 provides a diffusion pathway for Ru. Detailed evidence consistent with a dual reaction mechanism for the Ru/SiO 2 system at 1000 deg. C is presented

  10. High temperature polymer film dielectrics for aerospace power conditioning capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Venkat, Narayanan, E-mail: venkats3@gmail.co [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Dang, Thuy D. [Air Force Research Laboratory-Nanostructured and Biological Materials Branch (AFRL/RXBN) (United States); Bai Zongwu; McNier, Victor K. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); DeCerbo, Jennifer N. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States); Tsao, B.-H. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Stricker, Jeffery T. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States)

    2010-04-15

    Polymer dielectrics are the preferred materials of choice for capacitive energy-storage applications because of their potential for high dielectric breakdown strengths, low dissipation factors and good dielectric stability over a wide range of frequencies and temperatures, despite having inherently lower dielectric constants relative to ceramic dielectrics. They are also amenable to large area processing into films at a relatively lower cost. Air Force currently has a strong need for the development of compact capacitors which are thermally robust for operation in a variety of aerospace power conditioning applications. While such applications typically use polycarbonate (PC) dielectric films in wound capacitors for operation from -55 deg. C to 125 deg. C, future power electronic systems would require the use of polymer dielectrics that can reliably operate up to elevated temperatures in the range of 250-350 deg. C. The focus of this research is the generation and dielectric evaluation of metallized, thin free-standing films derived from high temperature polymer structures such as fluorinated polybenzoxazoles, post-functionalized fluorinated polyimides and fluorenyl polyesters incorporating diamond-like hydrocarbon units. The discussion is centered mainly on variable temperature dielectric measurements of film capacitance and dissipation factor and the effects of thermal cycling, up to a maximum temperature of 350 deg. C, on film dielectric performance. Initial studies clearly point to the dielectric stability of these films for high temperature power conditioning applications, as indicated by their relatively low temperature coefficient of capacitance (TCC) (approx2%) over the entire range of temperatures. Some of the films were also found to exhibit good dielectric breakdown strengths (up to 470 V/mum) and a film dissipation factor of the order of <0.003 (0.3%) at the frequency of interest (10 kHz) for the intended applications. The measured relative dielectric

  11. Structural-optical study of high-dielectric-constant oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, M.M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Luchena, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Capezzuto, P. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Toro, R.G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Malandrino, G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Fragala, I.L. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Nigro, R. Lo [Istituto di Microelettronica e Microsistemi, IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy)

    2006-10-31

    High-k polycrystalline Pr{sub 2}O{sub 3} and amorphous LaAlO{sub 3} oxide thin films deposited on Si(0 0 1) are studied. The microstructure is investigated using X-ray diffraction and scanning electron microscopy. Optical properties are determined in the 0.75-6.5 eV photon energy range using spectroscopic ellipsometry. The polycrystalline Pr{sub 2}O{sub 3} films have an optical gap of 3.86 eV and a dielectric constant of 16-26, which increases with film thickness. Similarly, very thin amorphous LaAlO{sub 3} films have the optical gap of 5.8 eV, and a dielectric constant below 14 which also increases with film thickness. The lower dielectric constant compared to crystalline material is an intrinsic characteristic of amorphous films.

  12. Structural, dielectric and AC conductivity study of Sb2O3 thin film ...

    Indian Academy of Sciences (India)

    52

    However, to date, no reports have appeared on impedance spectroscopy, modulus behavior, electrical conductivity, dielectric relaxation and dielectric properties of crystalline Sb2O3 thin films. This paper deals for the first time with the frequency and temperature dependence of AC conductivity and complex electric modulus ...

  13. On the Evaluation of Gate Dielectrics for 4H-SiC Based Power MOSFETs

    Directory of Open Access Journals (Sweden)

    Muhammad Nawaz

    2015-01-01

    Full Text Available This work deals with the assessment of gate dielectric for 4H-SiC MOSFETs using technology based two-dimensional numerical computer simulations. Results are studied for variety of gate dielectric candidates with varying thicknesses using well-known Fowler-Nordheim tunneling model. Compared to conventional SiO2 as a gate dielectric for 4H-SiC MOSFETs, high-k gate dielectric such as HfO2 reduces significantly the amount of electric field in the gate dielectric with equal gate dielectric thickness and hence the overall gate current density. High-k gate dielectric further reduces the shift in the threshold voltage with varying dielectric thicknesses, thus leading to better process margin and stable device operating behavior. For fixed dielectric thickness, a total shift in the threshold voltage of about 2.5 V has been observed with increasing dielectric constant from SiO2 (k=3.9 to HfO2 (k=25. This further results in higher transconductance of the device with the increase of the dielectric constant from SiO2 to HfO2. Furthermore, 4H-SiC MOSFETs are found to be more sensitive to the shift in the threshold voltage with conventional SiO2 as gate dielectric than high-k dielectric with the presence of interface state charge density that is typically observed at the interface of dielectric and 4H-SiC MOS surface.

  14. Improved linearity and reliability in GaN metal-oxide-semiconductor high-electron-mobility transistors using nanolaminate La2O3/SiO2 gate dielectric

    Science.gov (United States)

    Hsu, Ching-Hsiang; Shih, Wang-Cheng; Lin, Yueh-Chin; Hsu, Heng-Tung; Hsu, Hisang-Hua; Huang, Yu-Xiang; Lin, Tai-Wei; Wu, Chia-Hsun; Wu, Wen-Hao; Maa, Jer-Shen; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2016-04-01

    Improved device performance to enable high-linearity power applications has been discussed in this study. We have compared the La2O3/SiO2 AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with other La2O3-based (La2O3/HfO2, La2O3/CeO2 and single La2O3) MOS-HEMTs. It was found that forming lanthanum silicate films can not only improve the dielectric quality but also can improve the device characteristics. The improved gate insulation, reliability, and linearity of the 8 nm La2O3/SiO2 MOS-HEMT were demonstrated.

  15. Cellulose Triacetate Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Jow, T. Richard

    1994-01-01

    Cellulose triacetate investigated for use as dielectric material in high-energy-density capacitors for pulsed-electrical-power systems. Films of cellulose triacetate metalized on one or both sides for use as substrates for electrodes and/or as dielectrics between electrodes in capacitors. Used without metalization as simple dielectric films. Advantages include high breakdown strength and self-healing capability.

  16. Structure and performance of dielectric films based on self-assembled nanocrystals with a high dielectric constant.

    Science.gov (United States)

    Huang, Limin; Liu, Shuangyi; Van Tassell, Barry J; Liu, Xiaohua; Byro, Andrew; Zhang, Henan; Leland, Eli S; Akins, Daniel L; Steingart, Daniel A; Li, Jackie; O'Brien, Stephen

    2013-10-18

    Self-assembled films built from nanoparticles with a high dielectric constant are attractive as a foundation for new dielectric media with increased efficiency and range of operation, due to the ability to exploit nanofabrication techniques and emergent electrical properties originating from the nanoscale. However, because the building block is a discrete one-dimensional unit, it becomes a challenge to capture potential enhancements in dielectric performance in two or three dimensions, frequently due to surface effects or the presence of discontinuities. This is a recurring theme in nanoparticle film technology when applied to the realm of thin film semiconductor and device electronics. We present the use of chemically synthesized (Ba,Sr)TiO3 nanocrystals, and a novel deposition-polymerization technique, as a means to fabricate the dielectric layer. The effective dielectric constant of the film is tunable according to nanoparticle size, and effective film dielectric constants of up to 34 are enabled. Wide area and multilayer dielectrics of up to 8 cm(2) and 190 nF are reported, for which the building block is an 8 nm nanocrystal. We describe models for assessing dielectric performance, and distinct methods for improving the dielectric constant of a nanocrystal thin film. The approach relies on evaporatively driven assembly of perovskite nanocrystals with uniform size distributions in a tunable 7-30 nm size range, coupled with the use of low molecular weight monomer/polymer precursor chemistry that can infiltrate the porous nanocrystal thin film network post assembly. The intercrystal void space (low k dielectric volume fraction) is minimized, while simultaneously promoting intercrystal connectivity and maximizing volume fraction of the high k dielectric component. Furfuryl alcohol, which has good affinity to the surface of (Ba,Sr)TiO3 nanocrystals and miscibility with a range of solvents, is demonstrated to be ideal for the production of nanocomposites. The

  17. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  18. Influence of the oxygen/argon ratio on the properties of sputtered hafnium oxide

    International Nuclear Information System (INIS)

    Pereira, L.; Barquinha, P.; Fortunato, E.; Martins, R.

    2005-01-01

    In this work we have focused our attention on the role of the gas mixture (O 2 /Ar) used during HfO 2 thin film processing by r.f. magnetron sputtering, to produce dielectrics with suitable characteristics to be used as gate dielectric. Increasing the O 2 /Ar ratio from 0 to 0.2, the films properties (optical gap, permittivity, resistivity and compactness) are improved. At these conditions, films with a band gap around 5 eV were produced, indicating a good stoichiometry. Also the flat band voltage has a reduction of almost three times indicating also a reduction of the same order on the fixed charge density at the semiconductor-insulator interface. The dielectric constant is around 16 which is very good, since the surface of the silicon where the HfO 2 films were deposited contains a SiO 2 layer of about 3 nm that gives an effective dielectric constant above 20, close to the HfO 2 stoichiometric value (∼25). Further increase on the O 2 /Ar ratio does not produce significant improvements

  19. Cellulose triacetate, thin film dielectric capacitor

    Science.gov (United States)

    Yen, Shiao-Ping S. (Inventor); Jow, T. Richard (Inventor)

    1995-01-01

    Very thin films of cellulose triacetate are cast from a solution containing a small amount of high boiling temperature, non-solvent which evaporates last and lifts the film from the casting surface. Stretched, oriented, crystallized films have high electrical breakdown properties. Metallized films less than about 2 microns in thickness form self-healing electrodes for high energy density, pulsed power capacitors. Thicker films can be utilized as a dielectric for a capacitor.

  20. Improvement in photoconductor film properties by changing dielectric layer structures

    International Nuclear Information System (INIS)

    Kim, S; Oh, K; Lee, Y; Jung, J; Cho, G; Jang, G; Cha, B; Nam, S; Park, J

    2011-01-01

    In recent times, digital X-ray detectors have been actively applied to the medical field; for example, digital radiography offers the potential of improved image quality and provides opportunities for advances in medical image management, computer-aided diagnosis and teleradiology. In this study, two candidate materials (HgI 2 and PbI 2 ) have been employed to study the influence of the dielectric structure on the performance of fabricated X-ray photoconducting films. Parylene C with high permittivity was deposited as a dielectric layer using a parylene deposition system (PDS 2060). The structural and morphological properties of the samples were evaluated field emission scanning electron microscopy and X-ray diffraction. Further, to investigate improvements in the electrical characteristics, a dark current in the dark room and sensitivity to X-ray exposure in the energy range of general radiography diagnosis were measured across the range of the operating voltage. The electric signals varied with the dielectric layer structure of the X-ray films. The PbI 2 film with a bottom dielectric layer showed optimized electric properties. On the other hand, in the case of HgI 2 , the film with a top dielectric layer showed superior electric characteristics. Further, although the sensitivity of the film decreased, the total electrical efficiency of the film improved as a result of the decrease in dark current. When a dielectric layer is deposited on a photoconductor, the properties of the photoconductor, such as hole-electron mobility, should be considered to improve the image quality in digital medical imaging application. In this study, we have thus demonstrated that the use of dielectric layer structures improves the performance of photoconductors.

  1. Dielectric properties of DC reactive magnetron sputtered Al2O3 thin films

    International Nuclear Information System (INIS)

    Prasanna, S.; Mohan Rao, G.; Jayakumar, S.; Kannan, M.D.; Ganesan, V.

    2012-01-01

    Alumina (Al 2 O 3 ) thin films were sputter deposited over well-cleaned glass and Si substrates by DC reactive magnetron sputtering under various oxygen gas pressures and sputtering powers. The composition of the films was analyzed by X-ray photoelectron spectroscopy and an optimal O/Al atomic ratio of 1.59 was obtained at a reactive gas pressure of 0.03 Pa and sputtering power of 70 W. X-ray diffraction results revealed that the films were amorphous until 550 °C. The surface morphology of the films was studied using scanning electron microscopy and the as-deposited films were found to be smooth. The topography of the as-deposited and annealed films was analyzed by atomic force microscopy and a progressive increase in the rms roughness of the films from 3.2 nm to 4.53 nm was also observed with increase in the annealing temperature. Al-Al 2 O 3 -Al thin film capacitors were then fabricated on glass substrates to study the effect of temperature and frequency on the dielectric property of the films. Temperature coefficient of capacitance, AC conductivity and activation energy were determined and the results are discussed. - Highlights: ► Al 2 O 3 thin films were deposited by DC reactive magnetron sputtering. ► The films were found to be amorphous up to annealing temperature of 550 C. ► An increase in rms roughness of the films was observed with annealing. ► Al-Al 2 O 3 -Al thin film capacitors were fabricated and dielectric constant was 7.5. ► The activation energy decreased with increase in frequency.

  2. Study of PECVD films containing flourine and carbon and diamond like carbon films for ultra low dielectric constant interlayer dielectric applications

    Science.gov (United States)

    Sundaram, Nandini Ganapathy

    Lowering the capacitance of Back-end-of-line (BEOL) structures by decreasing the dielectric permittivity of the interlayer dielectric material in integrated circuits (ICs) lowers device delay times, power consumption and parasitic capacitance. a:C-F films that are thermally stable at 400°C were deposited using tetrafluorocarbon and disilane (5% by volume in Helium) as precursors. The bulk dielectric constant (k) of the film was optimized from 2.0 / 2.2 to 1.8 / 1.91 as-deposited and after heat treatment. Films, with highly promising k-values but discarded for failing to meet shrinkage rate requirements were salvaged by utilizing a novel extended heat treatment scheme. Film properties including chemical bond structure, F/C ratio, refractive index, surface planarity, contact angle, dielectric constant, flatband voltage shift, breakdown field potential and optical energy gap were evaluated by varying process pressure, power, substrate temperature and flow rate ratio (FRR) of processing gases. Both XPS and FTIR results confirmed that the stoichiometry of the ultra-low k (ULK) film is close to that of CF2 with no oxygen. C-V characteristics indicated the presence of negative charges that are either interface trapped charges or bulk charges. Average breakdown field strength was in the range of 2-8 MV/cm while optical energy gap varied between 2.2 eV and 3.4 eV. Irradiation or plasma damage significantly impacts the ability to integrate the film in VSLI circuits. The film was evaluated after exposure to oxygen plasma and HMDS vapors and no change in the FTIR spectra or refractive index was observed. Film is resistant to attack by developers CD 26 and KOH. While the film dissolves in UVN-30 negative resist, it is impermeable to PGDMA. A 12% increase in dielectric constant and a decrease in contact angle from 65° to 47° was observed post e-beam exposure. The modified Gaseous Electronics Conference (mGEC) reference cell was used to deposit DLC films using CH4 and Argon as

  3. A study on the evolution of dielectric function of ZnO thin films with decreasing film thickness

    International Nuclear Information System (INIS)

    Li, X. D.; Chen, T. P.; Liu, P.; Liu, Y.; Liu, Z.; Leong, K. C.

    2014-01-01

    Dielectric function, band gap, and exciton binding energies of ultrathin ZnO films as a function of film thickness have been obtained with spectroscopic ellipsometry. As the film thickness decreases, both real (ε 1 ) and imaginary (ε 2 ) parts of the dielectric function decrease significantly, and ε 2 shows a blue shift. The film thickness dependence of the dielectric function is shown related to the changes in the interband absorption, discrete-exciton absorption, and continuum-exciton absorption, which can be attributed to the quantum confinement effect on both the band gap and exciton binding energies

  4. Pentacene based thin film transistors with high-k dielectric Nd2O3 as a gate insulator

    International Nuclear Information System (INIS)

    Sarma, R.; Saikia, D.

    2010-01-01

    We have investigated the pentacene based Organic Thin Film Transistors (OTFTs) with high-k dielectric Nd 2 O 3 . Use of high dielectric constant (high-k) gate insulator Nd 2 O 3 reduces the threshold voltage and sub threshold swing of the OTFTs. The calculated threshold voltage -2.2V and sub-threshold swing 1V/decade, current ON-OFF ratio is 1.7 X 10 4 and mobility is 0.13cm 2 /V.s. Pentacene film is deposited on Nd 2 O 3 surface using two step deposition method. Deposited pentacene film is found poly crystalline in nature. (author)

  5. Investigation of 6T SRAM memory circuit using high-k dielectrics based nano scale junctionless transistor

    Science.gov (United States)

    Charles Pravin, J.; Nirmal, D.; Prajoon, P.; Mohan Kumar, N.; Ajayan, J.

    2017-04-01

    In this paper the Dual Metal Surround Gate Junctionless Transistor (DMSGJLT) has been implemented with various high-k dielectric. The leakage current in the device is analysed in detail by obtaining the band structure for different high-k dielectric material. It is noticed that with increasing dielectric constant the device provides more resistance for the direct tunnelling of electron in off state. The gate oxide capacitance also shows 0.1 μF improvement with Hafnium Oxide (HfO2) than Silicon Oxide (SiO2). This paved the way for a better memory application when high-k dielectric is used. The Six Transistor (6T) Static Random Access Memory (SRAM) circuit implemented shows 41.4% improvement in read noise margin for HfO2 than SiO2. It also shows 37.49% improvement in write noise margin and 30.16% improvement in hold noise margin for HfO2 than SiO2.

  6. High Dielectric Performance of Solution-Processed Aluminum Oxide-Boron Nitride Composite Films

    Science.gov (United States)

    Yu, Byoung-Soo; Ha, Tae-Jun

    2018-04-01

    The material compositions of oxide films have been extensively investigated in an effort to improve the electrical characteristics of dielectrics which have been utilized in various electronic devices such as field-effect transistors, and storage capacitors. Significantly, solution-based compositions have attracted considerable attention as a highly effective and practical technique to replace vacuum-based process in large-area. Here, we demonstrate solution-processed composite films consisting of aluminum oxide (Al2O3) and boron nitride (BN), which exhibit remarkable dielectric properties through the optimization process. The leakage current of the optimized Al2O3-BN thin films was decreased by a factor of 100 at 3V, compared to pristine Al2O3 thin film without a loss of the dielectric constant or degradation of the morphological roughness. The characterization by X-ray photoelectron spectroscopy measurements revealed that the incorporation of BN with an optimized concentration into the Al2O3 dielectric film reduced the density of oxygen vacancies which act as defect states, thereby improving the dielectric characteristics.

  7. Method for fabrication of crack-free ceramic dielectric films

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Beihai; Narayanan, Manoj; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan

    2017-12-05

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprises the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. The process provides a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  8. Method for fabrication of crack-free ceramic dielectric films

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan; Narayanan, Manoj

    2014-02-11

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprise the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. Also provided was a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  9. Intermixing between HfO2 and GeO2 films deposited on Ge(001) and Si(001): Role of the substrate

    International Nuclear Information System (INIS)

    Soares, G. V.; Krug, C.; Miotti, L.; Bastos, K. P.; Lucovsky, G.; Baumvol, I. J. R.; Radtke, C.

    2011-01-01

    Thermally driven atomic transport in HfO 2 /GeO 2 /substrate structures on Ge(001) and Si(001) was investigated in N 2 ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO 2 /Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO 2 /GeO 2 stacks are stable only if isolated from the Ge substrate.

  10. Dielectric loss of strontium titanate thin films

    Science.gov (United States)

    Dalberth, Mark Joseph

    1999-12-01

    Interest in strontium titanate (STO) thin films for microwave device applications continues to grow, fueled by the telecommunications industry's interest in phase shifters and tunable filters. The optimization of these devices depends upon increasing the phase or frequency tuning and decreasing the losses in the films. Currently, the dielectric response of thin film STO is poorly understood through lack of data and a theory to describe it. We have studied the growth of STO using pulsed laser deposition and single crystal substrates like lanthanum aluminate and neodymium gallate. We have researched ways to use ring resonators to accurately measure the dielectric response as a function of temperature, electric field, and frequency from low radio frequencies to a few gigahertz. Our films grown on lanthanum aluminate show marked frequency dispersion in the real part of the dielectric constant and hints of thermally activated loss behavior. We also found that films grown with conditions that optimized the dielectric constant showed increased losses. In an attempt to simplify the system, we developed a technique called epitaxial lift off, which has allowed us to study films removed from their growth substrates. These free standing films have low losses and show obvious thermally activated behavior. The "amount of tuning," as measured by a figure of merit, KE, is greater in these films than in the films still attached to their growth substrates. We have developed a theory that describes the real and imaginary parts of the dielectric constant. The theory models the real part using a mean field description of the ionic motion in the crystal and includes the loss by incorporating the motion of charged defects in the films.

  11. Dielectric properties investigation of Cu2O/ZnO heterojunction thin films by electrodeposition

    International Nuclear Information System (INIS)

    Li, Qiang; Xu, Mengmeng; Fan, Huiqing; Wang, Hairong; Peng, Biaolin; Long, Changbai; Zhai, Yuchun

    2013-01-01

    Highlights: ► Bottom-up self-assembly Cu 2 O/ZnO heterojunction was fabricated by electrochemical deposition on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET). ► The dielectric response of Cu 2 O/ZnO heterojunction thin films had been investigated. ► The universal dielectric response was used to investigate the hopping behavior in Cu 2 O/ZnO heterojunction. -- Abstract: Structures and morphologies of the Cu 2 O/ZnO heterojunction electrodeposited on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET) were investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), high resolution transmission electron microscopy (HRTEM), respectively. The dielectric response of bottom-up self-assembly Cu 2 O/ZnO heterojunction was investigated. The low frequency dielectric dispersion (LFDD) was observed. The universal dielectric response (UDR) was used to investigate the frequency dependence of dielectric response for Cu 2 O/ZnO heterojunction, which was attributed to the long range and the short range hopping charge carriers at the low frequency and the high frequency region, respectively

  12. Processing of Dielectric Optical Coatings by Nanosecond and Femtosecond UV Laser Ablation

    International Nuclear Information System (INIS)

    Ihlemann, J.; Bekesi, J.; Klein-Wiele, J.H.; Simon, P.

    2008-01-01

    Micro processing of dielectric optical coatings by UV laser ablation is demonstrated. Excimer laser ablation at deep UV wavelengths (248 nm, 193 nm) is used for the patterning of thin oxide films or layer stacks. The layer removal over extended areas as well as sub-μm-structuring is possible. The ablation of SiO2, Al2O3, HfO2, and Ta2O5 layers and layer systems has been investigated. Due to their optical, chemical, and thermal stability, these inorganic film materials are well suited for optical applications, even if UV-transparency is required. Transparent patterned films of SiO2 are produced by patterning a UV-absorbing precursor SiOx suboxide layer and oxidizing it afterwards to SiO2. In contrast to laser ablation of bulk material, in the case of thin films, the layer-layer or layer-substrate boundaries act as predetermined end points, so that precise depth control and a very smooth surface can be achieved. For large area ablation, nanosecond lasers are well suited; for patterning with submicron resolution, femtosecond excimer lasers are applied. Thus the fabrication of optical elements like dielectric masks, pixelated diffractive elements, and gratings can be accomplished.

  13. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  14. Nature of Dielectric Properties, Electric Modulus and AC Electrical Conductivity of Nanocrystalline ZnIn2Se4 Thin Films

    Science.gov (United States)

    El-Nahass, M. M.; Attia, A. A.; Ali, H. A. M.; Salem, G. F.; Ismail, M. I.

    2018-02-01

    The structural characteristics of thermally deposited ZnIn2Se4 thin films were indexed utilizing x-ray diffraction as well as scanning electron microscopy techniques. Dielectric properties, electric modulus and AC electrical conductivity of ZnIn2Se4 thin films were examined in the frequency range from 42 Hz to 106 Hz. The capacitance, conductance and impedance were measured at different temperatures. The dielectric constant and dielectric loss decrease with an increase in frequency. The maximum barrier height was determined from the analysis of the dielectric loss depending on the Giuntini model. The real part of the electric modulus revealed a constant maximum value at higher frequencies and the imaginary part of the electric modulus was characterized by the appearance of dielectric relaxation peaks. The AC electrical conductivity obeyed the Jonscher universal power law. Correlated barrier hopping model was the appropriate mechanism for AC conduction in ZnIn2Se4 thin films. Estimation of the density of states at the Fermi level and activation energy, for AC conduction, was carried out based on the temperature dependence of AC electrical conductivity.

  15. Plasma polymerized high energy density dielectric films for capacitors

    Science.gov (United States)

    Yamagishi, F. G.

    1983-01-01

    High energy density polymeric dielectric films were prepared by plasma polymerization of a variety of gaseous monomers. This technique gives thin, reproducible, pinhole free, conformable, adherent, and insoluble coatings and overcomes the processing problems found in the preparation of thin films with bulk polymers. Thus, devices are prepared completely in a vacuum environment. The plasma polymerized films prepared all showed dielectric strengths of greater than 1000 kV/cm and in some cases values of greater than 4000 kV/cm were observed. The dielectric loss of all films was generally less than 1% at frequencies below 10 kHz, but this value increased at higher frequencies. All films were self healing. The dielectric strength was a function of the polymerization technique, whereas the dielectric constant varied with the structure of the starting material. Because of the thin films used (thickness in the submicron range) surface smoothness of the metal electrodes was found to be critical in obtaining high dielectric strengths. High dielectric strength graft copolymers were also prepared. Plasma polymerized ethane was found to be thermally stable up to 150 C in the presence of air and 250 C in the absence of air. No glass transitions were observed for this material.

  16. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    Science.gov (United States)

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  17. Dielectric and acoustical high frequency characterisation of PZT thin films

    International Nuclear Information System (INIS)

    Conde, Janine; Muralt, Paul

    2010-01-01

    Pb(Zr, Ti)O 3 (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {100} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  18. Dielectric and acoustical high frequency characterisation of PZT thin films

    Science.gov (United States)

    Conde, Janine; Muralt, Paul

    2010-02-01

    Pb(Zr, Ti)O3 (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {100} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  19. Surface, interface and thin film characterization of nano-materials using synchrotron radiation

    International Nuclear Information System (INIS)

    Kimura, Shigeru; Kobayashi, Keisuke

    2005-01-01

    From the results of studies in the nanotechnology support project of the Ministry of Education, Culture, Sports, Science and Technology of Japan, several investigations on the surface, interface and thin film characterization of nano-materials are described; (1) the MgB 2 thin film by X-ray diffraction, (2) the magnetism of the Pt thin film on a Co film by X-ray magnetic circular dichroism measurement, (3) the structure and physical properties of oxygen molecules absorbed in a micro hole of the cheleted polymer crystal by the direct observation in X-ray powder diffraction, and (4) the thin film gate insulator with a large dielectric constant, thermally treated HfO 2 /SiO 2 /Si, by X-ray photoelectron spectroscopy. (M.H.)

  20. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    International Nuclear Information System (INIS)

    Le Paven, C.; Lu, Y.; Nguyen, H.V.; Benzerga, R.; Le Gendre, L.; Rioual, S.; Benzegoutta, D.; Tessier, F.; Cheviré, F.

    2014-01-01

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO 3 and Pt(111)/TiO 2 /SiO 2 /(001)Si substrates by RF magnetron sputtering, using a La 2 Ti 2 O 7 homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La 2 Ti 2 O 7 films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti 4+ ions, with no trace of Ti 3+ , and provides a La/Ti ratio of 1.02. The depositions being performed from a La 2 Ti 2 O 7 target under oxygen rich plasma, the same composition (La 2 Ti 2 O 7 ) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2 1 space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO 3 substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La 2 Ti 2 O 7 orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La 2 Ti 2 O 7 films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La 2 Ti 2 O 7 chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing

  1. Effect of crystal structure on strontium titanate thin films and their dielectric properties

    Science.gov (United States)

    Kampangkeaw, Satreerat

    Strontium titanate (SrTiO3 or STO) has application in radio and microwave-frequency tunable capacitor devices particularly at low temperatures due to its high dielectric constant, low loss and the electric field tunability of its dielectric constant. The main goal of improving the performance in these devices is to increase the tunability and decrease the dielectric loss at the same time, especially at microwave frequencies. Thin films of STO however, show dramatic differences compared to the bulk. The dielectric constant of bulk STO increases nonlinearly from 300 at room temperature to 30000 at 4 K and the loss range is 10-3--10 -4. On the other hand. STO thin films, while showing a dielectric constant close to 300 at room temperature, typically reach a maximum between 1000 and 10000 in the 30 K to 100 K range before decreasing, and the high-loss range is 10-2--10-3. We have grown strontium titanate thin films using a pulsed laser deposition technique on substrates selected to have a small lattice mismatch between the film and substrate. Neodymium gallate (NdGaO3 or NGO) and lanthanum aluminate (LaAlO3 or LAO) substrates were good candidates due to only 1--2% mismatching. Film capacitor devices were fabricated with 25 micron gap separation. 1.5 mm total gap length and an overall 1 x 2 mm dimension using standard lithography and gold metal evaporative techniques. Their nonlinear dielectric constant and loss tangent were measured at low frequencies and also at 2 GHz, and from room temperature down to 4 K. The resulting films show significant variations of dielectric properties with position on the substrates with respect to the deposition plume axis. In the presence of DC electric fields up to +/-4 V/mum, STO films show improved dielectric tunability and low loss in regions far from the plume axis. We found that the films grown on NCO have lower dielectric loss than those on LAO due to a closer match of the NCO lattice to that of STO. We investigated the possible

  2. Self-standing chitosan films as dielectrics in organic thin-film transistors

    Directory of Open Access Journals (Sweden)

    J. Morgado

    2013-12-01

    Full Text Available Organic thin film transistors, using self-standing 50 µm thick chitosan films as dielectric, are fabricated using sublimed pentacene or two conjugated polymers deposited by spin coating as semiconductors. Field-effect mobilities are found to be similar to values obtained with other dielectrics and, in the case of pentacene, a value (0.13 cm2/(V•s comparable to high performing transistors was determined. In spite of the low On/Off ratios (a maximum value of 600 was obtained for the pentacene-based transistors, these are promising results for the area of sustainable organic electronics in general and for biocompatible electronics in particular.

  3. Dielectric and acoustical high frequency characterisation of PZT thin films

    Energy Technology Data Exchange (ETDEWEB)

    Conde, Janine; Muralt, Paul, E-mail: janine.conde@epfl.ch [Department of Materials Science, EPFL (Switzerland)

    2010-02-15

    Pb(Zr, Ti)O{sub 3} (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {l_brace}100{r_brace} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  4. Dielectric properties of DC reactive magnetron sputtered Al{sub 2}O{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Prasanna, S. [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Mohan Rao, G. [Department of Instrumentation, Indian Institute of Science (IISc), Bangalore, 560 012 (India); Jayakumar, S., E-mail: s_jayakumar_99@yahoo.com [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Kannan, M.D. [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Ganesan, V. [Low Temperature Lab, UGC-DAE Consortium for Scientific Research (CSR), Indore, 452 017 (India)

    2012-01-31

    Alumina (Al{sub 2}O{sub 3}) thin films were sputter deposited over well-cleaned glass and Si < 100 > substrates by DC reactive magnetron sputtering under various oxygen gas pressures and sputtering powers. The composition of the films was analyzed by X-ray photoelectron spectroscopy and an optimal O/Al atomic ratio of 1.59 was obtained at a reactive gas pressure of 0.03 Pa and sputtering power of 70 W. X-ray diffraction results revealed that the films were amorphous until 550 Degree-Sign C. The surface morphology of the films was studied using scanning electron microscopy and the as-deposited films were found to be smooth. The topography of the as-deposited and annealed films was analyzed by atomic force microscopy and a progressive increase in the rms roughness of the films from 3.2 nm to 4.53 nm was also observed with increase in the annealing temperature. Al-Al{sub 2}O{sub 3}-Al thin film capacitors were then fabricated on glass substrates to study the effect of temperature and frequency on the dielectric property of the films. Temperature coefficient of capacitance, AC conductivity and activation energy were determined and the results are discussed. - Highlights: Black-Right-Pointing-Pointer Al{sub 2}O{sub 3} thin films were deposited by DC reactive magnetron sputtering. Black-Right-Pointing-Pointer The films were found to be amorphous up to annealing temperature of 550 C. Black-Right-Pointing-Pointer An increase in rms roughness of the films was observed with annealing. Black-Right-Pointing-Pointer Al-Al{sub 2}O{sub 3}-Al thin film capacitors were fabricated and dielectric constant was 7.5. Black-Right-Pointing-Pointer The activation energy decreased with increase in frequency.

  5. SIMS study of oxygen diffusion in monoclinic HfO2

    Science.gov (United States)

    Mueller, Michael P.; De Souza, Roger A.

    2018-01-01

    The diffusion of oxygen in dense ceramics of monoclinic HfO2 was studied by means of (18O/16O) isotope exchange annealing and subsequent determination of isotope depth profiles by Secondary Ion Mass Spectrometry. Anneals were performed in the temperature range of 573 ≤T /K ≤ 973 at an oxygen partial pressure of p O2=200 mbar . All measured isotope profiles exhibited two features: the first feature, closer to the surface, was attributed mainly to slow oxygen diffusion in an impurity silicate phase; the second feature, deeper in the sample, was attributed to oxygen diffusion in bulk monoclinic HfO2 . The activation enthalpy of oxygen tracer diffusion in bulk HfO2 was found to be ΔHD∗≈0.5 eV .

  6. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    Science.gov (United States)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  7. Depth Profiling of La2O3 ∕ HfO2 Stacked Dielectrics for Nanoelectronic Device Applications

    KAUST Repository

    Alshareef, Husam N.

    2011-01-03

    Nanoscale La2O3 /HfO2 dielectric stacks have been studied using high resolution Rutherford backscattering spectrometry. The measured distance of the tail-end of the La signal from the dielectric/Si interface suggests that the origin of the threshold voltage shifts and the carrier mobility degradation may not be the same. Up to 20% drop in mobility and 500 mV shift in threshold voltage was observed as the La signal reached the Si substrate. Possible reasons for these changes are proposed, aided by depth profiling and bonding analysis. © 2011 The Electrochemical Society.

  8. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    Energy Technology Data Exchange (ETDEWEB)

    Le Paven, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Lu, Y. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Nguyen, H.V. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); CEA LETI, Minatec Campus, 38054 Grenoble (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Rioual, S. [Laboratoire de Magnétisme de Brest (EA CNRS 4522), Université de Bretagne Occidentale, 29000 Brest (France); Benzegoutta, D. [Institut des Nanosciences de Paris (INSP, UMR CNRS 7588), Université Pierre et Marie Curie, 75005 Paris (France); Tessier, F.; Cheviré, F. [Institut des Sciences Chimiques de Rennes (ISCR, UMR-CNRS 6226), Equipe Verres et Céramiques, Université de Rennes 1, 35000 Rennes (France); and others

    2014-02-28

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO{sub 3} and Pt(111)/TiO{sub 2}/SiO{sub 2}/(001)Si substrates by RF magnetron sputtering, using a La{sub 2}Ti{sub 2}O{sub 7} homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La{sub 2}Ti{sub 2}O{sub 7} films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti{sup 4+} ions, with no trace of Ti{sup 3+}, and provides a La/Ti ratio of 1.02. The depositions being performed from a La{sub 2}Ti{sub 2}O{sub 7} target under oxygen rich plasma, the same composition (La{sub 2}Ti{sub 2}O{sub 7}) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2{sub 1} space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO{sub 3} substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La{sub 2}Ti{sub 2}O{sub 7} orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La{sub 2}Ti{sub 2}O{sub 7} films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La{sub 2}Ti{sub 2}O{sub 7} chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing.

  9. Biotransformation of 2,3,3,3-tetrafluoropropene (HFO-1234yf)

    International Nuclear Information System (INIS)

    Schuster, Paul; Bertermann, Ruediger; Snow, Timothy A.; Han Xing; Rusch, George M.; Jepson, Gary W.; Dekant, Wolfgang

    2008-01-01

    2,3,3,3-Tetrafluoropropene (HFO-1234yf) is a non-ozone-depleting fluorocarbon replacement with a low global warming potential which has been developed as refrigerant. The biotransformation of HFO-1234yf was investigated after inhalation exposure. Male Sprague-Dawley rats were exposed to air containing 2000, 10,000, or 50,000 ppm HFO-1234yf for 6 h and male B6C3F1 mice were exposed to 50,000 ppm HFO-1234yf for 3.5 h in a dynamic exposure chamber (n = 5/concentration). After the end of the exposure, animals were individually housed in metabolic cages and urines were collected at 6 or 12-hour intervals for 48 h. For metabolite identification, urine samples were analyzed by 1 H-coupled and decoupled 19 F-NMR and by LC/MS-MS or GC/MS. Metabolites were identified by 19 F-NMR chemical shifts, signal multiplicity, 1 H- 19 F coupling constants and by comparison with synthetic reference compounds. In all urine samples, the predominant metabolites were two diastereomers of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine. In 19 F-NMR, the signal intensity of these metabolites represented more than 85% (50,000 ppm) of total 19 F related signals in the urine samples. Trifluoroacetic acid, 3,3,3-trifluorolactic acid, 3,3,3-trifluoro-1-hydroxyacetone, 3,3,3-trifluoroacetone and 3,3,3-trifluoro-1,2-dihydroxypropane were present as minor metabolites. Quantification of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine by LC/MS-MS showed that most of this metabolite (90%) was excreted within 18 h after the end of exposure (t 1/2 app. 6 h). In rats, the recovery of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine excreted within 48 h in urine was determined as 0.30 ± 0.03, 0.63 ± 0.16, and 2.43 ± 0.86 μmol at 2000, 10,000 and 50,000 ppm, respectively suggesting only a low extent (<< 1% of dose received) of biotransformation of HFO-1234yf. In mice, the recovery of this metabolite was 1.774 ± 0.4 μmol. Metabolites identified after in vitro incubations of HFO

  10. Mechanistic Insight into the Stability of HfO2-Coated MoS2 Nanosheet Anodes for Sodium Ion Batteries

    KAUST Repository

    Ahmed, Bilal; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    It is demonstrated for the first time that surface passivation of 2D nanosheets of MoS2 by an ultrathin and uniform layer of HfO2 can significantly improve the cyclic performance of sodium ion batteries. After 50 charge/discharge cycles, bare MoS2 and HfO2 coated MoS2 electrodes deliver the specific capacity of 435 and 636 mAh g-1, respectively, at current density of 100 mA g-1. These results imply that batteries using HfO2 coated MoS2 anodes retain 91% of the initial capacity; in contrast, bare MoS2 anodes retain only 63%. Also, HfO2 coated MoS2 anodes show one of the highest reported capacity values for MoS2. Cyclic voltammetry and X-ray photoelectron spectroscopy results suggest that HfO2 does not take part in electrochemical reaction. The mechanism of capacity retention with HfO2 coating is explained by ex situ transmission electron microscope imaging and electrical impedance spectroscopy. It is illustrated that HfO2 acts as a passivation layer at the anode/electrolyte interface and prevents structural degradation during charge/discharge process. Moreover, the amorphous nature of HfO2 allows facile diffusion of Na ions. These results clearly show the potential of HfO2 coated MoS2 anodes, which performance is significantly higher than previous reports where bulk MoS2 or composites of MoS2 with carbonaceous materials are used. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Mechanistic Insight into the Stability of HfO2-Coated MoS2 Nanosheet Anodes for Sodium Ion Batteries

    KAUST Repository

    Ahmed, Bilal

    2015-06-01

    It is demonstrated for the first time that surface passivation of 2D nanosheets of MoS2 by an ultrathin and uniform layer of HfO2 can significantly improve the cyclic performance of sodium ion batteries. After 50 charge/discharge cycles, bare MoS2 and HfO2 coated MoS2 electrodes deliver the specific capacity of 435 and 636 mAh g-1, respectively, at current density of 100 mA g-1. These results imply that batteries using HfO2 coated MoS2 anodes retain 91% of the initial capacity; in contrast, bare MoS2 anodes retain only 63%. Also, HfO2 coated MoS2 anodes show one of the highest reported capacity values for MoS2. Cyclic voltammetry and X-ray photoelectron spectroscopy results suggest that HfO2 does not take part in electrochemical reaction. The mechanism of capacity retention with HfO2 coating is explained by ex situ transmission electron microscope imaging and electrical impedance spectroscopy. It is illustrated that HfO2 acts as a passivation layer at the anode/electrolyte interface and prevents structural degradation during charge/discharge process. Moreover, the amorphous nature of HfO2 allows facile diffusion of Na ions. These results clearly show the potential of HfO2 coated MoS2 anodes, which performance is significantly higher than previous reports where bulk MoS2 or composites of MoS2 with carbonaceous materials are used. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Transparent nanoscale floating gate memory using self-assembled bismuth nanocrystals in Bi(2) Mg(2/3) Nb(4/3) O(7) (BMN) pyrochlore thin films grown at room temperature.

    Science.gov (United States)

    Jung, Hyun-June; Yoon, Soon-Gil; Hong, Soon-Ku; Lee, Jeong-Yong

    2012-07-03

    Bismuth nanocrystals for a nanoscale floating gate memory device are self-assembled in Bi(2) Mg(2/3) Nb(4/3) O(7) (BMN) dielectric films grown at room temperature by radio-frequency sputtering. The TEM cross-sectional image shows the "real" structure grown on a Si (001) substrate. The image magnified from the dotted box (red color) in the the cross-sectional image clearly shows bismuth nanoparticles at the interface between the Al(2) O(3) and HfO(2) layer (right image). Nanoparticles approximately 3 nm in size are regularly distributed at the interface. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Preparation and characterization of Ce-doped HfO2 nanoparticles

    International Nuclear Information System (INIS)

    Gálvez-Barboza, S.; González, L.A.; Puente-Urbina, B.A.; Saucedo-Salazar, E.M.; García-Cerda, L.A.

    2015-01-01

    Highlights: • Ce-doped HfO 2 nanoparticles were prepared by a modified solgel method. • Ce-doped HfO 2 nanoparticles have a semispherical shape with sizes between 6 and 11.5 nm. • The samples doped with 10% in weight of Ce directly crystallized in a cubic structure. • A quick, straightforward and effective route for the preparation of Ce-doped nanoparticles. - Abstract: A modified solgel method to synthesize Ce-doped HfO 2 nanoparticles was carried out using a precursor material prepared with cerium nitrate, hafnium chloride, citric acid and ethylene glycol. The obtained precursor material was calcined at 500 and 700 °C for 2 h in air. The influence of the concentration of Ce and the calcination temperature was studied to observe the structural and morphological changes of the obtained materials. For the characterization, X-ray diffraction (XRD), transmission electron microscopy (TEM) and Raman scattering (RS) were employed. The XRD patterns shown that the Ce-doped HfO 2 undergoes a structural transformation from monoclinic to cubic phase, which is significantly dependent on the Ce content and calcination temperature. TEM images have also confirmed the existence of semispherical nanoparticles with sizes between 6 and 11.5 nm

  14. Comparison of effective relative dielectric permittivities obtained by three independent ways for CeO2-Sm2O3 films prepared by EB-PVD (+IBAD) techniques

    International Nuclear Information System (INIS)

    Kundracik, F.; Neilinger, P.; Hartmanova, M.; Nadazdy, V.; Mansilla, C.

    2011-01-01

    Ceria, as material with relatively high dielectric permittivity, ε r , and ability to form films on the Si substrate, is a candidate for the gate dielectrics in the MOS devices. Doping with suitable e.g. trivalent rare earth oxides and suitable treatment after deposition (preparation) can improve their properties, e.g. ionic conductivity, dielectric permittivity and mechanical hardness. In this work, the dielectric properties of CeO 2 + Sm 2 O 3 films prepared by electron beam physical vapour deposition (EB-PVD) and some of them simultaneously also by the Ar + ionic beam assisted deposition (IBAD) techniques are analysed. (authors)

  15. Wide band antireflective coatings Al2O3 / HfO2 / MgF2 for UV region

    Science.gov (United States)

    Winkowski, P.; Marszałek, Konstanty W.

    2013-07-01

    Deposition technology of the three layers antireflective coatings consists of hafnium compound are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5x10-5 mbar in presence of oxygen and fluoride films by thermal evaporation. Substrate temperature was 250°C. Coatings were deposited onto optical lenses made from quartz glass (Corning HPFS). Thickness and deposition rate were controlled by thickness measuring system Inficon XTC/2. Simulations leading to optimization of thickness and experimental results of optical measurements carried during and after deposition process were presented. Physical thickness measurements were made during deposition process and were equal to 43 nm/74 nm/51 nm for Al2O3 / HfO2 / MgF2 respectively. Optimization was carried out for ultraviolet region from 230nm to the beginning of visible region 400 nm. In this region the average reflectance of the antireflective coating was less than 0.5% in the whole range of application.

  16. Modeling and Implementation of HfO2-based Ferroelectric Tunnel Junctions

    Science.gov (United States)

    Pringle, Spencer Allen

    HfO2-based ferroelectric tunnel junctions (FTJs) represent a unique opportunity as both a next-generation digital non-volatile memory and as synapse devices in braininspired logic systems, owing to their higher reliability compared to filamentary resistive random-access memory (ReRAM) and higher speed and lower power consumption compared to competing devices, including phase-change memory (PCM) and state-of-the-art FTJ. Ferroelectrics are often easier to deposit and have simpler material structure than films for magnetic tunnel junctions (MTJs). Ferroelectric HfO2 also enables complementary metal-oxide-semiconductor (CMOS) compatibility, since lead zirconate titanate (PZT) and BaTiO3-based FTJs often are not. No other groups have yet demonstrated a HfO2-based FTJ (to best of the author's knowledge) or applied it to a suitable system. For such devices to be useful, system designers require models based on both theoretical physical analysis and experimental results of fabricated devices in order to confidently design control systems. Both the CMOS circuitry and FTJs must then be designed in layout and fabricated on the same die. This work includes modeling of proposed device structures using a custom python script, which calculates theoretical potential barrier heights as a function of material properties and corresponding current densities (ranging from 8x103 to 3x10-2 A/cm 2 with RHRS/RLRS ranging from 5x105 to 6, depending on ferroelectric thickness). These equations were then combined with polynomial fits of experimental timing data and implemented in a Verilog-A behavioral analog model in Cadence Virtuoso. The author proposes tristate CMOS control systems, and circuits, for implementation of FTJ devices as digital memory and presents simulated performance. Finally, a process flow for fabrication of FTJ devices with CMOS is presented. This work has therefore enabled the fabrication of FTJ devices at RIT and the continued investigation of them as applied to any

  17. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Fabrication of air-stable n-type carbon nanotube thin-film transistors on flexible substrates using bilayer dielectrics.

    Science.gov (United States)

    Li, Guanhong; Li, Qunqing; Jin, Yuanhao; Zhao, Yudan; Xiao, Xiaoyang; Jiang, Kaili; Wang, Jiaping; Fan, Shoushan

    2015-11-14

    Single-walled carbon nanotube (SWNT) thin-film transistors hold great potential for flexible electronics. However, fabrication of air-stable n-type devices by methods compatible with standard photolithography on flexible substrates is challenging. Here, we demonstrated that by using a bilayer dielectric structure of MgO and atomic layer deposited (ALD) Al2O3 or HfO2, air-stable n-type devices can be obtained. The mechanism for conduction type conversion was elucidated and attributed to the hole depletion in SWNT, the decrease of the trap state density by MgO assimilating adsorbed water molecules in the vicinity of SWNT, and the energy band bending because of the positive fixed charges in the ALD layer. The key advantage of the method is the relatively low temperature (120 or 90 °C) required here for the ALD process because we need not employ this step to totally remove the absorbates on the SWNTs. This advantage facilitates the integration of both p-type and n-type transistors through a simple lift off process and compact CMOS inverters were demonstrated. We also demonstrated that the doping of SWNTs in the channel plays a more important role than the Schottky barriers at the metal contacts in carbon nanotube thin-film transistors, unlike the situation in individual SWNT-based transistors.

  19. Thermal evolution of CaO-doped HfO{sub 2} films and powders

    Energy Technology Data Exchange (ETDEWEB)

    Barolin, S A; Sanctis, O A de [Lab. Materiales Ceramicos, FCEIyA, Universidad Nacional de Rosario, IFIR-CONICET (Argentina); Caracoche, M C; Martinez, J A; Taylor, M A; Pasquevich, A F [Departamento de Fisica, FCE, Universidad Nacional de La Plata, IFLP-CONICET (Argentina); Rivas, P C, E-mail: oski@fceia.unr.edu.a [Facultad de Ciencias Agronomicas y Forestales, Universidad Nacional de La Plata, IFLP (Argentina)

    2009-05-01

    Solid solutions of ZrO2 and HfO2 are potential electrolyte materials for intermediate-temperature SOFC because both are oxygen-ion conductors. The main challenge for these compounds is to reduce the relatively high value of the activation energies vacancies diffusion, which is influenced by several factors. In this work the thermal evolution of CaO-HfO{sub 2} materials have been investigated. (CaO)y-Hf(1-y)O(2-y) (y = 0.06, 0.14 y 0.2) coatings and powders were synthesized by chemical solution deposition (CSD). Films were deposited onto alumina substrates by Dip Coating technique, the burning of organic waste was carried out at 500 deg. C under normal atmosphere and then the films were thermally treated at intervals of temperature rising to a maximum temperature of 1250 deg. C. By means Glazing Incidence X-ray Diffraction (rho-2theta configuration) the phases were studied in the annealed films. On the other hand, the thermal evolution and crystallization process of powders were analyzed in-situ by HT-XRD. The phenomena crystallization occurred in films and powders were analyzed. The activation energies of diffusion of oxygen vacancies of HfO2-14 mole% CaO and HfO2-20 mole% CaO films were measured from the thermal evolution of the relaxation constant measured by Perturbed Angular Correlation Technique.

  20. Self-diffusion of Er and Hf inpure and HfO2-doped polycrystalline Er2O3

    International Nuclear Information System (INIS)

    Scheidecker, R.W.

    1979-01-01

    Using a tracer technique, self-diffusion of Er and Hf was measured over the approximate temperature interval of 1600 to 1970 0 C in pure and HfO 2 -doped polycryatalline Er 2 O 3 . Up to about 10 m/o HfO 2 dopant level, the Er self-diffusion coefficients followed a relationship based on cation vacancies. Above 10 m/o HfO 2 , deviation from this relationship occurred, apparently due to clustering of cation vacancies and oxygen interstitials around the dopant hafnia ion. The activation energy for the self-diffusion of Er in pure Er 2 O 3 was 82.2 Kcal/mole and increased with the HfO 2 dopant level present. Self-diffusion of Hf was measured in pure Er 2 O 3 having two impurity levels, and a separation of the grain boundary. The volume diffusion of Hf showed both extrinsic and intrinsic behavior with the transition temperature increasing with the impurity level present in Er 2 O 3 . The activation energy for Hf volume diffusion in the intrinsic region was high, i.e. 235 -+ 9.5 Kcal/mole. The grain boundary diffusion was apparently extrinsic over the entire temperature interval Very low Hf self diffusion rates were found in both pure and HfO 2 doped Er 2 O 3 compositions. Despite a clustering effect, the HfO 2 dopant increased the Hf volume diffusion coefficients

  1. Structural and dielectric properties of (001) and (111)-oriented BaZr0.2Ti0.8O3 epitaxial thin films

    International Nuclear Information System (INIS)

    Ventura, J.; Fina, I.; Ferrater, C.; Langenberg, E.; Coy, L.E.; Polo, M.C.; Garcia-Cuenca, M.V.; Fabrega, L.; Varela, M.

    2010-01-01

    We have grown and characterized BaZr 0.2 Ti 0.8 O 3 (BZT) epitaxial thin films deposited on (001) and (111)-oriented SrRuO 3 -buffered SrTiO 3 substrates by pulsed laser deposition. Structural and morphological characterizations were performed using X-ray diffractometry and atomic force microscopy, respectively. A cube-on-cube epitaxial relationship was ascertained from the θ-2θ and φ diffractograms in both (001) and (111)-oriented films. The (001)-oriented films showed a smooth granular morphology, whereas the faceted pyramid-like crystallites of the (111)-oriented films led to a rough surface. The dielectric response of BZT at room temperature was measured along the growth direction. The films were found to be ferroelectric, although a well-saturated hysteresis loop was obtained only for the (001)-oriented films. High leakage currents were observed for the (111) orientation, likely associated to charge transport along the boundaries of its crystallites. The remanent polarization, coercive field, dielectric constant, and relative change of dielectric permittivity (tunability) of (111)-oriented BZT were higher than those of (001)-oriented BZT.

  2. A Study of the Dielectric Breakdown of SiO2 Films on Si by the Self- Quenching Technique

    Science.gov (United States)

    1974-10-01

    Cambell . Much of the early work on the breakdown of oxide films in 2 1 Q MOS structures was done by N. Klein and his coworkers...Electron Physics, 26, Academic Press. New York (1969). P. J. Harrop and D. S. Cambell , "Dielectric Properties of Thin Films," Handbook of Thin Film

  3. Evolutionary search for new high-k dielectric materials: methodology and applications to hafnia-based oxides.

    Science.gov (United States)

    Zeng, Qingfeng; Oganov, Artem R; Lyakhov, Andriy O; Xie, Congwei; Zhang, Xiaodong; Zhang, Jin; Zhu, Qiang; Wei, Bingqing; Grigorenko, Ilya; Zhang, Litong; Cheng, Laifei

    2014-02-01

    High-k dielectric materials are important as gate oxides in microelectronics and as potential dielectrics for capacitors. In order to enable computational discovery of novel high-k dielectric materials, we propose a fitness model (energy storage density) that includes the dielectric constant, bandgap, and intrinsic breakdown field. This model, used as a fitness function in conjunction with first-principles calculations and the global optimization evolutionary algorithm USPEX, efficiently leads to practically important results. We found a number of high-fitness structures of SiO2 and HfO2, some of which correspond to known phases and some of which are new. The results allow us to propose characteristics (genes) common to high-fitness structures--these are the coordination polyhedra and their degree of distortion. Our variable-composition searches in the HfO2-SiO2 system uncovered several high-fitness states. This hybrid algorithm opens up a new avenue for discovering novel high-k dielectrics with both fixed and variable compositions, and will speed up the process of materials discovery.

  4. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    Science.gov (United States)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  5. Measurement of valence band structure in arbitrary dielectric films

    International Nuclear Information System (INIS)

    Uhm, Han S.; Choi, Eun H.

    2012-01-01

    A new way of measuring the band structure of various dielectric materials using the secondary electron emission from Auger neutralization of ions is introduced. The first example of this measurement scheme is the magnesium oxide (MgO) films with respect to the application of the films in the display industries. The density of state in the valence bands of MgO film and MgO film with a functional layer (FL) deposited over a dielectric surface reveals that the density peak of film with a FL is considerably less than that of film, thereby indicating a better performance of MgO film with functional layer in display devices. The second example of the measurement is the boron-zinc oxide (BZO) films with respect to the application of the films to the development of solar cells. The measurement of density of state in BZO film suggests that a high concentration of boron impurity in BZO films may enhance the transition of electrons and holes through the band gap from the valence to the conduction band in zinc oxide crystals; thereby improving the conductivity of the film. Secondary electron emission by the Auger neutralization of ions is highly instrumental for the determination of the density of states in the valence band of dielectric materials.

  6. Bias Stability Enhancement in Thin-Film Transistor with a Solution-Processed ZrO2 Dielectric as Gate Insulator

    Directory of Open Access Journals (Sweden)

    Shangxiong Zhou

    2018-05-01

    Full Text Available In this paper, a high-k metal-oxide film (ZrO2 was successfully prepared by a solution-phase method, and whose physical properties were measured by X-ray diffraction (XRD, X-ray reflectivity (XRR and atomic force microscopy (AFM. Furthermore, indium–gallium–zinc oxide thin-film transistors (IGZO-TFTs with high-k ZrO2 dielectric layers were demonstrated, and the electrical performance and bias stability were investigated in detail. By spin-coating 0.3 M precursor six times, a dense ZrO2 film, with smoother surface and fewer defects, was fabricated. The TFT devices with optimal ZrO2 dielectric exhibit a saturation mobility up to 12.7 cm2 V−1 s−1, and an on/off ratio as high as 7.6 × 105. The offset of the threshold voltage was less than 0.6 V under positive and negative bias stress for 3600 s.

  7. Cyanoresin, cyanoresin/cellulose triacetate blends for thin film, dielectric capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S. (Inventor); Lewis, Carol R. (Inventor); Cygan, Peter J. (Inventor); Jow, T. Richard (Inventor)

    1996-01-01

    Non brittle dielectric films are formed by blending a cyanoresin such as cyanoethyl, hydroxyethyl cellulose (CRE) with a compatible, more crystalline resin such as cellulose triacetate. The electrical breakdown strength of the blend is increased by orienting the films by uniaxial or biaxial stretching. Blends of high molecular weight CRE with high molecular weight cyanoethyl cellulose (CRC) provide films with high dielectric constants.

  8. Structural, dielectric and ferroelectric characterization of PZT thin films

    Directory of Open Access Journals (Sweden)

    Araújo E.B.

    1999-01-01

    Full Text Available In this work ferroelectric thin films of PZT were prepared by the oxide precursor method, deposited on Pt/Si substrate. Films of 0.5 mm average thickness were obtained. Electrical and ferroelectric characterization were carried out in these films. The measured value of the dielectric constant for films was 455. Ferroelectricity was confirmed by Capacitance-Voltage (C-V characteristics and P-E hysteresis loops. Remanent polarization for films presented value around 5.0 µC/cm2 and a coercive field of 88.8 kV/cm.

  9. Enhanced dielectric and electrical properties of annealed PVDF thin film

    Science.gov (United States)

    Arshad, A. N.; Rozana, M. D.; Wahid, M. H. M.; Mahmood, M. K. A.; Sarip, M. N.; Habibah, Z.; Rusop, M.

    2018-05-01

    Poly (vinylideneflouride) (PVDF) thin films were annealed at various annealing temperatures ranging from 70°C to 170°C. This study demonstrates that PVDF thin films annealed at temperature of 70°C (AN70) showed significant enhancement in their dielectric constant (14) at frequency of 1 kHz in comparison to un-annealed PVDF (UN-PVDF), dielectric constant (10) at the same measured frequency. As the annealing temperature was increased from 90°C (AN90) to 150°C (AN150), the dielectric constant value of PVDF thin films was observed to decrease gradually to 11. AN70 also revealed low tangent loss (tan δ) value at similar frequency. With respect to its resistivity properties, the values were found to increase from 1.98×104 Ω.cm to 3.24×104 Ω.cm for AN70 and UN-PVDF films respectively. The improved in dielectric constant, with low tangent loss and high resistivity value suggests that 70°C is the favorable annealing temperature for PVDF thin films. Hence, AN70 is a promising film to be utilized for application in electronic devices such as low frequency capacitor.

  10. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud; Nayak, Pradipta K.; Wang, Zhenwei; Alshareef, Husam N.

    2016-01-01

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  11. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud

    2016-08-24

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  12. Dielectric and electrical study of PPy doped PVA-PVP films

    Science.gov (United States)

    Jha, Sushma; Tripathi, Deepti

    2018-05-01

    Dielectric parameters of free standing films of pure PVA (PolyvinylAlcohol) and PVA with varying concentrations of PVP(Polyvinylpyrrolidone) and Polypyrrole were prepared and studied in low frequency range (100Hz - 2MHz). The results show that dielectric constant, loss tangent and conductivity increase sharply on increasing the concentration of PVP above 50wt% in polymer matrix. PVA-PVP film with low concentration of PPy showed improvement in the values of complex permittivity, loss tangent and ac conductivity within the experimental frequency range. This eco - friendly polymeric material will be studied for its probable application for RFI/EMI shielding, biosensors, capacitors & insulation purposes.

  13. Sol-gel-derived mesoporous silica films with low dielectric constants

    Energy Technology Data Exchange (ETDEWEB)

    Seraji, S.; Wu, Yun; Forbess, M.; Limmer, S.J.; Chou, T.; Cao, Guozhong [Washington Univ., Seattle, WA (United States). Dept. of Materials Science and Engineering

    2000-11-16

    Mesoporous silica films with low dielectric constants and possibly closed pores have been achieved with a multiple step sol-gel processing technique. Crack-free films with approximately 50% porosity and 0.9 {mu}m thicknesses were obtained, a tape-test revealing good adhesion between films and substrates or metal electrodes. Dielectric constants remained virtually unchanged after aging at room temperature at 56% humidity over 6 days. (orig.)

  14. Pressure-induced phase transformation of HfO2

    International Nuclear Information System (INIS)

    Arashi, H.

    1992-01-01

    This paper reports on the pressure dependence of the Raman spectra of HfO 2 that was measured by a micro-Raman technique using a single-crystal specimen in the pressure range from 0 to 10 GPa at room temperature. The symmetry assignment of Raman bands of the monoclinic phase was experimentally accomplished from the polarization measurements for the single crystal. With increased pressure, a phase transformation for the monoclinic phase took place at 4.3 ± 0.3 GPa. Nineteen Raman bands were observed for the high-pressure phase. The spectral structure of the Raman bands for the high-pressure phase was similar with those reported previously for ZrO 2 . The space group for the high pressure phase of HfO 2 was determined as Pbcm, which was the same as that of the high-pressure phase for ZrO 2 on the basis of the number and the spectral structure of the Raman bands

  15. Impact of AlO x layer on resistive switching characteristics and device-to-device uniformity of bilayered HfO x -based resistive random access memory devices

    Science.gov (United States)

    Chuang, Kai-Chi; Chung, Hao-Tung; Chu, Chi-Yan; Luo, Jun-Dao; Li, Wei-Shuo; Li, Yi-Shao; Cheng, Huang-Chung

    2018-06-01

    An AlO x layer was deposited on HfO x , and bilayered dielectric films were found to confine the formation locations of conductive filaments (CFs) during the forming process and then improve device-to-device uniformity. In addition, the Ti interposing layer was also adopted to facilitate the formation of oxygen vacancies. As a result, the resistive random access memory (RRAM) device with TiN/Ti/AlO x (1 nm)/HfO x (6 nm)/TiN stack layers demonstrated excellent device-to-device uniformity although it achieved slightly larger resistive switching characteristics, which were forming voltage (V Forming) of 2.08 V, set voltage (V Set) of 1.96 V, and reset voltage (V Reset) of ‑1.02 V, than the device with TiN/Ti/HfO x (6 nm)/TiN stack layers. However, the device with a thicker 2-nm-thick AlO x layer showed worse uniformity than the 1-nm-thick one. It was attributed to the increased oxygen atomic percentage in the bilayered dielectric films of the 2-nm-thick one. The difference in oxygen content showed that there would be less oxygen vacancies to form CFs. Therefore, the random growth of CFs would become severe and the device-to-device uniformity would degrade.

  16. Low temperature fabrication of barium titanate hybrid films and their dielectric properties

    International Nuclear Information System (INIS)

    Kobayashi, Yoshio; Saito, Hirobumi; Kinoshita, Takafumi; Nagao, Daisuke; Konno, Mikio

    2011-01-01

    A method for incorporating BT nano-crystalline into barium titanate (BT) films is proposed for a low temperature fabrication of high dielectric constant films. BT nanoparticles were synthesized by hydrolysis of a BT complex alkoxide in 2-methoxyethanol (ME)/ethanol cosolvent. As the ME volume fraction in the cosolvent (ME fraction) increased from 0 to 100%, the particle and crystal sizes tended to increase from 13.4 to 30.2 nm and from 15.8 to 31.4 nm, respectively, and the particle dispersion in the solution became more improved. The BT particles were mixed with BT complex alkoxide dissolved in an ME/ethanol cosolvent for preparing a precursor solution that was then spin-coated on a Pt substrate and dried at 150 o C. The dielectric constant of the spin-coated BT hybrid film increased with an increase in the volume fraction of the BT particles in the film. The dissipation factor of the hybrid film tended to decrease with an increase in the ME fraction in the precursor solution. The hybrid film fabricated at a BT fraction of 30% and an ME fraction of 25% attained a dielectric constant as high as 94.5 with a surface roughness of 14.0 nm and a dissipation factor of 0.11.

  17. Characterization of thin films with synchrotron radiation in SPring-8

    International Nuclear Information System (INIS)

    Komiya, Satoshi

    2005-01-01

    Many studies about thin films by using synchrotron radiation in SPring-8 were reviewed. Structural analyses and assessment of thin films used for electronics, and also assessment of insulating films for the gate used in LSI were carried out. Film thickness, unevenness, and density of SiO 2 films in order of nanomer thickness were determined by interference fringes of x-ray reflection curves. The interface structure of (SiO 2 /Si) films was studied by x-ray crystal truncation rod scattering, and the correlation between leakage character depending on nitrogen concentration and interface structure was clarified on SiON film. The oxygen concentration in HfO films in nanometer thickness was determined by x-ray fluorescence analysis, and the interface reaction for HfO 2 /SiO 2 was clearly observed by electron spectroscopy. The structure of amorphous thin films with large dielectric constant was analyzed by x-ray absorption fine structure (XAFS) spectrum. Devices fabricated from multi-layer films showing giant magnetic resistance were developed for hard disk with a large memory. The character of giant magnetic resistance was governed by multi-layer thin film structure piled up by magnetic and nonmagnetic polycrystalline thin metals. For the multi-layer structure, the concentration distribution of constituent elements was determined to the direction of film thickness by x-ray reflection analysis and grazing incident x-ray fluorescence analysis. In the semiconductor laser source, Ga 1-x In x N, used for DVD, the local structure around In ions was studied by XAFS since constituent instability, especially overpopulation of In element, caused the deterioration of lifetime and light emission of the laser. The lattice constant of the light emission layer in InGaAs was measured by x-ray micro-beams. (author)

  18. High-temperature x-ray diffraction study of HfTiO4-HfO2 solid solutions

    International Nuclear Information System (INIS)

    Carpenter, D.A.

    1975-01-01

    High-temperature x-ray diffraction techniques were used to determine the axial thermal expansion curves of HfTiO 4 -HfO 2 solid solutions as a function of composition. Data show increasing anisotropy with increasing HfO 2 content. An orthorhombic-to-monoclinic phase transformation was detected near room temperature for compositions near the high HfO 2 end of the orthorhombic phase field and for compositions within the two-phase region (HfTiO 4 solid solution plus HfO 2 solid solution). An orthorhombic-to-cubic phase transformation is indicated by data from oxygen-deficient materials at greater than 1873 0 K. (U.S.)

  19. Thermal Conductivity and Water Vapor Stability of Ceramic HfO2-Based Coating Materials

    Science.gov (United States)

    Zhu, Dong-Ming; Fox, Dennis S.; Bansal, Narottam P.; Miller, Robert A.

    2004-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal/environmental barrier coating materials for gas turbine ceramic matrix composite (CMC) combustor liner applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature phase stability of plasma-sprayed coatings and/or hot-pressed HfO2-5mol%Y2O3, HfO2-15mol%Y2O3 and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasma-sprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC Hexoloy or CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications will also be discussed.

  20. Thickness measurement of a thin hetero-oxide film with an interfacial oxide layer by X-ray photoelectron spectroscopy

    Science.gov (United States)

    Kim, Kyung Joong; Lee, Seung Mi; Jang, Jong Shik; Moret, Mona

    2012-02-01

    The general equation Tove = L cos θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.

  1. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Dakhel, A.A.; Jasim, Khalil E. [Department of Physics, College of Science, University of Bahrain, P.O. Box 32038 (Bahrain); Cassidy, S. [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain); Henari, F.Z., E-mail: fzhenari@rcsi-mub.com [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain)

    2013-09-20

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε{sup ′}{sub ∞}≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z{sup *}(ω) and modulus M{sup *}(ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices.

  2. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    International Nuclear Information System (INIS)

    Dakhel, A.A.; Jasim, Khalil E.; Cassidy, S.; Henari, F.Z.

    2013-01-01

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε ′ ∞ ≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z * (ω) and modulus M * (ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices

  3. Reflection from a flat dielectric film with negative refractive index

    OpenAIRE

    Hillion, Pierre

    2007-01-01

    We analyse the reflection of a TM electromagnetic field first on a flat dielectric film and second on a Veselago film with negative refractive index, both films being deposited on a metallic substrat acting as a mirror. An incident harmonic plane wave generates inside a conventional dielectric film a refracted propagating wave and an evanescent wave that does not contribute to reflection on the metallic substrat so that part of the information conveyed by the incident field is lost. At the op...

  4. Frequency and Temperature Dependent Dielectric Properties of Free-standing Strontium Titanate Thin Films.

    Science.gov (United States)

    Dalberth, Mark J.; Stauber, Renaud E.; Anderson, Britt; Price, John C.; Rogers, Charles T.

    1998-03-01

    We will report on the frequency and temperature dependence of the complex dielectric function of free-standing strontium titanate (STO) films. STO is an incipient ferroelectric with electric-field tunable dielectric properties of utility in microwave electronics. The films are grown epitaxially via pulsed laser deposition on a variety of substrates, including lanthanum aluminate (LAO), neodymium gallate (NGO), and STO. An initial film of yttrium barium cuprate (YBCO) is grown on the substrate, followed by deposition of the STO layer. Following deposition, the sacrificial YBCO layer is chemically etched away in dilute nitric acid, leaving the substrate and a released, free-standing STO film. Coplanar capacitor structures fabricated on the released films allow us to measure the dielectric response. We observe a peak dielectric function in excess of 5000 at 35K, change in dielectric constant of over a factor of 8 for 10Volt/micron electric fields, and temperature dependence above 50K that is very similar to bulk material. The dielectric loss shows two peaks, each with a thermally activated behavior, apparently arising from two types of polar defects. We will discuss the correlation between dielectric properties, growth conditions, and strain in the free-standing STO films.

  5. Self-aligned top-gate InGaZnO thin film transistors using SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Wong, Man; Kwok, Hoi Sing

    2013-12-02

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin film transistors (TFTs) utilizing SiO{sub 2}/Al{sub 2}O{sub 3} stack thin films as gate dielectric are developed in this paper. Due to high quality of the high-k Al{sub 2}O{sub 3} and good interface between active layer and gate dielectric, the resulting a-IGZO TFT exhibits good electrical performance including field-effect mobility of 9 cm{sup 2}/Vs, threshold voltage of 2.2 V, subthreshold swing of 0.2 V/decade, and on/off current ratio of 1 × 10{sup 7}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric is proposed. • The source/drain areas are hydrogen-doped by CHF{sub 3} plasma. • The devices show good electrical performance and scaling down behavior.

  6. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  7. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.

    2013-07-18

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  8. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  9. Fabrication of periodic arrays of metallic nanoparticles by block copolymer templates on HfO_2 substrates

    International Nuclear Information System (INIS)

    Frascaroli, Jacopo; Seguini, Gabriele; Spiga, Sabina; Perego, Michele; Boarino, Luca

    2015-01-01

    Block copolymer-based templates can be exploited for the fabrication of ordered arrays of metal nanoparticles (NPs) with a diameter down to a few nanometers. In order to develop this technique on metal oxide substrates, we studied the self-assembly of polymeric templates directly on the HfO_2 surface. Using a random copolymer neutralization layer, we obtained an effective HfO_2 surface neutralization, while the effects of surface cleaning and annealing temperature were carefully examined. Varying the block copolymer molecular weight, we produced regular nanoporous templates with feature size variable between 10 and 30 nm and a density up to 1.5 × 10"1"1 cm"−"2. With the adoption of a pattern transfer process, we produced ordered arrays of Pt and Pt/Ti NPs with diameters of 12, 21 and 29 nm and a constant size dispersion (σ) of 2.5 nm. For the smallest template adopted, the NP diameter is significantly lower than the original template dimension. In this specific configuration, the granularity of the deposited film probably influences the pattern transfer process and very small NPs of 12 nm were achieved without a significant broadening of the size distribution. (paper)

  10. Synthesis and characterization of hafnium oxide films for thermo and photoluminescence applications

    International Nuclear Information System (INIS)

    Guzman Mendoza, J.; Aguilar Frutis, M.A.; Flores, G. Alarcon; Garcia Hipolito, M.; Maciel Cerda, A.; Azorin Nieto, J.; Rivera Montalvo, T.; Falcony, C.

    2010-01-01

    Hafnium oxide (HfO 2 ) films were deposited by the ultrasonic spray pyrolysis process. The films were synthesized from hafnium chloride as raw material in deionized water as solvent and were deposited on corning glass substrates at temperatures from 300 to 600 deg. C. For substrate temperatures lower than 400 deg. C the deposited films were amorphous, while for substrate temperatures higher than 450 deg. C, the monoclinic phase of HfO 2 appeared. Scanning electron microscopy showed that the film's surface resulted rough with semi-spherical promontories. The films showed a chemical composition close to HfO 2 , with an Hf/O ratio of about 0.5. UV radiation was used in order to achieve the thermoluminescent characterization of the films; the 240 nm wavelength induced the best response. In addition, preliminary photoluminescence spectra, as a function of the deposition temperatures, are shown.

  11. Stable dielectric response of low-loss aromatic polythiourea thin films on Pt/SiO2 substrate

    Directory of Open Access Journals (Sweden)

    A. Eršte

    2016-03-01

    Full Text Available We have investigated dielectric properties of aromatic polythiourea (ArPTU, a polar polymer containing high dipolar moments with very low defect levels thin films that were developed on Pt/SiO2 substrate. The detected response is compared to the response of commercially available polymers, such as high density polyethylene (HDPE and polypropylene (PP, which are at present used in foil capacitors. Stable values of the dielectric constant ε′≈5 (being twice higher than in HDPE and PP over broad temperature and frequency ranges and dielectric losses as low as in commercial systems suggest ArPTU as a promising candidate for future use in a variety of applications.

  12. Improved speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer

    International Nuclear Information System (INIS)

    Zheng, Zhiwei; Huo, Zongliang; Zhang, Manhong; Zhu, Chenxin; Liu, Jing; Liu, Ming

    2011-01-01

    This paper reports the simultaneous improvements in erase speed and data retention characteristics in flash memory using a stacked HfO 2 /Ta 2 O 5 charge-trapping layer. In comparison to a memory capacitor with a single HfO 2 trapping layer, the erase speed of a memory capacitor with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer is 100 times faster and its memory window is enlarged from 2.7 to 4.8 V for the same ±16 V sweeping voltage range. With the same initial window of ΔV FB = 4 V, the device with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a 3.5 V extrapolated 10-year retention window, while the control device with a single HfO 2 trapping layer has only 2.5 V for the extrapolated 10-year window. The present results demonstrate that the device with the stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a strong potential for future high-performance nonvolatile memory application

  13. Structure dependent resistivity and dielectric characteristics of tantalum oxynitride thin films produced by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Cristea, D., E-mail: daniel.cristea@unitbv.ro [Department of Materials Science, Transilvania University, 500036 Brasov (Romania); Crisan, A. [Department of Materials Science, Transilvania University, 500036 Brasov (Romania); Cretu, N. [Electrical Engineering and Applied Physics Department, Transilvania University, 500036 Brasov (Romania); Borges, J. [Centro de Física, Universidade do Minho, Campus de Gualtar, 4710 - 057 Braga (Portugal); Instituto Pedro Nunes, Laboratório de Ensaios, Desgaste e Materiais, Rua Pedro Nunes, 3030-199 Coimbra (Portugal); SEG-CEMUC, Mechanical Engineering Department, University of Coimbra, 3030-788 Coimbra (Portugal); Lopes, C.; Cunha, L. [Centro de Física, Universidade do Minho, Campus de Gualtar, 4710 - 057 Braga (Portugal); Ion, V.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, Lasers Department, “Photonic Processing of Advanced Materials” Group, PO Box MG-16, RO 77125 Magurele-Bucharest (Romania); Barradas, N.P. [Centro de Ciências e Tecnologias Nucleares, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10 ao km 139,7, 2695-066 Bobadela LRS (Portugal); Alves, E. [Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10 ao km 139,7, 2695-066 Bobadela LRS (Portugal); Apreutesei, M. [MATEIS Laboratory-INSA de Lyon, 21 Avenue Jean Capelle, 69621 Villeurbanne cedex (France); Université de Lyon, Institut des Nanotechnologies de Lyon INL-UMR5270, CNRS, Ecole Centrale de Lyon, Ecully F-69134 (France); Munteanu, D. [Department of Materials Science, Transilvania University, 500036 Brasov (Romania)

    2015-11-01

    Highlights: • Tantalum oxynitride thin films have been deposited by magnetron sputtering, in various configurations. • The rising of the reactive gases mixture flow has the consequence of a gradual increase in the non-metallic content in the films, which results in a 10 orders of magnitude resistivity domain. • The higher resistivity films exhibit dielectric constants up to 41 and quality factors up to 70. - Abstract: The main purpose of this work is to present and to interpret the change of electrical properties of Ta{sub x}N{sub y}O{sub z} thin films, produced by DC reactive magnetron sputtering. Some parameters were varied during deposition: the flow of the reactive gases mixture (N{sub 2} and O{sub 2}, with a constant concentration ratio of 17:3); the substrate voltage bias (grounded, −50 V or −100 V) and the substrate (glass, (1 0 0) Si or high speed steel). The obtained films exhibit significant differences. The variation of the deposition parameters induces variations of the composition, microstructure and morphology. These differences cause variation of the electrical resistivity essentially correlated with the composition and structural changes. The gradual decrease of the Ta concentration in the films induces amorphization and causes a raise of the resistivity. The dielectric characteristics of some of the high resistance Ta{sub x}N{sub y}O{sub z} films were obtained in the samples with a capacitor-like design (deposited onto high speed steel, with gold pads deposited on the dielectric Ta{sub x}N{sub y}O{sub z} films). Some of these films exhibited dielectric constant values higher than those reported for other tantalum based dielectric films.

  14. Polyvinylidene fluoride film as a capacitor dielectric

    Science.gov (United States)

    Dematos, H. V.

    1981-01-01

    Thin strips of polyvinylidene fluoride film (PVDF) with vacuum deposited electrodes were made into capacitors by conventional winding and fabrication techniques. These devices were used to identify and evaluate the performance characteristics offered by the PVDF in metallized film capacitors. Variations in capacitor parameters with temperature and frequence were evaluated and compared with other dielectric films. Their impact on capacitor applications is discussed.

  15. Low-Temperature Solution-Processed Gate Dielectrics for High-Performance Organic Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Jaekyun Kim

    2015-10-01

    Full Text Available A low-temperature solution-processed high-k gate dielectric layer for use in a high-performance solution-processed semiconducting polymer organic thin-film transistor (OTFT was demonstrated. Photochemical activation of sol-gel-derived AlOx films under 150 °C permitted the formation of a dense film with low leakage and relatively high dielectric-permittivity characteristics, which are almost comparable to the results yielded by the conventionally used vacuum deposition and high temperature annealing method. Octadecylphosphonic acid (ODPA self-assembled monolayer (SAM treatment of the AlOx was employed in order to realize high-performance (>0.4 cm2/Vs saturation mobility and low-operation-voltage (<5 V diketopyrrolopyrrole (DPP-based OTFTs on an ultra-thin polyimide film (3-μm thick. Thus, low-temperature photochemically-annealed solution-processed AlOx film with SAM layer is an attractive candidate as a dielectric-layer for use in high-performance organic TFTs operated at low voltages.

  16. Mechanical characterization of zeolite low dielectric constant thin films by nanoindentation

    International Nuclear Information System (INIS)

    Johnson, Mark; Li Zijian; Wang Junlan; Ya, Yushan

    2007-01-01

    With semiconductor technologies continuously pushing the miniaturization limits, there is a growing interest in developing novel low dielectric constant materials to replace the traditional dense SiO 2 insulators. In order to survive the multi-level integration process and provide reliable material and structure for the desired integrated circuits (IC) functions, the new low-k materials have to be mechanically strong and stable. Therefore the material selection and mechanical characterization are vital for the successful development of next generation low-k dielectrics. A new class of low-k materials, nanoporous pure-silica zeolite, is prepared in thin films using IC compatible spin coating process and characterized using depth sensing nanoindentation technique. The elastic modulus of the zeolite thin films is found to be significantly higher than that of other low-k materials with similar porosity and dielectric constants. Correlations between the mechanical, microstructural and electrical properties of the thin films are discussed in detail

  17. Perovskite oxynitride LaTiOxNy thin films: Dielectric characterization in low and high frequencies

    International Nuclear Information System (INIS)

    Lu, Y.; Ziani, A.; Le Paven-Thivet, C.; Benzerga, R.; Le Gendre, L.; Fasquelle, D.; Kassem, H.

    2011-01-01

    Lanthanum titanium oxynitride (LaTiO x N y ) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO x N y thin films deposited on conductive single crystal Nb–STO show a dielectric constant ε′ ≈ 140 with low losses tanδ = 0.012 at 100 kHz. For the LaTiO x N y polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO 2 /Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO x N y films deposited on MgO substrate present a high dielectric constant with low losses (ε′ ≈ 170, tanδ = 0.011, 12 GHz).

  18. Dielectric and Piezoelectric Properties of PZT Composite Thick Films with Variable Solution to Powder Ratios.

    Science.gov (United States)

    Wu, Dawei; Zhou, Qifa; Shung, Koping Kirk; Bharadwaja, Srowthi N; Zhang, Dongshe; Zheng, Haixing

    2009-05-08

    The use of PZT films in sliver-mode high-frequency ultrasonic transducers applications requires thick, dense, and crack-free films with excellent piezoelectric and dielectric properties. In this work, PZT composite solutions were used to deposit PZT films >10 μm in thickness. It was found that the functional properties depend strongly on the mass ratio of PZT sol-gel solution to PZT powder in the composite solution. Both the remanent polarization, P(r), and transverse piezoelectric coefficient, e(31,) (f), increase with increasing proportion of the sol-gel solution in the precursor. Films prepared using a solution-to-powder mass ratio of 0.5 have a remanent polarization of 8 μC/cm(2), a dielectric constant of 450 (at 1 kHz), and e(31,) (f) = -2.8 C/m(2). Increasing the solution-to-powder mass ratio to 6, the films were found to have remanent polarizations as large as 37 μC/cm(2), a dielectric constant of 1250 (at 1 kHz) and e(31,) (f) = -5.8 C/m(2).

  19. Deep electron traps in HfO_2-based metal-oxide-semiconductor capacitors

    International Nuclear Information System (INIS)

    Salomone, L. Sambuco; Lipovetzky, J.; Carbonetto, S.H.; García Inza, M.A.; Redin, E.G.; Campabadal, F.

    2016-01-01

    Hafnium oxide (HfO_2) is currently considered to be a good candidate to take part as a component in charge-trapping nonvolatile memories. In this work, the electric field and time dependences of the electron trapping/detrapping processes are studied through a constant capacitance voltage transient technique on metal-oxide-semiconductor capacitors with atomic layer deposited HfO_2 as insulating layer. A tunneling-based model is proposed to reproduce the experimental results, obtaining fair agreement between experiments and simulations. From the fitting procedure, a band of defects is identified, located in the first 1.7 nm from the Si/HfO_2 interface at an energy level E_t = 1.59 eV below the HfO_2 conduction band edge with density N_t = 1.36 × 10"1"9 cm"−"3. A simplified analytical version of the model is proposed in order to ease the fitting procedure for the low applied voltage case considered in this work. - Highlights: • We characterized deep electron trapping/detrapping in HfO_2 structures. • We modeled the experimental results through a tunneling-based model. • We obtained an electron trap energy level of 1.59 eV below conduction band edge. • We obtained a spatial trap distribution extending 1.7 nm within the insulator. • A simplified tunneling front model is able to reproduce the experimental results.

  20. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S. [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada)

    2015-07-13

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer into the IGZO and resulted in higher resistivity films.

  1. Elastic properties of porous low-k dielectric nano-films

    Science.gov (United States)

    Zhou, W.; Bailey, S.; Sooryakumar, R.; King, S.; Xu, G.; Mays, E.; Ege, C.; Bielefeld, J.

    2011-08-01

    Low-k dielectrics have predominantly replaced silicon dioxide as the interlayer dielectric for interconnects in state of the art integrated circuits. In order to further reduce interconnect RC delays, additional reductions in k for these low-k materials are being pursued via the introduction of controlled levels of porosity. The main challenge for such dielectrics is the substantial reduction in elastic properties that accompanies the increased pore volume. We report on Brillouin light scattering measurements used to determine the elastic properties of these films at thicknesses well below 200 nm, which are pertinent to their introduction into present ultralarge scale integrated technology. The observation of longitudinal and transverse standing wave acoustic resonances and their transformation into traveling waves with finite in-plane wave vectors provides for a direct non-destructive measure of the principal elastic constants that characterize the elastic properties of these porous nano-scale films. The mode dispersion further confirms that for porosity levels of up to 25%, the reduction in the dielectric constant does not result in severe degradation in the Young's modulus and Poisson's ratio of the films.

  2. Functional Design of Dielectric-Metal-Dielectric-Based Thin-Film Encapsulation with Heat Transfer and Flexibility for Flexible Displays.

    Science.gov (United States)

    Kwon, Jeong Hyun; Choi, Seungyeop; Jeon, Yongmin; Kim, Hyuncheol; Chang, Ki Soo; Choi, Kyung Cheol

    2017-08-16

    In this study, a new and efficient dielectric-metal-dielectric-based thin-film encapsulation (DMD-TFE) with an inserted Ag thin film is proposed to guarantee the reliability of flexible displays by improving the barrier properties, mechanical flexibility, and heat dissipation, which are considered to be essential requirements for organic light-emitting diode (OLED) encapsulation. The DMD-TFE, which is composed of Al 2 O 3 , Ag, and a silica nanoparticle-embedded sol-gel hybrid nanocomposite, shows a water vapor transmission rate of 8.70 × 10 -6 g/m 2 /day and good mechanical reliability at a bending radius of 30 mm, corresponding to 0.41% strain for 1000 bending cycles. The electrical performance of a thin-film encapsulated phosphorescent organic light-emitting diode (PHOLED) was identical to that of a glass-lid encapsulated PHOLED. The operational lifetimes of the thin-film encapsulated and glass-lid encapsulated PHOLEDs are 832 and 754 h, respectively. After 80 days, the thin-film encapsulated PHOLED did not show performance degradation or dark spots on the cell image in a shelf-lifetime test. Finally, the difference in lifetime of the OLED devices in relation to the presence and thickness of a Ag film was analyzed by applying various TFE structures to fluorescent organic light-emitting diodes (FOLEDs) that could generate high amounts of heat. To demonstrate the difference in heat dissipation effect among the TFE structures, the saturated temperatures of the encapsulated FOLEDs were measured from the back side surface of the glass substrate, and were found to be 67.78, 65.12, 60.44, and 39.67 °C after all encapsulated FOLEDs were operated at an initial luminance of 10 000 cd/m 2 for sufficient heat generation. Furthermore, the operational lifetime tests of the encapsulated FOLED devices showed results that were consistent with the measurements of real-time temperature profiles taken with an infrared camera. A multifunctional hybrid thin-film encapsulation

  3. Issues concerning the determination of solubility products of sparingly soluble crystalline solids. Solubility of HfO2(cr)

    International Nuclear Information System (INIS)

    Rai, Dhanpat; Kitamura, Akira; Rosso, Kevin M.; Sasaki, Takayuki; Kobayashi, Taishi

    2016-01-01

    Solubility studies were conducted with HfO 2 (cr) solid as a function HCl and ionic strength ranging from 2.0 to 0.004 mol kg -1 . These studies involved (1) using two different amounts of the solid phase, (2) acid washing the bulk solid phase, (3) preheating the solid phase to 1400 C, and (4) heating amorphous HfO 2 (am) suspensions to 90 C to ascertain whether the HfO 2 (am) converts to HfO 2 (cr) and to determine the solubility from the oversaturation direction. Based on the results of these treatments it is concluded that the HfO 2 (cr) contains a small fraction of less crystalline, but not amorphous, material [HfO 2 (lcr)] and this, rather than the HfO 2 (cr), is the solubility-controlling phase in the range of experimental variables investigated in this study. The solubility data are interpreted using both the Pitzer and SIT models and they provide log 10 K 0 values of -(59.75±0.35) and -(59.48±0.41), respectively, for the solubility product of HfO 2 (lcr)[HfO 2 (lcr) + 2H 2 O ↔ Hf 4+ + 4OH - ]. The log 10 of the solubility product of HfO 2 (cr) is estimated to be < -63. The observation of a small fraction of less crystalline higher solubility material is consistent with the general picture that mineral surfaces are often structurally and/or compositionally imperfect leading to a higher solubility than the bulk crystalline solid. This study stresses the urgent need, during interpretation of solubility data, of taking precautions to make certain that the observed solubility behavior for sparingly-soluble solids is assigned to the proper solid phase.

  4. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    Science.gov (United States)

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  5. Bi-axially crumpled silver thin-film electrodes for dielectric elastomer actuators

    International Nuclear Information System (INIS)

    Low, Sze-Hsien; Lau, Gih-Keong

    2014-01-01

    Metal thin films, which have high conductivity, are much stiffer and may fracture at a much lower strain than dielectric elastomers. In order to fabricate compliant electrodes for use in dielectric elastomer actuators (DEAs), metal thin films have been formed into either zigzag patterns or corrugations, which favour bending and only allow uniaxial DEA deformations. However, biaxially compliant electrodes are desired in order to maximize generated forces of DEA. In this paper, we present crumpled metal thin-film electrodes that are biaxially compliant and have full area coverage over the dielectric elastomer. These crumpled metal thin-film electrodes are more stretchable than flat metal thin films; they remain conductive beyond 110% radial strain. Also, crumpling reduced the stiffening effect of metal thin films on the soft elastomer. As such, DEAs using crumpled metal thin-film electrodes managed to attain relatively high actuated area strains of up to 128% at 1.8 kV (102 Vμm −1 ). (paper)

  6. Structure and properties of a model conductive filament/host oxide interface in HfO2-based ReRAM

    Science.gov (United States)

    Padilha, A. C. M.; McKenna, K. P.

    2018-04-01

    Resistive random-access memory (ReRAM) is a promising class of nonvolatile memory capable of storing information via its resistance state. In the case of hafnium oxide-based devices, experimental evidence shows that a conductive oxygen-deficient filament is formed and broken inside of the device by oxygen migration, leading to switching of its resistance state. However, little is known about the nature of this conductive phase, its interface with the host oxide, or the associated interdiffusion of oxygen, presenting a challenge to understanding the switching mechanism and device properties. To address these problems, we present atomic-scale first-principles simulations of a prototypical conductive phase (HfO), the electronic properties of its interface with HfO2, as well as stability with respect to oxygen diffusion across the interface. We show that the conduction-band offset between HfO and HfO2 is 1.3 eV, smaller than typical electrode-HfO2 band offsets, suggesting that positive charging and band bending should occur at the conductive filament-HfO2 interface. We also show that transfer of oxygen across the interface, from HfO2 into HfO, costs around 1.2 eV per atom and leads to a gradual opening of the HfO band gap, and hence disruption of the electrical conductivity. These results provide invaluable insights into understanding the switching mechanism for HfO2-based ReRAM.

  7. Zirconium titanate thin film prepared by surface sol-gel process and effects of thickness on dielectric property

    CERN Document Server

    Kim, C H

    2002-01-01

    Single phase of multicomponent oxide ZrTiO sub 4 film could be prepared through surface sol-gel route simply by coating the mixture of 100mM zirconium butoxide and titanium butoxide on Pt/Ti/SiO sub 2 /Si(100) substrate, following pyrolysis at 450 .deg. C, and annealing it at 770 .deg. C. The dielectric constant of the film was reduced as the film thickness decreased due to of the interfacial effects caused by layer/electrode and a few voids inside the multilayer. However, the dielectric property was independent of applied dc bias sweeps voltage (-2 to +2 V). The dielectric constant of bulk film, 31.9, estimated using series-connected capacitor model was independent of film thickness and frequency in the measurement range, but theoretical interfacial thickness, t sub i , was dependent on the frequency. It reached a saturated t sub i value, 6.9 A, at high frequency by extraction of some capacitance component formed at low frequency range. The dielectric constant of bulk ZrTiO sub 4 pellet-shaped material was 3...

  8. Dielectric relaxation of barium strontium titanate and application to thin films for DRAM capacitors

    Science.gov (United States)

    Baniecki, John David

    expression, and BSTO thin film electrical characteristics, the charge loss due to dielectric relaxation is estimated to be 6--12% of the initial charge stored on the capacitor plates for MOCVD BSTO thin films with Pt electrodes after a post top electrode anneal in oxygen. In contrast, it is shown that the charge loss due to steady state leakage is only 0.0125--0.125% of the initial charge stored on the capacitor plates. Charge retention is shown to depend strongly on the annealing conditions. Annealing MOCVD BSTO thin films with Pt electrodes in forming gas (95% Ar 5% H2) increases charge loss due to dielectric relaxation to as much as 60%. Ion implantation is used to dope BSTO thin films with Mn. X-ray diffraction and transmission electron microscopy (TEM) shows ion implantation significantly damages the film leaving only short-range order, but post-implant annealing heals the damage. Capacitance recovery after post-implant annealing is as high as 94% for 15 nm BSTO films. At low implant doses, the Mn doped films have substantially lower leakage (up to a factor of ten lower) and only slightly higher relaxation currents and dielectric loss indicating that ion implantation may be a potentially viable way of introducing dopants into high dielectric constant thin films for future DRAM applications.

  9. Preparation, Structural and Dielectric Properties of Solution Grown Polyvinyl Alcohol(PVA) Film

    Science.gov (United States)

    Nangia, Rakhi; Shukla, Neeraj K.; Sharma, Ambika

    2017-08-01

    Flexible dielectrics with high permittivity have been investigated extensively due to their applications in electronic industry. In this work, structural and electrical characteristics of polymer based film have been analysed. Poly vinyl alcohol (PVA) film was prepared by solution casting method. X-ray diffraction (XRD) characterization technique is used to investigate the structural properties. The semi-crystalline nature has been determined by the analysis of the obtained XRD pattern. Electrical properties of the synthesized film have been analysed from the C-V and I-V curves obtained at various frequencies and temperatures. Low conductivity values confirm the insulating behaviour of the film. However, it is found that conductivity increases with temperature. Also, the dielectric permittivity is found to be higher at lower frequencies and higher temperatures, that proves PVA to be an excellent dielectric material which can be used in interface electronics. Dielectric behaviour of the film has been explained based on dipole orientations to slow and fast varying electric field. However further engineering can be done to modulate the structural, electrical properties of the film.

  10. Dielectric and piezoelectric properties of lead-free (Bi,Na)TiO3-based thin films

    Science.gov (United States)

    Abazari, M.; Safari, A.; Bharadwaja, S. S. N.; Trolier-McKinstry, S.

    2010-02-01

    Dielectric and piezoelectric properties of morphotropic phase boundary (Bi,Na)TiO3-(Bi,K)TiO3-BaTiO3 epitaxial thin films deposited on SrRuO3 coated SrTiO3 substrates were reported. Thin films of 350 nm thickness exhibited small signal dielectric permittivity and loss tangent values of 750 and 0.15, respectively, at 1 kHz. Ferroelectric hysteresis measurements indicated a remanent polarization value of 30 μC/cm2 with a coercive field of 85-100 kV/cm. The thin film transverse piezoelectric coefficient (e31,f) of these films after poling at 600 kV/cm was found to be -2.2 C/m2. The results indicate that these BNT-based thin films are a potential candidate for lead-free piezoelectric devices.

  11. Casimir free energy of dielectric films: classical limit, low-temperature behavior and control.

    Science.gov (United States)

    Klimchitskaya, G L; Mostepanenko, V M

    2017-07-12

    The Casimir free energy of dielectric films, both free-standing in vacuum and deposited on metallic or dielectric plates, is investigated. It is shown that the values of the free energy depend considerably on whether the calculation approach used neglects or takes into account the dc conductivity of film material. We demonstrate that there are material-dependent and universal classical limits in the former and latter cases, respectively. The analytic behavior of the Casimir free energy and entropy for a free-standing dielectric film at low temperature is found. According to our results, the Casimir entropy goes to zero when the temperature vanishes if the calculation approach with neglected dc conductivity of a film is employed. If the dc conductivity is taken into account, the Casimir entropy takes the positive value at zero temperature, depending on the parameters of a film, i.e. the Nernst heat theorem is violated. By considering the Casimir free energy of SiO 2 and Al 2 O 3 films deposited on a Au plate in the framework of two calculation approaches, we argue that physically correct values are obtained by disregarding the role of dc conductivity. A comparison with the well known results for the configuration of two parallel plates is made. Finally, we compute the Casimir free energy of SiO 2 , Al 2 O 3 and Ge films deposited on high-resistivity Si plates of different thicknesses and demonstrate that it can be positive, negative and equal to zero. The effect of illumination of a Si plate with laser light is considered. Possible applications of the obtained results to thin films used in microelectronics are discussed.

  12. Casimir free energy of dielectric films: classical limit, low-temperature behavior and control

    Science.gov (United States)

    Klimchitskaya, G. L.; Mostepanenko, V. M.

    2017-07-01

    The Casimir free energy of dielectric films, both free-standing in vacuum and deposited on metallic or dielectric plates, is investigated. It is shown that the values of the free energy depend considerably on whether the calculation approach used neglects or takes into account the dc conductivity of film material. We demonstrate that there are material-dependent and universal classical limits in the former and latter cases, respectively. The analytic behavior of the Casimir free energy and entropy for a free-standing dielectric film at low temperature is found. According to our results, the Casimir entropy goes to zero when the temperature vanishes if the calculation approach with neglected dc conductivity of a film is employed. If the dc conductivity is taken into account, the Casimir entropy takes the positive value at zero temperature, depending on the parameters of a film, i.e. the Nernst heat theorem is violated. By considering the Casimir free energy of SiO2 and Al2O3 films deposited on a Au plate in the framework of two calculation approaches, we argue that physically correct values are obtained by disregarding the role of dc conductivity. A comparison with the well known results for the configuration of two parallel plates is made. Finally, we compute the Casimir free energy of SiO2, Al2O3 and Ge films deposited on high-resistivity Si plates of different thicknesses and demonstrate that it can be positive, negative and equal to zero. The effect of illumination of a Si plate with laser light is considered. Possible applications of the obtained results to thin films used in microelectronics are discussed.

  13. 4f-5d hybridization in a high k dielectric

    International Nuclear Information System (INIS)

    Losovyj, Ya.B.; Tang, Jinke; Wang, Wendong; Hong Yuanjia; Palshin, Vadim; Tittsworth, Roland

    2006-01-01

    While intra-atomic f-d hybridization is expected, experimental confirmation of f-d hybridization in the photoemission final state leading to 4f band structure has been limited to 5f systems and compound systems with very shallow 4f levels. We demonstrate that core 4f states can contribute to the valence band structure in a wide band gap dielectric, in this case HfO 2 in the photoemission final state. In spite of the complications of sample charging, we find evidence of symmetry in the shallow 4f levels and wave vector dependent band dispersion, the latter consistent with the crystal structure of HfO 2

  14. Nonlinear dielectric thin films for high-power electric storage with energy density comparable with electrochemical supercapacitors.

    Science.gov (United States)

    Yao, Kui; Chen, Shuting; Rahimabady, Mojtaba; Mirshekarloo, Meysam Sharifzadeh; Yu, Shuhui; Tay, Francis Eng Hock; Sritharan, Thirumany; Lu, Li

    2011-09-01

    Although batteries possess high energy storage density, their output power is limited by the slow movement of charge carriers, and thus capacitors are often required to deliver high power output. Dielectric capacitors have high power density with fast discharge rate, but their energy density is typically much lower than electrochemical supercapacitors. Increasing the energy density of dielectric materials is highly desired to extend their applications in many emerging power system applications. In this paper, we review the mechanisms and major characteristics of electric energy storage with electrochemical supercapacitors and dielectric capacitors. Three types of in-house-produced ferroic nonlinear dielectric thin film materials with high energy density are described, including (Pb(0.97)La(0.02))(Zr(0.90)Sn(0.05)Ti(0.05))O(3) (PLZST) antiferroelectric ceramic thin films, Pb(Zn(1/3)Nb(2/3))O(3-)Pb(Mg(1/3)Nb(2/3))O(3-)PbTiO(3) (PZN-PMN-PT) relaxor ferroelectric ceramic thin films, and poly(vinylidene fluoride) (PVDF)-based polymer blend thin films. The results showed that these thin film materials are promising for electric storage with outstandingly high power density and fairly high energy density, comparable with electrochemical supercapacitors.

  15. Visualization of dielectric constant-electric field-temperature phase maps for imprinted relaxor ferroelectric thin films

    International Nuclear Information System (INIS)

    Frederick, J. C.; Kim, T. H.; Maeng, W.; Brewer, A. A.; Podkaminer, J. P.; Saenrang, W.; Vaithyanathan, V.; Schlom, D. G.; Li, F.; Chen, L.-Q.; Trolier-McKinstry, S.; Rzchowski, M. S.; Eom, C. B.

    2016-01-01

    The dielectric phase transition behavior of imprinted lead magnesium niobate–lead titanate relaxor ferroelectric thin films was mapped as a function of temperature and dc bias. To compensate for the presence of internal fields, an external electric bias was applied while measuring dielectric responses. The constructed three-dimensional dielectric maps provide insight into the dielectric behaviors of relaxor ferroelectric films as well as the temperature stability of the imprint. The transition temperature and diffuseness of the dielectric response correlate with crystallographic disorder resulting from strain and defects in the films grown on strontium titanate and silicon substrates; the latter was shown to induce a greater degree of disorder in the film as well as a dielectric response lower in magnitude and more diffuse in nature over the same temperature region. Strong and stable imprint was exhibited in both films and can be utilized to enhance the operational stability of piezoelectric devices through domain self-poling.

  16. Pentacene thin-film transistors and inverters with plasma-enhanced atomic-layer-deposited Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Koo, Jae Bon; Lim, Jung Wook; Kim, Seong Hyun; Yun, Sun Jin; Ku, Chan Hoe; Lim, Sang Chul; Lee, Jung Hun

    2007-01-01

    The performances of pentacene thin-film transistor with plasma-enhanced atomic-layer-deposited (PEALD) 150 nm thick Al 2 O 3 dielectric are reported. Saturation mobility of 0.38 cm 2 /V s, threshold voltage of 1 V, subthreshold swing of 0.6 V/decade, and on/off current ratio of about 10 8 have been obtained. Both depletion and enhancement mode inverter have been realized with the change of treatment method of hexamethyldisilazane on PEALD Al 2 O 3 gate dielectric. Full swing depletion mode inverter has been demonstrated at input voltages ranging from 5 V to - 5 V at supply voltage of - 5 V

  17. Electric-field-control of magnetic anisotropy of Co0.6Fe0.2B0.2/oxide stacks using reduced voltage

    Science.gov (United States)

    Kita, Koji; Abraham, David W.; Gajek, Martin J.; Worledge, D. C.

    2012-08-01

    We have demonstrated purely electrical manipulation of the magnetic anisotropy of a Co0.6Fe0.2B0.2 film by applying only 8 V across the CoFeB/oxide stack. A clear transition from in-plane to perpendicular anisotropy was observed. The quantitative relationship between interface anisotropy energy and the applied electric-field was determined from the linear voltage dependence of the saturation field. By comparing the dielectric stacks of MgO/Al2O3 and MgO/HfO2/Al2O3, enhanced voltage control was also demonstrated, due to the higher dielectric constant of the HfO2. These results suggest the feasibility of purely electrical control of magnetization with small voltage bias for spintronics applications.

  18. Surface Modification of Solution-Processed ZrO2 Films through Double Coating for Pentacene Thin-Film Transistors

    Science.gov (United States)

    Kwon, Jin-Hyuk; Bae, Jin-Hyuk; Lee, Hyeonju; Park, Jaehoon

    2018-03-01

    We report the modification of surface properties of solution-processed zirconium oxide (ZrO2) dielectric films achieved by using double-coating process. It is proven that the surface properties of the ZrO2 film are modified through the double-coating process; the surface roughness decreases and the surface energy increases. The present surface modification of the ZrO2 film contributes to an increase in grain size of the pentacene film, thereby increasing the field-effect mobility and decreasing the threshold voltage of the pentacene thin-film transistors (TFTs) having the ZrO2 gate dielectric. Herein, the molecular orientation of pentacene film is also studied based on the results of contact angle and X-ray diffraction measurements. Pentacene molecules on the double-coated ZrO2 film are found to be more tilted than those on the single-coated ZrO2 film, which is attributed to the surface modification of the ZrO2 film. However, no significant differences are observed in insulating properties between the single-and the double-coated ZrO2 dielectric films. Consequently, the characteristic improvements of the pentacene TFTs with the double-coated ZrO2 gate dielectric film can be understood through the increase in pentacene grain size and the reduction in grain boundary density.

  19. Effect of titanium oxide-polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Della Pelle, Andrea M. [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States); Maliakal, Ashok, E-mail: maliakal@lgsinnovations.com [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Sidorenko, Alexander [Department of Chemistry and Biochemistry, University of the Sciences, 600 South 43rd St., Philadelphia, PA 191034 (United States); Thayumanavan, S. [Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States)

    2012-07-31

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide-polystyrene core-shell nanocomposite (TiO{sub 2}-PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO{sub 2}-PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as {alpha}-sexithiophene ({alpha}-6T) (enhancement factor for field effect mobility ranging from 30-100 Multiplication-Sign higher on TiO{sub 2}-PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for {alpha}-sexithiophene ({alpha}-6T) grown by thermal evaporation on TiO{sub 2}-PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO{sub 2}-PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2 Multiplication-Sign ) increase in mobility with increasing TiO{sub 2}-PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation

  20. Effect of titanium oxide–polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    International Nuclear Information System (INIS)

    Della Pelle, Andrea M.; Maliakal, Ashok; Sidorenko, Alexander; Thayumanavan, S.

    2012-01-01

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide–polystyrene core–shell nanocomposite (TiO 2 –PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO 2 –PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as α-sexithiophene (α-6T) (enhancement factor for field effect mobility ranging from 30-100× higher on TiO 2 –PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for α-sexithiophene (α-6T) grown by thermal evaporation on TiO 2 –PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO 2 –PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2×) increase in mobility with increasing TiO 2 –PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation rate produces organic polycrystalline films with small grain

  1. Off Axis Growth of Strontium Titanate Films with High Dielectric Constant Tuning and Low Loss

    Science.gov (United States)

    Kampangkeaw, Satreerat

    2002-03-01

    Using off-axis pulsed laser deposition, we have grown strontium titanate (STO) films on neodymium gallate (NGO) and lanthanum aluminate (LAO) substrates. We measured the film dielectric constant and loss tangent as a function of temperature in the 10kHz to 1 MHz frequency range. We found that the loss is less than 0.01 We also obtained a figure of merit from the relative variation of the dielectric constant divided by the loss tangent. The obtained figured of merit at 35K and 1MHz is about 1000 comparable to bulk values. The dielectric constant of these films can be changed by a factor of 4-8 in the presence of a DC electric field up to 5V/μm. The films show significant variations of dielectric properties grown on different substrates at different locations respect to the axis of the plume. The STO films on LAO having high dielectric constant and dielectric tuning were grown in region near the center of the plume. On the other hand, STO on NGO shows this effect only on the films grown far from the plume axis.

  2. Thermal expansion studies on HfO2-Gd2O3 system

    International Nuclear Information System (INIS)

    Panneerselvam, G.; Antony, M.P.; Nagarajan, K.

    2014-01-01

    A series of solid solutions containing GdO 1.5 in HfO 2 , (Hf 1-y Gd y ) O 2 (y = 0.15, 0.2, 0.3, 0.41 and 0.505) were prepared by solid state method. Structural characterization and computation of lattice parameter was carried out using room temperature X-ray diffraction measurements

  3. Optical and Dielectric Properties of CuAl2O4 Films Synthesized by Solid-Phase Epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Leu, L. C. [University of Florida, Gainesville; Norton, David P. [University of Florida; Jellison Jr, Gerald Earle [ORNL; Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Xiong, X. [SuperPower Incorporated, Schenectady, New York

    2007-01-01

    The synthesis and properties of CuAl{sub 2}O{sub 4} thin films have been examined. The CuAl{sub 2}O{sub 4} films were deposited via reactive direct current magnetron sputter using a CuAl{sub 2} target. As-deposited films were amorphous. Post-deposition annealing at high temperature in oxygen yielded solid-phase epitaxy on MgO. The film orientation was cube-on-cube epitaxy on (001) MgO single-crystal substrates. The films were transparent to visible light. The band gap of crystalline CuAl{sub 2}O{sub 4} was determined to be {approx} 4 eV using a Tauc plot from the optical transmission spectrum. The dielectric constant of the amorphous films was determined to be {approx} 20-23 at 1-100 kHz.

  4. Positron and positronium annihilation in low-dielectric-constant films studied by a pulsed positron beam

    International Nuclear Information System (INIS)

    Suzuki, R.; Ohdaira, T.; Kobayashi, Y.; Ito, K.; Yu, R.S.; Shioya, Y.; Ichikawa, H.; Hosomi, H.; Ishikiriyama, K.; Shirataki, H.; Matsuno, S.; Xu, J.

    2004-01-01

    Positron and positronium annihilation in porous low-dielectric-constant (low-k) films deposited by plasma-enhanced chemical vapor deposition (PECVD) and spin-on dielectric (SOD) have been investigated by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The ortho-positronium (o-Ps) lifetime strongly depends on the deposition condition. In general, PECVD low-k films have shorter o-Ps lifetimes than SOD low-k films, indicating PECVD low-k films have smaller pores. Since o-Ps diffusion and escaping from the surface occurs in most of porous SOD films, three-gamma annihilation measurement is important. To investigate o-Ps behavior in SOD films, we have carried out two-dimensional (2D) PALS measurement, which measures annihilation time and pulse-height of the scintillation detector simultaneously. Monte-Carlo simulation of the o-Ps diffusion and escaping in porous films has been carried out to simulate the 2D-PALS results. (orig.)

  5. Plasmonic versus dielectric enhancement in thin-film solar cells

    DEFF Research Database (Denmark)

    Dühring, Maria Bayard; Mortensen, N. Asger; Sigmund, Ole

    2012-01-01

    to its metallic counterpart. We show that the enhanced normalized short-circuit current for a cell with silicon strips can be increased 4 times compared to the best performance for strips of silver, gold, or aluminium. For this particular case, the simple dielectric grating may outperform its plasmonic......Several studies have indicated that broadband absorption of thin-film solar cells can be enhanced by use of surface-plasmon induced resonances of metallic parts like strips or particles. The metallic parts may create localized modes or scatter incoming light to increase absorption in thin......-film semiconducting material. For a particular case, we show that coupling to the same type of localized slab-waveguide modes can be obtained by a surface modulation consisting of purely dielectric strips. The purely dielectric device turns out to have a significantly higher broadband enhancement factor compared...

  6. Field-enhanced route to generating anti-Frenkel pairs in HfO2

    Science.gov (United States)

    Schie, Marcel; Menzel, Stephan; Robertson, John; Waser, Rainer; De Souza, Roger A.

    2018-03-01

    The generation of anti-Frenkel pairs (oxygen vacancies and oxygen interstitials) in monoclinic and cubic HfO2 under an applied electric field is examined. A thermodynamic model is used to derive an expression for the critical field strength required to generate an anti-Frenkel pair. The critical field strength of EaFcr˜101GVm-1 obtained for HfO2 exceeds substantially the field strengths routinely employed in the forming and switching operations of resistive switching HfO2 devices, suggesting that field-enhanced defect generation is negligible. Atomistic simulations with molecular static (MS) and molecular dynamic (MD) approaches support this finding. The MS calculations indicated a high formation energy of Δ EaF≈8 eV for the infinitely separated anti-Frenkel pair, and only a decrease to Δ EaF≈6 eV for the adjacent anti-Frenkel pair. The MD simulations showed no defect generation in either phase for E <3 GVm-1 , and only sporadic defect generation in the monoclinic phase (at E =3 GVm-1 ) with fast (trec<4 ps ) recombination. At even higher E but below EaFcr both monoclinic and cubic structures became unstable as a result of field-induced deformation of the ionic potential wells. Further MD investigations starting with preexisting anti-Frenkel pairs revealed recombination of all pairs within trec<1 ps , even for the case of neutral vacancies and charged interstitials, for which formally there is no electrostatic attraction between the defects. In conclusion, we find no physically reasonable route to generating point-defects in HfO2 by an applied field.

  7. Phosphorus recovery from biogas slurry by ultrasound/H2O2 digestion coupled with HFO/biochar adsorption process.

    Science.gov (United States)

    He, Xuemeng; Zhang, Tao; Ren, Hongqiang; Li, Guoxue; Ding, Lili; Pawlowski, Lucjan

    2017-02-01

    Phosphorus (P) recovery from biogas slurry has recently attracted considerable interest. In this work, ultrasound/H 2 O 2 digestion coupled with ferric oxide hydrate/biochar (HFO/biochar) adsorption process was performed to promote P dissolution, release, and recovery from biogas slurry. The results showed that the optimal total phosphorus release efficiency was achieved at an inorganic phosphorus/total phosphorus ratio of 95.0% at pH 4, 1mL of added H 2 O 2 , and ultrasonication for 30min. The P adsorption by the HFO/biochar followed pseudo second-order kinetics and was mainly controlled by chemical processes. The Langmuir-Freundlich model matched the experimental data best for P adsorption by HFO/biochar at 298 and 308K, whereas the Freundlich model matched best at 318K. The maximum amount of P adsorbed was 220mg/g. The process was endothermic, spontaneous, and showed an increase in disorder at the solid-liquid interface. The saturated adsorbed HFO/biochar continually releases P and is most suitable for use in an alkaline environment. The amount of P released reached 29.1mg/g after five extractions. P mass balance calculation revealed that 11.3% of the total P can be made available. Copyright © 2016. Published by Elsevier Ltd.

  8. Low-voltage organic thin film transistors (OTFTs) using crosslinked polyvinyl alcohol (PVA)/neodymium oxide (Nd2O3) bilayer gate dielectrics

    Science.gov (United States)

    Khound, Sagarika; Sarma, Ranjit

    2018-01-01

    We have reported here on the design, processing and dielectric properties of pentacene-based organic thin film transitors (OTFTs) with a bilayer gate dilectrics of crosslinked PVA/Nd2O3 which enables low-voltage organic thin film operations. The dielectric characteristics of PVA/Nd2O3 bilayer films are studied by capacitance-voltage ( C- V) and current-voltage ( I- V) curves in the metal-insulator-metal (MIM) structure. We have analysed the output electrical responses and transfer characteristics of the OTFT devices to determine their performance of OTFT parameters. The mobility of 0.94 cm2/Vs, the threshold voltage of - 2.8 V, the current on-off ratio of 6.2 × 105, the subthreshold slope of 0.61 V/decade are evaluated. Low leakage current of the device is observed from current density-electric field ( J- E) curve. The structure and the morphology of the device are studied using X-ray diffraction (XRD) and atomic force microscope (AFM), respectively. The study demonstrates an effective way to realize low-voltage, high-performance OTFTs at low cost.

  9. Application of Dielectric, Ferroelectric and Piezoelectric Thin Film Devices in Mobile Communication and Medical Systems

    NARCIS (Netherlands)

    Klee, M.; Beelen, D.; Keurl, W.; Kiewitt, R.; Kumar, B.; Mauczok, R.; Reimann, K.; Renders, Ch.; Roest, A.; Roozeboom, F.; Steeneken, P.G.; Tiggelman, M.P.J.; Vanhelmont, F.; Wunnicke, O.; Lok, P.; Neumann, K.; Fraser, J.; Schmitz, G.

    2007-01-01

    Dielectric, ferroelectric and piezoelectric thin films are getting more and more attention for next generation mobile communication and medical systems. Thin film technologies based on dielectric, ferroelectric and piezoelectric thin films enable System-in-Package (SiP) devices, resulting in optimal

  10. A novel Graphene Oxide film: Synthesis and Dielectric properties

    Science.gov (United States)

    Canimkurbey, Betul; San, Sait Eren; Yasin, Muhammad; Köse, Muhammet Erkan

    In this work, we used Hummers method to synthesize Graphene Oxide (GO) and its parallel plate impedance spectroscopic technique to investigate dielectric properties. Graphene Oxide films were coated using drop casting method on ITO substrate. To analyze film morphology, atomic force microscopy was used. Dielectrics measurements of the samples were performed using impedance analyzer (HP-4194) in frequency range (100 Hz to 10MHz) at different temperatures. It was observed that the films' AC conductivity σac varied with angular frequency, ω as ωS, with Sdirect current (DC) and Correlated Barrier Hopping (CBH) conductivity mechanisms at low and high frequency ranges, respectively. Using solution processed Graphene Oxide will provide potential for organic electronic applications through its photon absorption and transmittance capability in the visible range and excellent electrical parameters.

  11. Towards the accurate electronic structure descriptions of typical high-constant dielectrics

    Science.gov (United States)

    Jiang, Ting-Ting; Sun, Qing-Qing; Li, Ye; Guo, Jiao-Jiao; Zhou, Peng; Ding, Shi-Jin; Zhang, David Wei

    2011-05-01

    High-constant dielectrics have gained considerable attention due to their wide applications in advanced devices, such as gate oxides in metal-oxide-semiconductor devices and insulators in high-density metal-insulator-metal capacitors. However, the theoretical investigations of these materials cannot fulfil the requirement of experimental development, especially the requirement for the accurate description of band structures. We performed first-principles calculations based on the hybrid density functionals theory to investigate several typical high-k dielectrics such as Al2O3, HfO2, ZrSiO4, HfSiO4, La2O3 and ZrO2. The band structures of these materials are well described within the framework of hybrid density functionals theory. The band gaps of Al2O3, HfO2, ZrSiO4, HfSiO4, La2O3 and ZrO2are calculated to be 8.0 eV, 5.6 eV, 6.2 eV, 7.1 eV, 5.3 eV and 5.0 eV, respectively, which are very close to the experimental values and far more accurate than those obtained by the traditional generalized gradient approximation method.

  12. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  13. Dielectric properties of thin C r2O3 films grown on elemental and oxide metallic substrates

    Science.gov (United States)

    Mahmood, Ather; Street, Michael; Echtenkamp, Will; Kwan, Chun Pui; Bird, Jonathan P.; Binek, Christian

    2018-04-01

    In an attempt to optimize leakage characteristics of α-C r2O3 thin films, its dielectric properties were investigated at local and macroscopic scale. The films were grown on Pd(111), Pt(111), and V2O3 (0001), supported on A l2O3 substrate. The local conductivity was measured by conductive atomic force microscopy mapping of C r2O3 surfaces, which revealed the nature of defects that formed conducting paths with the bottom Pd or Pt layer. A strong correlation was found between these electrical defects and the grain boundaries revealed in the corresponding topographic scans. In comparison, the C r2O3 film on V2O3 exhibited no leakage paths at similar tip bias value. Electrical resistance measurements through e-beam patterned top electrodes confirmed the resistivity mismatch between the films grown on different electrodes. The x-ray analysis attributes this difference to the twin free C r2O3 growth on V2O3 seeding.

  14. Dielectric response of fully and partially depleted ferroelectric thin films and inversion of the thickness effect

    International Nuclear Information System (INIS)

    Misirlioglu, I B; Yildiz, M

    2013-01-01

    We study the effect of full and partial depletion on the dielectric response characteristics of ferroelectric thin films with impurities via a computational approach. Using a thermodynamic approach along with the fundamental equations for semiconductors, we show that films with partial depletion display unique features and an enhanced dielectric response compared with those fully depleted. We find that the capacitance peak at switching can be significantly suppressed in the case of high impurity densities (>10 25 m −3 ) with relatively low ionization energy, of the order of 0.5 eV. For conserved number of species in films, electromigration of ionized impurities at room temperature is negligible and has nearly no effect on the dielectric response. In films with high impurity density, the dielectric response at zero bias is enhanced with respect to charge-free films or those with relatively low impurity density ( 24 m −3 ). We demonstrate that partially depleted films should be expected to exhibit peculiar capacitance–voltage characteristics at low and high bias and that the thickness effect probed in experiments in ferroelectric thin films could be entirely inverted in thin films with depletion charges where a higher dielectric response can be measured in thicker films. Therefore, depletion charge densities in ferroelectric thin films should be estimated before size-effect-related studies. Finally, we noted that these findings are in good qualitative agreement with dielectric measurements carried out on PbZr x Ti 1−x O 3 . (paper)

  15. Investigation of high- k yttrium copper titanate thin films as alternative gate dielectrics

    International Nuclear Information System (INIS)

    Monteduro, Anna Grazia; Ameer, Zoobia; Rizzato, Silvia; Martino, Maurizio; Caricato, Anna Paola; Maruccio, Giuseppe; Tasco, Vittorianna; Lekshmi, Indira Chaitanya; Hazarika, Abhijit; Choudhury, Debraj; Sarma, D D

    2016-01-01

    Nearly amorphous high- k yttrium copper titanate thin films deposited by laser ablation were investigated in both metal–oxide–semiconductor (MOS) and metal–insulator–metal (MIM) junctions in order to assess the potentialities of this material as a gate oxide. The trend of dielectric parameters with film deposition shows a wide tunability for the dielectric constant and AC conductivity, with a remarkably high dielectric constant value of up to 95 for the thick films and conductivity as low as 6  ×  10 −10 S cm −1 for the thin films deposited at high oxygen pressure. The AC conductivity analysis points out a decrease in the conductivity, indicating the formation of a blocking interface layer, probably due to partial oxidation of the thin films during cool-down in an oxygen atmosphere. Topography and surface potential characterizations highlight differences in the thin film microstructure as a function of the deposition conditions; these differences seem to affect their electrical properties. (paper)

  16. Low operating voltage InGaZnO thin-film transistors based on Al2O3 high-k dielectrics fabricated using pulsed laser deposition

    International Nuclear Information System (INIS)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K.; Lee, W. J.; Shin, B. C.; Cho, C. R.

    2014-01-01

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al 2 O 3 dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al 2 O 3 and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al 2 O 3 gate dielectric exhibits a very low leakage current density of 1.3 x 10 -8 A/cm 2 at 5 V and a high capacitance density of 60.9 nF/cm 2 . The IGZO TFT with a structure of Ni/IGZO/Al 2 O 3 /Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm 2 V -1 s -1 , an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10 7 .

  17. PECVD SiO2 dielectric for niobium Josephson IC process

    International Nuclear Information System (INIS)

    Lee, S.Y.; Nandakumar, V.; Murdock, B.; Hebert, D.

    1991-01-01

    PECVD SiO 2 dielectric has been evaluated as an insulator for a Nb-based, all-refractory Josephson integrated circuit process. First, the properties of PECVD SiO 2 films were measured and compared with those of evaporated SiO films. Second, the PECVD SiO 2 dielectric film was used in our Nb-based Josephson integrated circuit process. The main problem was found to be the deterioration of the critical temperature of the superconducting niobium adjacent to the SiO 2 . The cause and a solution of the problem were investigated. Finally, a Josephson integrated sampler circuit was fabricated and tested. This paper shows acceptable junction I-V characteristics and a measured time resolution of a 4.9 ps pulse in liquid helium

  18. A methodology for the preparation of nanoporous polyimide films with low dielectric constants

    International Nuclear Information System (INIS)

    Jiang Lizhong; Liu Jiugui; Wu Dezhen; Li Hangquan; Jin Riguang

    2006-01-01

    A method to generate nanoporous polyimide films with low dielectric constants was proposed. The preparation consisted of two steps. Firstly, a polyimide/silica hybrid film was prepared via sol-gel process. Secondly, the hybrid film was treated with hydrofluoric acid to remove the dispersed silica particles, leaving pores with diameters between 20 and 120 nm, depending on the size of silica particles. Both hybrid and porous films were subjected to a variety of characterizations including transmission electron microscopy observation, dielectric constant measurement and tensile strength measurement

  19. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  20. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  1. Characterization, integration and reliability of HfO2 and LaLuO3 high-κ/metal gate stacks for CMOS applications

    International Nuclear Information System (INIS)

    Nichau, Alexander

    2013-01-01

    . A lower limit found was EOT=5 Aa for Al doping inside TiN. The doping of TiN on LaLuO 3 is proven by electron energy loss spectroscopy (EELS) studies to modify the interfacial silicate layer to La-rich silicates or even reduce the layer. The oxide quality in Si/HfO 2 /TiN gate stacks is characterized by charge pumping and carrier mobility measurements on 3d MOSFETs a.k.a. FinFETs. The oxide quality in terms of the number of interface (and oxide) traps on top- and sidewall of FinFETs is compared for three different annealing processes. A high temperature anneal of HfO 2 improves significantly the oxide quality and mobility. The gate oxide integrity (GOI) of gate stacks below 1 nm EOT is determined by time-dependent dielectric breakdown (TDDB) measurements on FinFETs with HfO 2 /TiN gate stacks. A successful EOT scaling has always to consider the oxide quality and resulting reliability. Degraded oxide quality leads to mobility degradation and earlier soft-breakdown, i.e. leakage current increase.

  2. Influence of Reactive Ion Etching on THz Transmission and Reflection Properties of NiCr Film Deposited on a Dielectric Substrate

    Directory of Open Access Journals (Sweden)

    Jun Gou

    2015-06-01

    Full Text Available Enhanced terahertz (THz absorption of NiCr film deposited on a dielectric substrate has been proven by applying a reactive ion etching (RIE treatment to the dielectric film. Nano – scale nickel – chromium (NiCr thin films are deposited on RIE treated silicon dioxide (SiO2 dielectric substrates to study the transmission and reflection characteristics. Experimental results suggest that both transmission and reflection of NiCr film are weakened by the RIE treatment. The most significant decrease of transmission is observed in 1 ~ 4 THz while that of reflection occurs in 1.7 ~ 2.5 THz band. The decrease of both transmission and reflection is more significant for NiCr film with higher thickness. The RIE treatment, which induces nano – scale surface structures and increases the effective surface area of NiCr film, enhances the absorption and weakens the transmission and reflection of THz radiation.DOI: http://dx.doi.org/10.5755/j01.ms.21.2.6131

  3. Influence of test capacitor features on piezoelectric and dielectric measurement of ferroelectric films.

    Science.gov (United States)

    Wang, Zhihong; Lau, Gih Keong; Zhu, Weiguang; Chao, Chen

    2006-01-01

    This paper presents both theoretical and numerical analyses of the piezoelectric and dielectric responses of a highly idealized film-on-substrate system, namely, a polarized ferroelectric film perfectly bonded to an elastic silicon substrate. It shows that both effective dielectric and piezoelectric properties of the films change with the size and configuration of the test capacitor. There exists a critical electrode size that is smaller than the diameter of the commonly used substrate. The effective film properties converge to their respective constrained values as capacitor size increases to the critical size. If capacitor size is smaller than the critical size, the surface displacement at the top electrode deviates from the net thickness change in response to an applied voltage because the film is deformable at the film/substrate interface. The constrained properties of the films depend only on those of bulk ferroelectrics but are independent of film thickness and substrate properties. The finding of the critical capacitor size together with analytical expressions of the constrained properties makes it possible to realize consistent measurement of piezoelectric and dielectric properties of films. A surface scanning technique is recommended to measure the profile of piezoresponses of the film so that the constrained properties of the film can be identified accurately.

  4. Effect of La doping on crystalline orientation, microstructure and dielectric properties of PZT thin films

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Wencai; Li, Qi; Wang, Xing [Dalian Univ. of Technology, Dalian (China). School of Mechanical Engineering; Yin, Zhifu [Jilin Univ., Changchun (China). Faculty of the School of Mechanical Science and Engineering; Zou, Helin [Dalian Univ. of Technology, Dalian (China). Key Lab. for Micro/Nano Systems and Technology

    2017-11-01

    Lanthanum (La)-modified lead zirconate titanate (PLZT) thin films with doping concentration from 0 to 5 at.-% have been fabricated by sol-gel methods to investigate the effects of La doping on crystalline orientation, microstructure and dielectric properties of the modified films. The characterization of PLZT thin films were performed by X-ray diffractometry (XRD), scanning electron microscopy (SEM) and precision impedance analysis. XRD analysis showed that PLZT films with La doping concentration below 4 at.-% exhibited (100) preferred orientation. SEM results indicated that PLZT films presented dense and columnar microstructures when La doping concentration was less than 3 at.-%, while the others showed columnar microstructures only at the bottom of the cross section. The maximum dielectric constant (1502.59 at 100 Hz) was obtained in a 2 at.-% La-doped film, which increased by 53.9 % compared with undoped film. Without introducing a seed layer, (100) oriented PLZT thin films were prepared by using conventional heat treatment process and adjusting La doping concentration.

  5. Impacts of post-metallization annealing on the memory performance of Ti/HfO2-based resistive memory

    International Nuclear Information System (INIS)

    Chen, Pang-Shiu; Chen, Yu-Sheng; Lee, Heng-Yuan

    2013-01-01

    Impacts of post-metallization annealing (PMA) on bipolar resistance switching of Ti/HfO x stacked films were investigated. A Ti capping film as a scavenging layer with assistance of PMA is used to tune the dielectric strength of the 10-nm-thick HfO x layer. The polycrystalline microstructure of 10-nm-thick HfO x seems immune to the temperature of PMA in this work. The initial resistance and forming voltage in the Ti/HfO x devices mitigate as the increment of the annealing temperature. With enough annealing temperature (>450 °C), the device shows a good on/off ratio, high temperature operation ability and robust endurance (>10 6 cycles). Through the reaction between Ti and HfO x at 500 °C, the abundant oxygen ions are depleted from the insulator and the left charge-defects building conductive percolative paths in the dielectric layer. The operation-polarity independence of the form-free HfO x device in initial state is demonstrated. The forming-free memory with initial low resistance of 800 Ω at 0.1 V can be operated with stable bipolar resistance switching via initially positive or negative voltage sweep. The formless device with 10 nm thick HfO x also exhibits excellent nonvolatile memory performances, including enough on/off ratio, improved HRS uniformity and good high temperature retention (3 × 10 4 s at 200 °C). The results of this work suggest that the PMA temperature will affect the memory window and cycling reliability of the Ti/HfO x -based resistive memory. Optimum temperature (450 °C) will improve the memory performance of the Ti/HfO x stacked layer. (paper)

  6. Flexible Ultrahigh-Temperature Polymer-Based Dielectrics with High Permittivity for Film Capacitor Applications

    Directory of Open Access Journals (Sweden)

    Zejun Pu

    2017-11-01

    Full Text Available In this report, flexible cross-linked polyarylene ether nitrile/functionalized barium titanate(CPEN/F-BaTiO3 dielectrics films with high permittivitywere prepared and characterized. The effects of both the F-BaTiO3 and matrix curing on the mechanical, thermal and dielectric properties of the CPEN/F-BaTiO3 dielectric films were investigated in detail. Compared to pristine BaTiO3, the surface modified BaTiO3 particles effectively improved their dispersibility and interfacial adhesion in the polymer matrix. Moreover, the introduction of F-BaTiO3 particles enhanced dielectric properties of the composites, with a relatively high permittivity of 15.2 and a quite low loss tangent of 0.022 (1 kHz when particle contents of 40 wt % were utilized. In addition, the cyano (–CN groups of functional layer also can serve as potential sites for cross-linking with polyarylene ether nitrile terminated phthalonitrile (PEN-Ph matrix and make it transform from thermoplastic to thermosetting. Comparing with the pure PEN-ph film, the latter results indicated that the formation of cross-linked network in the polymer-based system resulted in increased tensile strength by ~67%, improved glass transition temperature (Tg by ~190 °C. More importantly, the CPEN/F-BaTiO3 composite films filled with 30 wt % F-BaTiO3 particles showed greater energy density by nearly 190% when compared to pure CPEN film. These findings enable broader applications of PEN-based composites in high-performance electronics and energy storage devices materials used at high temperature.

  7. Dielectric Scattering Patterns for Efficient Light Trapping in Thin-Film Solar Cells.

    Science.gov (United States)

    van Lare, Claire; Lenzmann, Frank; Verschuuren, Marc A; Polman, Albert

    2015-08-12

    We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.

  8. Shellac Films as a Natural Dielectric Layer for Enhanced Electron Transport in Polymer Field-Effect Transistors.

    Science.gov (United States)

    Baek, Seung Woon; Ha, Jong-Woon; Yoon, Minho; Hwang, Do-Hoon; Lee, Jiyoul

    2018-06-06

    Shellac, a natural polymer resin obtained from the secretions of lac bugs, was evaluated as a dielectric layer in organic field-effect transistors (OFETs) on the basis of donor (D)-acceptor (A)-type conjugated semiconducting copolymers. The measured dielectric constant and breakdown field of the shellac layer were ∼3.4 and 3.0 MV/cm, respectively, comparable with those of a poly(4-vinylphenol) (PVP) film, a commonly used dielectric material. Bottom-gate/top-contact OFETs were fabricated with shellac or PVP as the dielectric layer and one of three different D-A-type semiconducting copolymers as the active layer: poly(cyclopentadithiophene- alt-benzothiadiazole) with p-type characteristics, poly(naphthalene-bis(dicarboximide)- alt-bithiophene) [P(NDI2OD-T2)] with n-type characteristics, and poly(dithienyl-diketopyrrolopyrrole- alt-thienothiophene) [P(DPP2T-TT)] with ambipolar characteristics. The electrical characteristics of the fabricated OFETs were then measured. For all active layers, OFETs with a shellac film as the dielectric layer exhibited a better mobility than those with PVP. For example, the mobility of the OFET with a shellac dielectric and n-type P(NDI2OD-T2) active layer was approximately 2 orders of magnitude greater than that of the corresponding OFET with a PVP insulating layer. When P(DPP2T-TT) served as the active layer, the OFET with shellac as the dielectric exhibited ambipolar characteristics, whereas the corresponding OFET with the PVP dielectric operated only in hole-accumulation mode. The total density of states was analyzed using technology computer-aided design simulations. The results revealed that compared with the OFETs with PVP as the dielectric, the OFETs with shellac as the dielectric had a lower trap-site density at the polymer semiconductor/dielectric interface and much fewer acceptor-like trap sites acting as electron traps. These results demonstrate that shellac is a suitable dielectric material for D-A-type semiconducting

  9. ac conductivity and dielectric properties of amorphous Se80Te20-xGex chalcogenide glass film compositions

    International Nuclear Information System (INIS)

    Hegab, N.A.; Afifi, M.A.; Atyia, H.E.; Farid, A.S.

    2009-01-01

    Thin films of the prepared Se 80 Te 20-x Ge x (x = 5, 7 and 10 at.%) were prepared by thermal evaporation technique. X-ray diffraction patterns showed that the films were in amorphous state. The ac conductivity and dielectric properties of the investigated film compositions were studied in the frequency range 0.1-100 kHz and in temperature range (303-373 K). The experimental results indicated that the ac conductivity and the dielectric properties depended on the temperature and frequency. The ac conductivity is found to obey the ω s law, in accordance with the hopping model, s is found to be temperature dependent (s 1 and dielectric loss ε 2 were found to decrease with frequency and increase with temperature. The maximum barrier height W m , calculated from dielectric measurements according to Guintini equation, agrees with that proposed by the theory of hopping over potential barrier as suggested by Elliott in case of chalcogenide glasses. The density of localized states was estimated for the studied film compositions. The variation of the studied properties with Ge content was also investigated.

  10. Improved dielectric functions in metallic films obtained via template stripping

    Science.gov (United States)

    Hyuk Park, Jong; Nagpal, Prashant; Oh, Sang-Hyun; Norris, David J.

    2012-02-01

    We compare the dielectric functions of silver interfaces obtained via thermal evaporation with those obtained with template stripping. Ellipsometry measurements show that the smoother template-stripped surfaces exhibit effective dielectric functions with a more negative real component and a smaller imaginary component, implying higher conductivity and less energy loss, respectively. These results agree with the relation between dielectric function and surface roughness derived from combining the effective-medium model and the Drude-Lorentz model. The improvement in the effective dielectric properties shows that metallic films prepared via template stripping can be favorable for applications in electronics, nanophotonics, and plasmonics.

  11. Tunable dielectric properties of Barium Magnesium Niobate (BMN) doped Barium Strontium Titanate (BST) thin films by magnetron sputtering

    Science.gov (United States)

    Alema, Fikadu; Reinholz, Aaron; Pokhodnya, Konstantin

    2013-03-01

    We report on the tunable dielectric properties of Mg and Nb co-doped Ba0.45Sr0.55TiO3 (BST) thin film prepared by the magnetron sputtering using BST target (pure and doped with BaMg0.33Nb0.67O3 (BMN)) on Pt/TiO2/SiO2/Al2O3 4'' wafers at 700 °C under oxygen atmosphere. The electrical measurements are conducted on 2432 metal-ferroelectric-metal capacitors using Pt as the top and bottom electrode. The crystalline structure, microstructure, and surface morphology of the films are analyzed and correlated to the films dielectric properties. The BMN doped and undoped BST films have shown tunabilities of 48% and 52%; and leakage current densities of 2.2x10-6 A/cm2 and 3.7x10-5 A/cm2, respectively at 0.5 MV/cm bias field. The results indicate that the BMN doped film exhibits a lower leakage current with no significant decrease in tunability. Due to similar electronegativity and ionic radii, it was suggested that both Mg2+ (accepter-type) and Nb5+ (donor-type) dopants substitutTi4+ ion in BST. The improvement in the film dielectric losses and leakage current with insignificant loss of tunability is attributed to the adversary effects of Mg2+ and Nb5+ in BST.

  12. Adjustable threshold-voltage in all-inkjet-printed organic thin film transistor using double-layer dielectric structures

    International Nuclear Information System (INIS)

    Wu, Wen-Jong; Lee, Chang-Hung; Hsu, Chun-Hao; Yang, Shih-Hsien; Lin, Chih-Ting

    2013-01-01

    An all-inkjet-printed organic thin film transistor (OTFT) with a double-layer dielectric structure is proposed and implemented in this study. By using the double-layer structure with different dielectric materials (i.e., polyvinylphenol with poly(vinylidene fluoride-co-hexafluoropropylene)), the threshold-voltage of OTFT can be adjusted. The threshold-voltage shift can be controlled by changing the composition of dielectric layers. That is, an enhancement-mode OTFT can be converted to a depletion-mode OTFT by selectively printing additional dielectric layers to form a high-k/low-k double-layer structure. The printed OTFT has a carrier mobility of 5.0 × 10 −3 cm 2 /V-s. The threshold-voltages of the OTFTs ranged between − 13 V and 10 V. This study demonstrates an additional design parameter for organic electronics manufactured using inkjet printing technology. - Highlights: • A double-layer dielectric organic thin film transistor, OTFT, is implemented. • The threshold voltage of OTFT can be configured by the double dielectric structure. • The composition of the dielectric determines the threshold voltage shift. • The characteristics of OTFTs can be adjusted by double dielectric structures

  13. Enhancement of Endurance in HfO2-Based CBRAM Device by Introduction of a TaN Diffusion Blocking Layer

    KAUST Repository

    Chand, Umesh

    2017-08-05

    We propose a new method to improve resistive switching properties in HfO2 based CBRAM crossbar structure device by introducing a TaN thin diffusion blocking layer between the Cu top electrode and HfO2 switching layer. The Cu/TaN/HfO2/TiN device structure exhibits high resistance ratio of OFF/ON states without any degradation in switching during endurance test. The improvement in the endurance properties of the Cu/TaN/HfO2/TiN CBRAM device is thus attributed to the relatively low amount of Cu migration into HfO2 switching layer.

  14. The Impact of Dielectric Material and Temperature on Dielectric Charging in RF MEMS Capacitive Switches

    Science.gov (United States)

    Papaioannou, George

    The present work attempts to provide a better insight on the dielectric charging in RF-MEMS capacitive switches that constitutes a key issue limiting parameter of their commercialization. The dependence of the charging process on the nature of dielectric materials widely used in these devices, such as SiO2, Si3N4, AlN, Al2O3, Ta2O5, HfO2, which consist of covalent or ionic bonds and may exhibit piezoelectric properties is discussed taking into account the effect of deposition conditions and resulting material stoichiometry. Another key issue parameter that accelerates the charging and discharging processes by providing enough energy to trapped charges to be released and to dipoles to overcome potential barriers and randomize their orientation is the temperature will be investigated too. Finally, the effect of device structure will be also taken into account.

  15. Future directions of positron annihilation spectroscopy in low-k dielectric films

    International Nuclear Information System (INIS)

    Gidley, D.W.; Vallery, R.S.; Liu, M.; Peng, H.G.

    2007-01-01

    Positronium Annihilation Lifetime Spectroscopy (PALS) has become recognized in the microelectronics industry as one of only several methods capable of quantitatively characterizing engineered nanopores in next-generation (k < 2.2) interlayer dielectric (ILD) thin films. Successes and shortcomings of PALS to date will be assessed and compared with other methods of porosimetry such as ellipsometric and X-ray porosimetries (EP and XRP). A major theme in future low-k research focuses on the ability to integrate porous ILD's into chip fabrication; the vulnerability of porous dielectrics to etching, ashing, and chemical-mechanical polishing in process integration is delaying the introduction of ultra-low-k films. As device size approaches 45 nm the need to probe very small (sub-nanometer), semi-isolated pores beneath thin diffusion barriers is even more challenging. Depth-profiled PALS with its ability to determine a quantitative pore interconnection length and easily resolve 0.3 nm pores beneath diffusion barriers or in trench-patterned dielectrics should have a bright future in porous ILD research. The ability of PALS (and PAS in general) to deduce evolution and growth of pores with porosity should find broad applicability in the emerging field of high performance materials with strategically engineered nanopores. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Interface and oxide traps in high-κ hafnium oxide films

    International Nuclear Information System (INIS)

    Wong, H.; Zhan, N.; Ng, K.L.; Poon, M.C.; Kok, C.W.

    2004-01-01

    The origins of the interface trap generation and the effects of thermal annealing on the interface and bulk trap distributions are studied in detail. We found that oxidation of the HfO 2 /Si interface, removal of deep trap centers, and crystallization of the as-deposited film will take place during the post-deposition annealing (PDA). These processes will result in the removal of interface traps and deep oxide traps and introduce a large amount of shallow oxide traps at the grain boundaries of the polycrystalline film. Thus, trade-off has to be made in considering the interface trap density and oxide trap density when conducting PDA. In addition, the high interface trap and oxide trap densities of the HfO 2 films suggest that we may have to use the SiO 2 /HfO 2 stack or hafnium silicate structure for better device performance

  17. Electrical properties of nano-resistors made from the Zr-doped HfO2 high-k dielectric film

    Science.gov (United States)

    Zhang, Shumao; Kuo, Yue

    2018-03-01

    Electrical properties of nano-sized resistors made from the breakdown of the metal-oxide-semiconductor capacitor composed of the amorphous high-k gate dielectric have been investigated under different stress voltages and temperatures. The effective resistance of nano-resistors in the device was estimated from the I-V curve in the high voltage range. It decreased with the increase of the number of resistors. The resistance showed complicated temperature dependence, i.e. it neither behaves like a conductor nor a semiconductor. In the low voltage operation range, the charge transfer was controlled by the Schottky barrier at the nano-resistor/Si interface. The barrier height decreased with the increase of stress voltage, which was probably caused by the change of the nano-resistor composition. Separately, it was observed that the barrier height was dependent on the temperature, which was probably due to the dynamic nano-resistor formation process and the inhomogeneous barrier height distribution. The unique electrical characteristics of this new type of nano-resistors are important for many electronic and optoelectronic applications.

  18. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition.

    Science.gov (United States)

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-06-17

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

  19. Analytical Modeling of Triple-Metal Hetero-Dielectric DG SON TFET

    Science.gov (United States)

    Mahajan, Aman; Dash, Dinesh Kumar; Banerjee, Pritha; Sarkar, Subir Kumar

    2018-02-01

    In this paper, a 2-D analytical model of triple-metal hetero-dielectric DG TFET is presented by combining the concepts of triple material gate engineering and hetero-dielectric engineering. Three metals with different work functions are used as both front- and back gate electrodes to modulate the barrier at source/channel and channel/drain interface. In addition to this, front gate dielectric consists of high-K HfO2 at source end and low-K SiO2 at drain side, whereas back gate dielectric is replaced by air to further improve the ON current of the device. Surface potential and electric field of the proposed device are formulated solving 2-D Poisson's equation and Young's approximation. Based on this electric field expression, tunneling current is obtained by using Kane's model. Several device parameters are varied to examine the behavior of the proposed device. The analytical model is validated with TCAD simulation results for proving the accuracy of our proposed model.

  20. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  1. Prediction of ultraviolet-induced damage during plasma processes in dielectric films using on-wafer monitoring techniques

    International Nuclear Information System (INIS)

    Ishikawa, Yasushi; Katoh, Yuji; Okigawa, Mitsuru; Samukawa, Seiji

    2005-01-01

    We measured electron-hole pairs generated in dielectric film using our developed on-wafer monitoring technique to detect electrical currents in the film during the plasma etching processes. The electron-hole pairs were generated by plasma induced ultraviolet (UV) photons, and the number of electron-hole pairs depends on the UV wavelength. In SiO 2 film, UV light, which has a wavelength of less than 140 nm, generates electron-hole pairs, because the band gap energy of the film is 8.8 eV. On the other hand, in Si 3 N 4 film, which has a band gap energy level of 5.0 eV, UV light below 250 nm induces the electron-hole pairs. Additionally, we evaluated the fluorocarbon gas plasma process that induces UV radiation damage using multilayer sensors that consisted of both SiO 2 and Si 3 N 4 stacked films. In these cases, electron-hole pair generation depended on the dielectric film structure. There were more electron-hole pairs generated in the SiO 2 deposited on the Si 3 N 4 film than in the Si 3 N 4 deposited on the SiO 2 film. As a result, our developed on-wafer monitoring sensor was able to predict electron-hole pair generation and the device characteristics

  2. Interfacial microstructure of NiSi x/HfO2/SiO x/Si gate stacks

    International Nuclear Information System (INIS)

    Gribelyuk, M.A.; Cabral, C.; Gusev, E.P.; Narayanan, V.

    2007-01-01

    Integration of NiSi x based fully silicided metal gates with HfO 2 high-k gate dielectrics offers promise for further scaling of complementary metal-oxide- semiconductor devices. A combination of high resolution transmission electron microscopy and small probe electron energy loss spectroscopy (EELS) and energy dispersive X-ray analysis has been applied to study interfacial reactions in the undoped gate stack. NiSi was found to be polycrystalline with the grain size decreasing from top to bottom of NiSi x film. Ni content varies near the NiSi/HfO x interface whereby both Ni-rich and monosilicide phases were observed. Spatially non-uniform distribution of oxygen along NiSi x /HfO 2 interface was observed by dark field Scanning Transmission Electron Microscopy and EELS. Interfacial roughness of NiSi x /HfO x was found higher than that of poly-Si/HfO 2 , likely due to compositional non-uniformity of NiSi x . No intermixing between Hf, Ni and Si beyond interfacial roughness was observed

  3. Habituation/Fatigue behavior of a synapse memristor based on IGZO-HfO2 thin film.

    Science.gov (United States)

    Jiang, Ran; Ma, Pengfei; Han, Zuyin; Du, Xianghao

    2017-08-24

    A synaptic memristor based on IGZO and oxygen-deficient HfO 2 films has been demonstrated. The memristor exhibits a fatigue response to a monotonic stimulus of voltage pulses, which is analogous to the habituation behavior of biological memory. The occurrence of habituation is nearly simultaneous with the transition from short-term memory to long-term memory. The movement and redistribution of oxygen species with the assistance of polarization in HfO 2 layer are responsible for the above results. The observation of habituation behavior proves the potential prospect of memristor on the mimic of biological neuron.

  4. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  5. Perovskite oxynitride LaTiO{sub x}N{sub y} thin films: Dielectric characterization in low and high frequencies

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Y.; Ziani, A. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Le Paven-Thivet, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Fasquelle, D. [Laboratoire d' Etude des Materiaux et des Composants pour l' Electronique (LEMCEL) UPRES-EA 2601, University of Littoral-Cote d' Opale, 50 rue Ferdinand Buisson, F-62228 Calais cedex (France); Kassem, H. [Laboratoire de l' Integration du Materiau au Systeme(IMS) UMR-CNRS 5218, groupe Materiaux, University of Bordeaux 1, 16 avenue Pey-Berland, 33607 Pessac (France); and others

    2011-11-01

    Lanthanum titanium oxynitride (LaTiO{sub x}N{sub y}) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO{sub x}N{sub y} thin films deposited on conductive single crystal Nb-STO show a dielectric constant {epsilon} Prime Almost-Equal-To 140 with low losses tan{delta} = 0.012 at 100 kHz. For the LaTiO{sub x}N{sub y} polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO{sub 2}/Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO{sub x}N{sub y} films deposited on MgO substrate present a high dielectric constant with low losses ({epsilon} Prime Almost-Equal-To 170, tan{delta} = 0.011, 12 GHz).

  6. Inkjet-printed thin film radio-frequency capacitors based on sol-gel derived alumina dielectric ink

    KAUST Repository

    McKerricher, Garret

    2017-05-03

    There has been significant interest in printing radio frequency passives, however the dissipation factor of printed dielectric materials has limited the quality factor achievable. Al2O3 is one of the best and widely implemented dielectrics for RF passive electronics. The ability to spatially pattern high quality Al2O3 thin films using, for example, inkjet printing would tremendously simplify the incumbent fabrication processes – significantly reducing cost and allowing for the development of large area electronics. To-date, particle based Al2O3 inks have been explored as dielectrics, although several drawbacks including nozzle clogging and grain boundary formation in the films hinder progress. In this work, a particle free Al2O3 ink is developed and demonstrated in RF capacitors. Fluid and jetting properties are explored, along with control of ink spreading and coffee ring suppression. The liquid ink is heated to 400 °C decomposing to smooth Al2O3 films ~120 nm thick, with roughness of <2 nm. Metal-insulator-metal capacitors, show high capacitance density >450 pF/mm2, and quality factors of ~200. The devices have high break down voltages, >25 V, with extremely low leakage currents, <2×10−9 A/cm2 at 1 MV/cm. The capacitors compare well with similar Al2O3 devices fabricated by atomic layer deposition.

  7. Ultralow-k nanoporous organosilicate dielectric films imprinted with dendritic spheres.

    Science.gov (United States)

    Lee, Byeongdu; Park, Young-Hee; Hwang, Yong-Taek; Oh, Weontae; Yoon, Jinhwan; Ree, Moonhor

    2005-02-01

    Integrated circuits that have improved functionality and speed in a smaller package and that consume less power are desired by the microelectronics industry as well as by end users, to increase device performance and reduce costs. The fabrication of high-performance integrated circuits requires the availability of materials with low or ultralow dielectric constant (low-k: k noise in interconnect conductors, but also minimize power dissipation by reducing the capacitance between the interconnects. Here we describe the preparation of low- and ultralow-k nanoporous organosilicate dielectrics from blends of polymethylsilsesquioxane (PMSSQ) precursor with globular ethyl acrylate-terminated polypropylenimine dendrimers, which act as porogens. These dendrimers are found to mix well with the PMSSQ precursor and after their sacrificial thermal decompositions result in closed, spherical pores of <2.0 nm radius with a very narrow distribution even at high loading. This pore size and distribution are the smallest and the narrowest respectively ever achieved in porous spin-on dielectrics. The method therefore successfully delivers low- and ultralow-k PMSSQ dielectric films that should prove very useful in advanced integrated circuits.

  8. Effects of B2O3-Li2O additions on the dielectric properties of screen printing Ba0.6Sr0.4TiO3 thick films

    International Nuclear Information System (INIS)

    Zeng, Yike; Gao, Can; Zhang, Guangzu; Jiang, Shenglin

    2012-01-01

    Ba 0.6 Sr 0.4 TiO 3 (BST) thick films were fabricated on Al 2 O 3 substrate via the screen printing technology by using B 2 O 3 -Li 2 O additions as liquid-phase sintering aids. The effects of doping of B 2 O 3 and Li 2 CO 3 on the phase compositions, microstructures, and dielectric tunable properties of the thick films were investigated systematically. The X-ray diffraction patterns showed that BST diffraction peaks shifted toward higher angle with the B 2 O 3 -Li 2 O doping content, which indicated the substitution of B 3+ and Li + in Ba 2+ site. It was also found that the grain size and electrical properties of the thick film were strongly affected by the glass content. The grain size and the relative permittivity decreased obviously with the increase of B 2 O 3 -Li 2 O additive. In addition, for the thick film with 4.5 wt% glass content, optimized sintering, and electrical properties were obtained: the sintering temperature of 900 C, relative permittivity of 312 (at 10 kHz), dielectric loss of 0.0039, tunability of 16.2% (at 3 kV/mm). These good sintering and electrical properties indicate that BST thick film with B 2 O 3 -Li 2 O addition is benefit for the development of LTCC technology and tunable devices. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Preparation and dielectric properties of compositionally graded lead barium zirconate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Xihong, E-mail: xhhao@imust.edu.c [Functional Materials Research Laboratory, Tongji University, Shanghai 200092 (China); School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhang, Zhiqing [School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhou, Jing [State Key Laboratory of Advanced Technology for Materials Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); An, Shengli [School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhai, Jiwei [Functional Materials Research Laboratory, Tongji University, Shanghai 200092 (China)

    2010-07-09

    Both up and down compositionally graded (Pb{sub 1-x}Ba{sub x})ZrO{sub 3} (PBZ) thin films with increasing x from 0.4 to 0.6 were deposited on Pt(1 1 1)-buffer layered silicon substrates through a sol-gel method. The microstructure and dielectric properties of graded PBZ thin films were investigated systemically. X-ray diffraction patterns confirmed that both PBZ films had crystallized into a pure perovskite phase after annealed 700 {sup o}C. Electrical measurement results showed that although up graded films had a slightly larger tunability, dielectric loss of down graded films was much lower than that of up graded films. Therefore, the figure of merit of down graded PBZ films was greatly enhanced, as compared with up graded films. Moreover, down graded PBZ thin films also displayed excellent temperature stability with a smaller temperature coefficient of capacitance (TCC) of -0.59 x 10{sup -3} {sup o}C{sup -1} from 20 {sup o}C to 80 {sup o}C.

  10. Synthesis and characterization of hafnium oxide for luminescent applications

    International Nuclear Information System (INIS)

    Guzman Mendoza, J.; Aguilar Frutis, M.A.; Flores, G. Alarcon; Garcia Hipolito, M.; Azorin Nieto, J.; Rivera Montalvo, T.; Falcony, C.

    2008-01-01

    Full text: Hafnium oxide (HfO 2 ) is a material with a wide range of possible technological applications because it's chemical and physical properties such as high melting point, high chemical stability, high refraction index, high dielectric constant and hardness near to diamond in the tetragonal phase. The large energy gap and low phonon frequencies of the HfO 2 makes it appropriate as a host matrix for been doped with rare earth activators. Efficient luminescent materials find wide application in electroluminescent flat panel displays; color plasma displays panels, scintillators, cathode ray tubes, fluorescent lamps, lasers, etc. In recent years the study of luminescent materials based on HfO 2 has been intensified. Some groups have studied the optical properties of doped and undoped HfO 2 . In this contribution, Hafnium Oxide (HfO 2 ) films were prepared using the spray pyrolysis deposition technique. The material was synthesized using chlorides as raw materials in deionised water as solvent and deposited on Corning glass substrates at temperatures from 300 deg C to 600 deg C. For substrate temperatures lower than 400 deg C, the deposited films are amorphous, while for substrate temperatures higher than 450 deg C, the monoclinic phase of HfO 2 appears. Scanning electron microscopy with microprobe analysis was use to observe the microstructure and obtain the chemical composition of the films; rough surfaces with spherical particles were appreciated. UV and low energy X Ray radiations were used in order to achieve the thermoluminescent characterization of the films as a function of the deposition temperature

  11. Dielectric properties of Ca(Zr0.05Ti0.95)O3 thin films prepared by chemical solution deposition

    International Nuclear Information System (INIS)

    Cavalcante, L.S.; Simoes, A.Z.; Santos, L.P.S.; Santos, M.R.M.C.; Longo, E.; Varela, J.A.

    2006-01-01

    Ca(Zr 0.05 Ti 0.95 )O 3 (CZT) thin films were grown on Pt(111)/Ti/SiO 2 /Si(100) substrates by the soft chemical method. The films were deposited from spin-coating technique and annealed at 928K for 4h under oxygen atmosphere. CZT films present orthorhombic structure with a crack free and granular microstructure. Atomic force microscopy and field-emission scanning electron microscopy showed that CZT present grains with about 47nm and thickness about 450nm. Dielectric constant and dielectric loss of the films was approximately 210 at 100kHz and 0.032 at 1MHz. The Au/CZT/Pt capacitor shows a hysteresis loop with remnant polarization of 2.5μC/cm 2 , and coercive field of 18kV/cm, at an applied voltage of 6V. The leakage current density was about 4.6x10 -8 A/cm 2 at 3V. Dielectric constant-voltage curve is located at zero bias field suggesting the absence of internal electric fields

  12. The influence of thermal treatment on the phase development in HfO2-Al2O3 and ZrO2-Al2O3 systems

    International Nuclear Information System (INIS)

    Stefanic, G.; Music, S.; Trojko, R.

    2005-01-01

    Amorphous precursors of HfO 2 -AlO 1.5 and ZrO 2 -AlO 1.5 systems covering the whole concentration range were co-precipitated from aqueous solutions of the corresponding salts. The thermal behaviour of the amorphous precursors was examined by differential thermal analysis, X-ray powder diffraction (XRD), laser Raman spectroscopy and scanning electron microscopy. The crystallization temperature of both systems increased with increase in the AlO 1.5 content, from 530 to 940 deg. C in the HfO 2 -AlO 1.5 system, and from 405 to 915 deg. C in the ZrO 2 -AlO 1.5 system. The results of phase analysis indicate an extended capability for the incorporation of Al 3+ ions in the metastable HfO 2 - and ZrO 2 -type solid solutions obtained after crystallization of amorphous co-gels. Precise determination of lattice parameters, performed using whole-powder-pattern decomposition method, showed that the axial ratio c f /a f in the ZrO 2 - and HfO 2 -type solid solutions with 10 mol% or more of Al 3+ approach 1. The tetragonal symmetry of these samples, as determined by laser Raman spectroscopy, was attributed to the displacement of the oxygen sublattice from the ideal fluorite positions. It was found that the lattice parameters of the ZrO 2 -type solid solutions decreased with increasing Al 3+ content up to ∼10 mol%, whereas above 10 mol%, further increase of the Al 3+ content has very small influence on the unit-cell volume of both HfO 2 - and ZrO 2 -type solid solutions. The reason for such behaviour was discussed. The solubility of Hf 4+ and Zr 4+ ions in the aluminium oxides lattice appeared to be negligible

  13. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    Science.gov (United States)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  14. Study on chitosan film properties as a green dielectric

    Science.gov (United States)

    Nainggolan, I.; Nasution, T. I.; Putri, S. R. E.; Azdena, D.; Balyan, M.; Agusnar, H.

    2018-02-01

    Chitosan film dielectrics to produce an electrostatic capacitor were prepared by the solution cast technique. The charging and discharging of the capacitor were done using RC series circuit with DC voltage supply because chitosan has bipolar properties. First testing was by varying supply voltage of 1, 3, 5, 10 and 15 V, respectively, and could be determined that the most effective voltage for chitosan film can be well polarised is 5 V. The results of second testing for the use of 5 V supply showed that the capacitance of a chitosan film capacitor decreased with the increase in load value. For loads of 100, 1K, 10K, 100K and 1M Ω, the capacitance values of the chitosan film capacitor were 3.1725, 0.4136, 0.05379, 0.007917 and 0.001522 F, respectively. It was also found that the increase in voltage of the capacitor at charging process was faster for the lower load. Therefore, the research result has corresponded to the general formula that used to calculate the capacitance value and thus, the biopolymer chitosan has potential as a sustainable green dielectric.

  15. The effects of strain relaxation on the dielectric properties of epitaxial ferroelectric Pb(Zr0.2Ti0.8)TiO3 thin films

    Science.gov (United States)

    Khan, Asif Islam; Yu, Pu; Trassin, Morgan; Lee, Michelle J.; You, Long; Salahuddin, Sayeef

    2014-07-01

    We study the effects of strain relaxation on the dielectric properties of epitaxial 40 nm Pb(Zr0.2Ti0.8)TiO3 (PZT) films. A significant increase in the defect and dislocation density due to strain relaxation is observed in PZT films with tetragonality c/a fatigue in ferroelectric materials.

  16. First principle simulations on the effects of oxygen vacancy in HfO2-based RRAM

    Directory of Open Access Journals (Sweden)

    Yuehua Dai

    2015-01-01

    Full Text Available HfO2-based resistive random access memory (RRAM takes advantage of oxygen vacancy (V o defects in its principle of operation. Since the change in resistivity of the material is controlled by the level of oxygen deficiency in the material, it is significantly important to study the performance of oxygen vacancies in formation of conductive filament. Excluding effects of the applied voltage, the Vienna ab initio simulation package (VASP is used to investigate the orientation and concentration mechanism of the oxygen vacancies based on the first principle. The optimal value of crystal orientation [010] is identified by means of the calculated isosurface plots of partial charge density, formation energy, highest isosurface value, migration barrier, and energy band of oxygen vacancy in ten established orientation systems. It will effectively influence the SET voltage, forming voltage, and the ON/OFF ratio of the device. Based on the results of orientation dependence, different concentration models are established along crystal orientation [010]. The performance of proposed concentration models is evaluated and analyzed in this paper. The film is weakly conductive for the samples deposited in a mixture with less than 4.167at.% of V o contents, and the resistive switching (RS phenomenon cannot be observed in this case. The RS behavior improves with an increase in the V o contents from 4.167at.% to 6.25at.%; nonetheless, it is found difficult to switch to a stable state. However, a higher V o concentration shows a more favorable uniformity and stability for HfO2-based RRAM.

  17. Dielectric properties of BaMg1/3Nb2/3O3 doped Ba0.45Sr0.55Tio3 thin films for tunable microwave applications

    Science.gov (United States)

    Alema, Fikadu; Pokhodnya, Konstantin

    2015-11-01

    Ba(Mg1/3Nb2/3)O3 (BMN) doped and undoped Ba0.45Sr0.55TiO3 (BST) thin films were deposited via radio frequency magnetron sputtering on Pt/TiO2/SiO2/Al2O3 substrates. The surface morphology and chemical state analyses of the films have shown that the BMN doped BST film has a smoother surface with reduced oxygen vacancy, resulting in an improved insulating properties of the BST film. Dielectric tunability, loss, and leakage current (LC) of the undoped and BMN doped BST thin films were studied. The BMN dopant has remarkably reduced the dielectric loss (˜38%) with no significant effect on the tunability of the BST film, leading to an increase in figure of merit (FOM). This is attributed to the opposing behavior of large Mg2+ whose detrimental effect on tunability is partially compensated by small Nb5+ as the two substitute Ti4+ in the BST. The coupling between MgTi″ and VO•• charged defects suppresses the dielectric loss in the film by cutting electrons from hopping between Ti ions. The LC of the films was investigated in the temperature range of 300-450K. A reduced LC measured for the BMN doped BST film was correlated to the formation of defect dipoles from MgTi″, VO•• and NbTi• charged defects. The carrier transport properties of the films were analyzed in light of Schottky thermionic emission (SE) and Poole-Frenkel (PF) emission mechanisms. The result indicated that while the carrier transport mechanism in the undoped film is interface limited (SE), the conduction in the BMN doped film was dominated by bulk processes (PF). The change of the conduction mechanism from SE to PF as a result of BMN doping is attributed to the presence of uncoupled NbTi• sitting as a positive trap center at the shallow donor level of the BST.

  18. Physical property improvement of IZTO thin films using a hafnia buffer layer

    Science.gov (United States)

    Park, Jong-Chan; Kang, Seong-Jun; Choi, Byeong-Gyun; Yoon, Yung-Sup

    2018-01-01

    Hafnia (HfO2) has excellent mechanical and chemical stability, good transmittance, high dielectric constant, and radiation resistance property; thus, it can prevent impurities from permeating into the depositing films. So, we deposited hafnia films with various thicknesses in the range of 0-60 nm on polyethylene naphthalate (PEN) substrates before depositing indium-zinc-tin oxide (IZTO) thin films on them using RF magnetron sputtering, and their structural, morphological, optical, and electrical properties were evaluated. All IZTO thin films were successfully deposited without cracks or pinholes and had amorphous structures. As the thickness of the hafnia film increased to 30 nm, the overall properties improved; a surface roughness of 2.216 nm, transmittance of 82.59% at 550 nm, resistivity of 5.66 × 10-4 Ω cm, sheet resistance of 23.60 Ω/sq, and figure of merit of 6.26 × 10-3 Ω-1 were realized. These results indicate that the structure and materials studied in this research are suitable for application in flexible transparent electronic devices such as organic light emitting diodes, liquid crystal displays, touch panels, and solar cells.

  19. Impedance spectroscopic and dielectric analysis of Ba0.7Sr0.3TiO3 thin films

    International Nuclear Information System (INIS)

    Rouahi, A.; Kahouli, A.; Sylvestre, A.; Defaÿ, E.; Yangui, B.

    2012-01-01

    Highlights: ► The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba 0.7 Sr 0.3 TiO 3 . ► The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. ► The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. ► The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba 0.7 Sr 0.3 TiO 3 thin film with Pt/BST/Pt/TiO 2 /SiO 2 structure was prepared by ion beam sputtering. The film was post annealed at 700 °C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1–10 5 Hz] at different temperatures [175–350 °C]. The Nyquist plots (Z″ vs . Z′) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z″ and M″ are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M ″ /M ″ max shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  20. Characterization of electron beam deposited thin films of HfO2 and binary thin films of (HfO2:SiO2) by XRD and EXAFS measurements

    International Nuclear Information System (INIS)

    Das, N.C.; Sahoo, N.K.; Bhattacharyya, D.; Thakur, S.; Kamble, N.M.; Nanda, D.; Hazra, S.; Bal, J.K.; Lee, J.F.; Tai, Y.L.; Hsieh, C.A.

    2009-10-01

    In this report, we have discussed the microstructure and the local structure of composite thin films having varying hafnia and silica compositions and prepared by reactive electron beam evaporation. XRD and EXAFS studies have confirmed that the pure hafnium oxide thin film has crystalline microstructure whereas the films with finite hafnia and silica composition are amorphous. The result of EXAFS analysis has shown that the bond lengths as well as coordination numbers around hafnium atom change with the variation of hafnia and silica compositions in the thin film. Finally, change of bond lengths has been correlated with change of refractive index and band gap of the composite thin films. (author)

  1. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    Science.gov (United States)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  2. Evaluation of polysulfone film as a capacitor dielectric material for neutron generator applications

    International Nuclear Information System (INIS)

    Sidnell, N.A.

    1984-01-01

    A modified polysulfone film, as manufactured by Bayer (West Germany), is a candidate dielectric material for applications where radiation resistant properties superior to those of Mylar polyester are required. In 1981 it was concluded that polysulfone films exhibited a dielectric strength 20 to 25% lower than Mylar at elevated temperatures of 74 0 C. Consequently, a new design comparable to the SA2954 Mylar capacitor was formulated with equivalent dielectric strength. This design also demonstrated an improvement in overall reliability as compared to the Mylar design when based on functional electrical test and environmental test performance. This design description and accompanying test results are presented in this report

  3. Low operating voltage InGaZnO thin-film transistors based on Al{sub 2}O{sub 3} high-k dielectrics fabricated using pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K. [Qingdao University, Qingdao (China); DongEui University, Busan (Korea, Republic of); Lee, W. J.; Shin, B. C. [DongEui University, Busan (Korea, Republic of); Cho, C. R. [Pusan National University, Busan (Korea, Republic of)

    2014-05-15

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al{sub 2}O{sub 3} dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al{sub 2}O{sub 3} and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al{sub 2}O{sub 3} gate dielectric exhibits a very low leakage current density of 1.3 x 10{sup -8} A/cm{sup 2} at 5 V and a high capacitance density of 60.9 nF/cm{sup 2}. The IGZO TFT with a structure of Ni/IGZO/Al{sub 2}O{sub 3}/Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm{sup 2}V{sup -1}s{sup -1}, an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10{sup 7}.

  4. Properties of phases in HfO2-TiO2 system

    International Nuclear Information System (INIS)

    Red'ko, V.P.; Terekhovskij, P.B.; Majster, I.M.; Shevchenko, A.V.; Lopato, L.M.; Dvernyakova, A.A.

    1990-01-01

    A study was made on axial and linear coefficients of thermal expansion (CTE) of HfO 2 -TiO 2 system samples in concentration range of 25-50 mol% TiO 2 . Samples, containing 35 and 37 mol% TiO 2 , are characterized by the lowest values of linear CTE. Dispersion of the basic substances doesn't affect CTE value. Correlation with axial and linear CTE of samples in ZrO 2 -TiO 2 system was conducted. Presence of anisotropy of change of lattice parameters was supported for samples, containing 37.5 and 40 mol% TiO 2 . Polymorphous transformations for hafnium titanate were not revealed

  5. Theoretical prediction of ion conductivity in solid state HfO2

    Science.gov (United States)

    Zhang, Wei; Chen, Wen-Zhou; Sun, Jiu-Yu; Jiang, Zhen-Yi

    2013-01-01

    A theoretical prediction of ion conductivity for solid state HfO2 is carried out in analogy to ZrO2 based on the density functional calculation. Geometric and electronic structures of pure bulks exhibit similarity for the two materials. Negative formation enthalpy and negative vacancy formation energy are found for YSH (yttria-stabilized hafnia) and YSZ (yttria-stabilized zirconia), suggesting the stability of both materials. Low activation energies (below 0.7 eV) of diffusion are found in both materials, and YSH's is a little higher than that of YSZ. In addition, for both HfO2 and ZrO2, the supercells with native oxygen vacancies are also studied. The so-called defect states are observed in the supercells with neutral and +1 charge native vacancy but not in the +2 charge one. It can give an explanation to the relatively lower activation energies of yttria-doped oxides and +2 charge vacancy supercells. A brief discussion is presented to explain the different YSH ion conductivities in the experiment and obtained by us, and we attribute this to the different ion vibrations at different temperatures.

  6. Single-pulse and multi-pulse femtosecond laser damage of optical single films

    International Nuclear Information System (INIS)

    Yuan Lei; Zhao Yuan'an; He Hongbo; Shao Jianda; Fan Zhengxiu

    2006-01-01

    Laser-induced damage of a single 500 nm HfO 2 film and a single 500 nm ZrO 2 film were studied with single- and multi-pulse femtosecond laser. The laser-induced damage thresholds (LIDT) of both samples by the 1-on-1 method and the 1000-on-1 method were reported. It was discovered that the LIDT of the HfO 2 single film was higher than that of the ZrO 2 single film by both test methods, which was explained by simple Keldysh's multiphoton ionization theory. The LIDT of multi-pulse was lower than that of single-pulse for both samples as a result of accumulative effect. (authors)

  7. Dielectric properties of electron irradiated PbZrO 3 thin films

    Indian Academy of Sciences (India)

    The present paper deals with the study of the effects of electron (8 MeV) irradiation on the dielectric and ferroelectric properties of PbZrO3 thin films grown by sol–gel technique. The films were (0.62 m thick) subjected to electron irradiation using Microtron accelerator (delivered dose 80, 100, 120 kGy). The films were well ...

  8. Insights into thermal diffusion of germanium and oxygen atoms in HfO2/GeO2/Ge gate stacks and their suppressed reaction with atomically thin AlOx interlayers

    International Nuclear Information System (INIS)

    Ogawa, Shingo; Asahara, Ryohei; Minoura, Yuya; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji; Sako, Hideki; Kawasaki, Naohiko; Yamada, Ichiko; Miyamoto, Takashi

    2015-01-01

    The thermal diffusion of germanium and oxygen atoms in HfO 2 /GeO 2 /Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that 18 O-tracers composing the GeO 2 underlayers diffuse within the HfO 2 overlayers based on Fick's law with the low activation energy of about 0.5 eV. Although out-diffusion of the germanium atoms through HfO 2 also proceeded at the low temperatures of around 200 °C, the diffusing germanium atoms preferentially segregated on the HfO 2 surfaces, and the reaction was further enhanced at high temperatures with the assistance of GeO desorption. A technique to insert atomically thin AlO x interlayers between the HfO 2 and GeO 2 layers was proven to effectively suppress both of these independent germanium and oxygen intermixing reactions in the gate stacks

  9. Structural, electrical, and dielectric properties of Cr doped ZnO thin films: Role of Cr concentration

    Energy Technology Data Exchange (ETDEWEB)

    Gürbüz, Osman, E-mail: osgurbuz@yildiz.edu.tr; Okutan, Mustafa

    2016-11-30

    O host material increased. Furthermore, by increasing the Cr concentration, the improved electrical performance was observed. The electrical resistivity of samples decreased from 3.98 × 10{sup −2} Ω cm to 4.03 × 10{sup −4} Ω cm with the increase in Cr content. For these reasons, Cr doped ZnO (Cr:ZnO) thin films may be used in microwave devices as the electrical conductivity increases while dielectric constant decreases with the Cr content.

  10. Dielectric relaxations above room temperature in DMPU derived polyaniline film

    International Nuclear Information System (INIS)

    Mallya, Ashwini N.; Yashavanth Kumar, G.S.; Ranjan, Rajeev; Ramamurthy, Praveen C.

    2012-01-01

    Dielectric measurements carried out on drop casted from solution of emeraldine base form of polyaniline films in the temperature range 30-300 °C revealed occurrence of two maxima in the loss tangent as a function of temperature. The activation energies corresponding to these two relaxation processes were found to be ∼0.5 eV and ∼1.5 eV. The occurrence of one relaxation peak in the dispersion curve of the imaginary part of the electric modulus suggests the absence of microphase separation in the film. Thermogravimetric analysis and infrared spectroscopic measurements showed that the films retained its integrity up to 300 °C. The dielectric relaxation at higher temperatures with large activation energy of 1.5 eV is attributed to increase in the barrier potential due to decrease in the polymer conjugation as a result of wide amplitude motion of the chain segments well above the glass transition temperature.

  11. Dielectric relaxations above room temperature in DMPU derived polyaniline film

    Energy Technology Data Exchange (ETDEWEB)

    Mallya, Ashwini N.; Yashavanth Kumar, G.S.; Ranjan, Rajeev [Department of Materials Engineering, Indian Institute of Science, Bangalore 560012 (India); Ramamurthy, Praveen C., E-mail: onegroupb203@gmail.com [Department of Materials Engineering, Indian Institute of Science, Bangalore 560012 (India)

    2012-09-15

    Dielectric measurements carried out on drop casted from solution of emeraldine base form of polyaniline films in the temperature range 30-300 Degree-Sign C revealed occurrence of two maxima in the loss tangent as a function of temperature. The activation energies corresponding to these two relaxation processes were found to be {approx}0.5 eV and {approx}1.5 eV. The occurrence of one relaxation peak in the dispersion curve of the imaginary part of the electric modulus suggests the absence of microphase separation in the film. Thermogravimetric analysis and infrared spectroscopic measurements showed that the films retained its integrity up to 300 Degree-Sign C. The dielectric relaxation at higher temperatures with large activation energy of 1.5 eV is attributed to increase in the barrier potential due to decrease in the polymer conjugation as a result of wide amplitude motion of the chain segments well above the glass transition temperature.

  12. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  13. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  14. On the phase formation of sputtered hafnium oxide and oxynitride films

    International Nuclear Information System (INIS)

    Sarakinos, K.; Music, D.; Mraz, S.; Baben, M. to; Jiang, K.; Nahif, F.; Braun, A.; Zilkens, C.; Schneider, J. M.; Konstantinidis, S.; Renaux, F.; Cossement, D.; Munnik, F.

    2010-01-01

    Hafnium oxynitride films are deposited from a Hf target employing direct current magnetron sputtering in an Ar-O 2 -N 2 atmosphere. It is shown that the presence of N 2 allows for the stabilization of the transition zone between the metallic and the compound sputtering mode enabling deposition of films at well defined conditions of target coverage by varying the O 2 partial pressure. Plasma analysis reveals that this experimental strategy facilitates control over the flux of the O - ions which are generated on the oxidized target surface and accelerated by the negative target potential toward the growing film. An arrangement that enables film growth without O - ion bombardment is also implemented. Moreover, stabilization of the transition sputtering zone and control of the O - ion flux without N 2 addition is achieved employing high power pulsed magnetron sputtering. Structural characterization of the deposited films unambiguously proves that the phase formation of hafnium oxide and hafnium oxynitride films with the crystal structure of HfO 2 is independent from the O - bombardment conditions. Experimental and theoretical data indicate that the presence of vacancies and/or the substitution of O by N atoms in the nonmetal sublattice favor the formation of the cubic and/or the tetragonal HfO 2 crystal structure at the expense of the monoclinic HfO 2 one.

  15. SEMICONDUCTOR DEVICES: Structural and electrical characteristics of lanthanum oxide gate dielectric film on GaAs pHEMT technology

    Science.gov (United States)

    Chia-Song, Wu; Hsing-Chung, Liu

    2009-11-01

    This paper investigates the feasibility of using a lanthanum oxide thin film (La2O3) with a high dielectric constant as a gate dielectric on GaAs pHEMTs to reduce gate leakage current and improve the gate to drain breakdown voltage relative to the conventional GaAs pHEMT. An E/D mode pHEMT in a single chip was realized by selecting the appropriate La2O3 thickness. The thin La2O3 film was characterized: its chemical composition and crystalline structure were determined by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. La2O3 exhibited good thermal stability after post-deposition annealing at 200, 400 and 600 °C because of its high binding-energy (835.6 eV). Experimental results clearly demonstrated that the La2O3 thin film was thermally stable. The DC and RF characteristics of Pt/La2O3/Ti/Au gate and conventional Pt/Ti/Au gate pHEMTs were examined. The measurements indicated that the transistor with the Pt/La2O3/Ti/Au gate had a higher breakdown voltage and lower gate leakage current. Accordingly, the La2O3 thin film is a potential high-k material for use as a gate dielectric to improve electrical performance and the thermal effect in high-power applications.

  16. Black metal thin films by deposition on dielectric antireflective moth-eye nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Caringal, Gideon Peter; Clausen, Jeppe Sandvik

    2015-01-01

    Although metals are commonly shiny and highly reflective, we here show that thin metal films appear black when deposited on a dielectric with antireflective moth-eye nanostructures. The nanostructures were tapered and close-packed, with heights in the range 300-600 nm, and a lateral, spatial...... frequency in the range 5-7 mu m(-1). A reflectance in the visible spectrum as low as 6%, and an absorbance of 90% was observed for an Al film of 100 nm thickness. Corresponding experiments on a planar film yielded 80% reflectance and 20% absorbance. The observed absorbance enhancement is attributed...... to a gradient effect causing the metal film to be antireflective, analogous to the mechanism in dielectrics and semiconductors. We find that the investigated nanostructures have too large spatial frequency to facilitate efficient coupling to the otherwise non-radiating surface plasmons. Applications...

  17. Structure, optical properties and thermal stability of HfErO films deposited by simultaneous RF and VHF magnetron sputtering

    International Nuclear Information System (INIS)

    Zhang, H.Y.; He, H.J.; Zhang, Z.; Jin, C.G.; Yang, Y.; Wang, Y.Y.; Ye, C.; Zhuge, L.J.; Wu, X.M.

    2015-01-01

    HfErO films are deposited on Si substrates by simultaneous radio frequency (RF) and very high frequency (VHF) magnetron sputtering technique. The content of the doped ingredient of Er and the body composition of HfO x are, respectively, controlled through the VHF and RF powers. Low content of Er doping in the HfErO films can be achieved, because the VHF source of 27.12 MHz has higher ion energy and lower ion flux than the RF source resulting in low sputtering rate in the magnetron sputtering system. The structure, optical properties and thermal stability of the HfErO films are investigated in this work. Results show that the doped content of Er is independently controlled by the VHF power. The oxygen vacancies are created by the Er incorporation. The hafnium in the HfErO films forms mixed valence of Hf 2+ and Hf 4+ . The HfErO films are composed with the structures of HfO 2 , HfO and ErO x , which can be optimized through the VHF power. At high VHF power, the Hf-Er-O bonds are formed, which demonstrates that the Er atoms are doped into the lattice of HfO 2 in the HfErO films. The HfErO films have bad thermal stability as the crystallization temperature decreases from 900 to 800 C. After thermal annealing, cubic phase of HfO 2 are stabilized, which is ascribed to the oxygen vacancies creation by the Er incorporation. The optical properties such as the refractive index and the optical band gap of the HfErO films are optimized by the VHF power. (orig.)

  18. Oxygen vacancy effects in HfO2-based resistive switching memory: First principle study

    Directory of Open Access Journals (Sweden)

    Yuehua Dai

    2016-08-01

    Full Text Available The work investigated the shape and orientation of oxygen vacancy clusters in HfO2-base resistive random access memory (ReRAM by using the first-principle method based on the density functional theory. Firstly, the formation energy of different local Vo clusters was calculated in four established orientation systems. Then, the optimized orientation and charger conductor shape were identified by comparing the isosurface plots of partial charge density, formation energy, and the highest isosurface value of oxygen vacancy. The calculated results revealed that the [010] orientation was the optimal migration path of Vo, and the shape of system D4 was the best charge conductor in HfO2, which effectively influenced the SET voltage, formation voltage and the ON/OFF ratio of the device. Afterwards, the PDOS of Hf near Vo and total density of states of the system D4_010 were obtained, revealing the composition of charge conductor was oxygen vacancy instead of metal Hf. Furthermore, the migration barriers of the Vo hopping between neighboring unit cells were calculated along four different orientations. The motion was proved along [010] orientation. The optimal circulation path for Vo migration in the HfO2 super-cell was obtained.

  19. Quantum-dot size and thin-film dielectric constant: precision measurement and disparity with simple models.

    Science.gov (United States)

    Grinolds, Darcy D W; Brown, Patrick R; Harris, Daniel K; Bulovic, Vladimir; Bawendi, Moungi G

    2015-01-14

    We study the dielectric constant of lead sulfide quantum dot (QD) films as a function of the volume fraction of QDs by varying the QD size and keeping the ligand constant. We create a reliable QD sizing curve using small-angle X-ray scattering (SAXS), thin-film SAXS to extract a pair-distribution function for QD spacing, and a stacked-capacitor geometry to measure the capacitance of the thin film. Our data support a reduced dielectric constant in nanoparticles.

  20. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    Science.gov (United States)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  1. Dielectric Properties of Cd1-xZnxSe Thin Film Semiconductors

    International Nuclear Information System (INIS)

    Wahab, L.A.; Farrag, A.A.; Zayed, H.A.

    2012-01-01

    Cd 1-x Zn x Se (x=0, 0.5 and 1) thin films of thickness 300 nm have been deposited on highly cleaned glass substrates (Soda-lime glass) by thermal evaporation technique under pressure 10-5 Torr. The crystal structure, lattice parameters and grain size were determined from X-ray diffraction patterns of these films. The dielectric response and ac conductivity of the films are investigated in the frequency range from 80 Hz to 5 MHz and temperature range from 300 K to 420 K. AC conductivity increases linearly with the frequency according to the power relation σ a c (ψ)=A (ψ) s . The dielectric constant and loss show low values at high frequencies. The relaxation time t, resistance R and capacitance C were calculated from Nyquist diagram. The behavior can be modeled by an equivalent parallel RC circuit.

  2. Characterization of ultraviolet light cured polydimethylsiloxane films for low-voltage, dielectric elastomer actuators

    Science.gov (United States)

    Töpper, Tino; Wohlfender, Fabian; Weiss, Florian; Osmani, Bekim; Müller, Bert

    2016-04-01

    The reduction the operation voltage has been the key challenge to realize of dielectric elastomer actuators (DEA) for many years - especially for the application fields of robotics, lens systems, haptics and future medical implants. Contrary to the approach of manipulating the dielectric properties of the electrically activated polymer (EAP), we intend to realize low-voltage operation by reducing the polymer thickness to the range of a few hundred nanometers. A study recently published presents molecular beam deposition to reliably grow nanometer-thick polydimethylsiloxane (PDMS) films. The curing of PDMS is realized using ultraviolet (UV) radiation with wavelengths from 180 to 400 nm radicalizing the functional side and end groups. The understanding of the mechanical properties of sub-micrometer-thin PDMS films is crucial to optimize DEAs actuation efficiency. The elastic modulus of UV-cured spin-coated films is measured by nano-indentation using an atomic force microscope (AFM) according to the Hertzian contact mechanics model. These investigations show a reduced elastic modulus with increased indentation depth. A model with a skin-like SiO2 surface with corresponding elastic modulus of (2.29 +/- 0.31) MPa and a bulk modulus of cross-linked PDMS with corresponding elastic modulus of (87 +/- 7) kPa is proposed. The surface morphology is observed with AFM and 3D laser microscopy. Wrinkled surface microstructures on UV-cured PDMS films occur for film thicknesses above (510 +/- 30) nm with an UV-irradiation density of 7.2 10-4 J cm-2 nm-1 at a wavelength of 190 nm.

  3. Direct Fabrication of Inkjet-Printed Dielectric Film for Metal-Insulator-Metal Capacitors

    Science.gov (United States)

    Cho, Cheng-Lin; Kao, Hsuan-ling; Wu, Yung-Hsien; Chang, Li-Chun; Cheng, Chun-Hu

    2018-01-01

    In this study, an inkjet-printed dielectric film that used a polymer-based SU-8 ink was fabricated for use in a metal-insulator-metal (MIM) capacitor. Thermal treatment of the inkjet-printed SU-8 polymer film affected its surface morphology, chemical structure, and surface wettability. A 20-min soft-bake at 60°C was applied to eliminate inkjet-printed bubbles and ripples. The ultraviolet-exposed SU-8 polymer film was crosslinked at temperatures between 120°C and 220°C and became disordered at 270°C, demonstrated using Fourier-transform infrared spectroscopy. A maximum SU-8 polymer film hard-bake temperature of 120°C was identified, and a printing process was subsequently employed because the appropriate water contact angle of the printed film was 79°. Under the appropriate inkjet printing conditions, the two-transmission-line method was used to extract the dielectric and electrical properties of the SU-8 polymer film, and the electrical behavior of the fabricated MIM capacitor was also characterized.

  4. Optical constants, dispersion energy parameters and dielectric properties of ultra-smooth nanocrystalline BiVO4 thin films prepared by rf-magnetron sputtering

    Science.gov (United States)

    Sarkar, S.; Das, N. S.; Chattopadhyay, K. K.

    2014-07-01

    BiVO4 thin films have been prepared through radio frequency (rf) magnetron sputtering of a pre-fabricated BiVO4 target on ITO coated glass (ITO-glass) substrate and bare glass substrates. BiVO4 target material was prepared through solid-state reaction method by heating Bi2O3 and V2O5 mixture at 800 °C for 8 h. The films were characterized by X-ray diffraction, UV-Vis spectroscopy, LCR meter, field emission scanning electron microscopy, transmission electron microscopy and atomic force microscopy. BiVO4 thin films deposited on the ITO-glass substrate are much smoother compared to the thin films prepared on bare glass substrate. The rms surface roughness calculated from the AFM images comes out to be 0.74 nm and 4.2 nm for the films deposited on the ITO-glass substrate and bare glass substrate for the deposition time 150 min respectively. Optical constants and energy dispersion parameters of these extra-smooth BiVO4 thin films have been investigated in detail. Dielectric properties of the BiVO4 thin films on ITO-glass substrate were also investigated. The frequency dependence of dielectric constant of the BiVO4 thin films has been measured in the frequency range from 20 Hz to 2 MHz. It was found that the dielectric constant increased from 145 to 343 at 20 Hz as the film thickness increased from 90 nm to 145 nm (deposition time increased from 60 min to 150 min). It shows higher dielectric constant compared to the literature value of BiVO4.

  5. Method of making dielectric capacitors with increased dielectric breakdown strength

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Liu, Shanshan

    2017-05-09

    The invention is directed to a process for making a dielectric ceramic film capacitor and the ceramic dielectric laminated capacitor formed therefrom, the dielectric ceramic film capacitors having increased dielectric breakdown strength. The invention increases breakdown strength by embedding a conductive oxide layer between electrode layers within the dielectric layer of the capacitors. The conductive oxide layer redistributes and dissipates charge, thus mitigating charge concentration and micro fractures formed within the dielectric by electric fields.

  6. Leakage current conduction mechanisms and electrical properties of atomic-layer-deposited HfO2/Ga2O3 MOS capacitors

    Science.gov (United States)

    Zhang, Hongpeng; Jia, Renxu; Lei, Yuan; Tang, Xiaoyan; Zhang, Yimen; Zhang, Yuming

    2018-02-01

    In this paper, current conduction mechanisms in HfO2/β-Ga2O3 metal-oxide-semiconductor (MOS) capacitors under positive and negative biases are investigated using the current-voltage (I-V) measurements conducted at temperatures from 298 K to 378 K. The Schottky emission is dominant under positively biased electric fields of 0.37-2.19 MV cm-1, and the extracted Schottky barrier height ranged from 0.88 eV to 0.91 eV at various temperatures. The Poole-Frenkel emission dominates under negatively biased fields of 1.92-4.83 MV cm-1, and the trap energy levels are from 0.71 eV to 0.77 eV at various temperatures. The conduction band offset (ΔE c) of HfO2/β-Ga2O3 is extracted to be 1.31  ±  0.05 eV via x-ray photoelectron spectroscopy, while a large negative sheet charge density of 1.04  ×  1013 cm-2 is induced at the oxide layer and/or HfO2/β-Ga2O3 interface. A low C-V hysteresis of 0.76 V, low interface state density (D it) close to 1  ×  1012 eV-1 cm-2, and low leakage current density of 2.38  ×  10-5 A cm-2 at a gate voltage of 7 V has been obtained, suggesting the great electrical properties of HfO2/β-Ga2O3 MOSCAP. According to the above analysis, ALD-HfO2 is an attractive candidate for high voltage β-Ga2O3 power devices.

  7. Cluster synthesis of monodisperse rutile-TiO2 nanoparticles and dielectric TiO2-vinylidene fluoride oligomer nanocomposites

    International Nuclear Information System (INIS)

    Balasubramanian, Balamurugan; Kraemer, Kristin L; Valloppilly, Shah R; Ducharme, Stephen; Sellmyer, David J

    2011-01-01

    The embedding of oxide nanoparticles in polymer matrices produces a greatly enhanced dielectric response by combining the high dielectric strength and low loss of suitable host polymers with the high electric polarizability of nanoparticles. The fabrication of oxide-polymer nanocomposites with well-controlled distributions of nanoparticles is, however, challenging due to the thermodynamic and kinetic barriers between the polymer matrix and nanoparticle fillers. In the present study, monodisperse TiO 2 nanoparticles having an average particle size of 14.4 nm and predominant rutile phase were produced using a cluster-deposition technique without high-temperature thermal annealing and subsequently coated with uniform vinylidene fluoride oligomer (VDFO) molecules using a thermal evaporation source, prior to deposition as TiO 2 -VDFO nanocomposite films on suitable substrates. The molecular coatings on TiO 2 nanoparticles serve two purposes, namely to prevent the TiO 2 nanoparticles from contacting each other and to couple the nanoparticle polarization to the matrix. Parallel-plate capacitors made of TiO 2 -VDFO nanocomposite film as the dielectric exhibit minimum dielectric dispersion and low dielectric loss. Dielectric measurements also show an enhanced effective dielectric constant in TiO 2 -VDFO nanocomposites as compared to that of pure VDFO. This study demonstrates for the first time a unique electroactive particle coating in the form of a ferroelectric VDFO that has high-temperature stability as compared to conventionally used polymers for fabricating dielectric oxide-polymer nanocomposites.

  8. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    Science.gov (United States)

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  9. Dielectric property study of poly(4-vinylphenol)-graphene oxide nanocomposite thin film

    Science.gov (United States)

    Roy, Dhrubojyoti

    2018-05-01

    Thin film capacitor device having a sandwich structure of indium tin oxide (ITO)-coated glass/polymer or polymer nanocomposite /silver has been fabricated and their dielectric and leakage current properties has been studied. The dielectric properties of the capacitors were characterized for frequencies ranging from 1 KHz to 1 MHz. 5 wt% Poly(4-vinylphenol)(PVPh)-Graphene (GO) nanocomposite exhibited an increase in dielectric constant to 5.6 and small rise in dielectric loss to around˜0.05 at 10 KHz w.r.t polymer. The DC conductivity measurements reveal rise of leakage current in nanocomposite.

  10. Dielectric spectroscopy of [P(NID2OD-T2)]n thin films: Effects of UV radiation on charge transport

    International Nuclear Information System (INIS)

    Sepulveda, Pablo I.; Rosado, Alexander O.; Pinto, Nicholas J.

    2014-01-01

    Poly[N,N′-bis(2-octyldodecyl)-naphthalene-1,4,5,8-bis(dicarboximide) -2,6-diyll-alt-5,5′-(2,2′-bithiophene)]-[P(ND12OD-T2)] n is a n-doped polymer that is stable in air. Low frequency (40 Hz–30 kHz) dielectric spectroscopy shows that the polymer impedance strength is reduced under ultra-violet (UV) radiation as a result of charge increase in the bulk polymer. Photo-excitation and the creation of electron-hole pairs and subsequent hole recombination with electron trapping species adsorbed by the polymer are suggested as possible doping mechanisms. The relaxation times were also faster in the presence of UV indicating multiple pathways for oscillating dipoles to relax. These results imply increased polymer conductance with corresponding enhancement of charge mobility due to reduced scattering in the presence of UV radiation. A thin film field effect transistor was fabricated using this polymer as the active material and characterized in the presence of UV radiation. As expected, the device exhibited n-type behavior with a charge mobility of 3.0 × 10 −3 cm 2 /V-s. Exposure to UV radiation increased the channel current, shifted the threshold voltage to more negative values and doubled the value of the mobility. These results are consistent with dielectric measurements and suggest an easy method of increasing device currents and charge mobility in this polymer via UV irradiation. - Highlights: • Ultra-violet (UV) radiation dopes the polymer. • The doping is n-type. • UV radiation enhances charge mobility without post polymer processing. • Dielectric spectroscopy and field effect transistor results are self-consistent

  11. THIN FILMS OF A NEW ORGANIC SINGLE-COMPONENT FERROELECTRIC 2-METHYLBENZIMIDAZOLE

    Directory of Open Access Journals (Sweden)

    E. V. Balashova

    2016-09-01

    Full Text Available Subject of Research.We present results of structural and dielectric study of organic ferroelectric 2-methylbenzimidazole (MBI thin films. Method. The films have been grown on substrates of leuco-sapphire, fused and crystalline silica, neodymium gallate, bismuth germanate, gold, aluminium, platinum. The films have been grown by two different methods: substrate covering by ethanol solution of MBI and subsequent ethanol evaporation; sublimation at the temperature near 375 K under atmospheric pressure. Crystallographic orientation studies have been performed by means of «DRON-3» X-ray diffractometer, block structure of the films has been determined by «LaboPol-3» polarizing microscope. Small-signal dielectric response has been received with the use of «MIT 9216A» digital LCR-meter, while strong-signal dielectric response has been studied by Sawyer-Tower circuit. Main Resuts. We have shown that the films obtained by evaporation are continuous and textured. Obtained film structure depends on the concentration of the solution. Films may consist of blocks that are splitted crystals like spherulite. Spontaneous polarization components in such films may be directed both perpendicularly and in the film plane. We have also obtained structures consisting of single-crystal blocks with spontaneous polarization components being allocated in the film plane. Block sizes vary from a few to hundreds of microns. Films obtained by sublimation are amorphous or dendritic. The dielectric properties of the films obtained by evaporation have been studied. We have shown that the dielectric constant and dielectric loss tangent increase under heating. The dielectric hysteresis loops are observed at the temperature equal to 291-379 K. The remnant polarization increases with temperature for constant amplitude of the external electric field, and achieves 4.5mC/cm2, while the coercive field remains constant. We propose that such behavior is explained by increase of the

  12. Calcinated tea and cellulose composite films and its dielectric and lead adsorption properties.

    Science.gov (United States)

    Jayaramudu, Tippabattini; Varaprasad, Kokkarachedu; Kim, Hyun Chan; Kafy, Abdullahil; Kim, Jung Woong; Kim, Jaehwan

    2017-09-01

    In this paper, calcinated tea and cellulose composite (CTCC) films were fabricated via solution casting method. Chemical structure, morphology, crystallinity and thermal stability of the fabricated films were characterized by using Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray diffraction and thermogravimetric analysis. The effect of calcinated tea loading on the properties of the prepared CTCC films was studied. The results suggest that the prepared CTCC films show higher mechanical properties, thermal stability and dielectric constant than the neat cellulose film. In addition, the CTCC films adsorb Pb 2+ ions and its adsorption performance depends on the calcinated tea content and pH level. The CTCC films are useful for sensors, flexible capacitor as well as lead adsorption applications. Copyright © 2017 Elsevier Ltd. All rights reserved.

  13. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later.

  14. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers.

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-02

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO 2 /nanoporous-Si and the TiO 2 /nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO 2 /nanoporous Si are lower than that of the HfO 2 /nanoporous Si, the former is more stable than the later.

  15. Variable angle spectroscopic ellipsometric characterization of HfO2 thin film

    Science.gov (United States)

    Kumar, M.; Kumari, N.; Karar, V.; Sharma, A. L.

    2018-02-01

    Hafnium Oxide film was deposited on BK7 glass substrate using reactive oxygenated E-Beam deposition technique. The film was deposited using in-situ quartz crystal thickness monitoring to control the film thickness and rate of evaporation. The thin film was grown with a rate of deposition of 0.3 nm/s. The coated substrate was optically characterized using spectrophotometer to determine its transmission spectra. The optical constants as well as film thickness of the hafnia film were extracted by variable angle spectroscopic ellipsometry with Cauchy fitting at incidence angles of 65˚, 70˚ and 75˚.

  16. High temperature X-ray diffraction studies on HfO2-Gd2O3 system

    International Nuclear Information System (INIS)

    Panneerselvam, G.; Antony, M.P.; Ananthasivan, K.; Joseph, M.

    2016-01-01

    High temperature X-ray diffraction (HTXRD) technique is an important experimental tool for measuring thermal expansion of materials of interest. A series of solid solutions containing GdO 1.5 in HfO 2 ,Hf 1-y Gd y )O 2 (y = 0.15, 0.2, 0.3, 0.41 and 0.505) were prepared by solid state method. Structural characterization and computation of lattice parameter was carried out by using room temperature X-ray diffraction measurements. The room temperature lattice parameter estimated for (Hf 1-y Gd y )O 2 (y=0.15, 0.2, 0.3, 0.41 and 0.505) are 0.51714 nm, 0.51929 nm, 0.52359nm, 0.52789nm and 0.53241 nm, respectively. Thermal expansion coefficients and percentage linear thermal expansion of the HfO 2 -Gd 2 O 3 solid solutions containing 20 and 41 mol% GdO 1.5 were determined using HTXRD in the temperature range 298 to 1673K. The mean linear thermal expansion coefficients of the solid solutions containing 20 and 41 mol. %Gd are 11.65 x 10 -6 K -1 and 12.07 x 10 -6 K -1 , respectively. (author)

  17. Enhanced dielectric properties of thin Ta{sub 2}O{sub 5} films grown on 65 nm SiO{sub 2}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Kolkovsky, Vl.; Kurth, E.; Kunath, C. [IPMS Fraunhofer, Dresden, Maria-Reiche Str. 2, 01109 Dresden (Germany)

    2016-12-15

    The structural and electrical properties of Ta{sub 2}O{sub 5}/65 nm SiO{sub 2} structures with different thicknesses of Ta{sub 2}O{sub 5} varying in the range of 0-260 nm are investigated. We find that the stack structures grown by the magnetron sputtering technique and annealed at 1220 K in O and Ar atmosphere show one of the highest dielectric constant of Ta{sub 2}O{sub 5}(about 64) among those previously reported in the literature. The structure of the annealed polycrystalline Ta{sub 2}O{sub 5} films is orthorhombic, as obtained from X-ray diffraction measurements and we do not observe any preferential orientation of the annealed films. The Ta{sub 2}O{sub 5} films contain positively charged defects which become mobile at around 400 K and they are tentatively correlated with the oxygen vacancies. The leakage current in the stack structures is a factor of 20 higher compared to that in thin layers with 65 nm SiO{sub 2}. The conduction mechanism in the stack structures can be described by the Fowler-Nordheim model with a barrier height that decreases slightly (<10%) as a function of the thickness of the films. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Effect of La and W dopants on dielectric and ferroelectric properties of PZT thin films prepared by sol-gel process

    International Nuclear Information System (INIS)

    Xiao, Mi; Zhang, Zebin; Zhang, Weikang; Zhang, Ping

    2018-01-01

    La or W-doped lead zirconate titanate thin films (PLZT or PZTW) were prepared on platinized silicon substrates by sol-gel process. The effects of La or W dopant on the phase development, microstructure, dielectric and ferroelectric characteristics of films were studied. For PLZT films, the optimum doping concentration was found to be 2 mol%. While for PZTW films, the dielectric and ferroelectric properties were found to be improved as the doping concentration increased. The fatigue properties of PLZT and PZTW thin films were also investigated, the results showed that A- or B-site donor doping could improve the fatigue properties of PZT thin films. The theory of oxygen vacancy was used to explain the performance improvement caused by donor doping. (orig.)

  19. Effect of La and W dopants on dielectric and ferroelectric properties of PZT thin films prepared by sol-gel process

    Science.gov (United States)

    Xiao, Mi; Zhang, Zebin; Zhang, Weikang; Zhang, Ping

    2018-01-01

    La or W-doped lead zirconate titanate thin films (PLZT or PZTW) were prepared on platinized silicon substrates by sol-gel process. The effects of La or W dopant on the phase development, microstructure, dielectric and ferroelectric characteristics of films were studied. For PLZT films, the optimum doping concentration was found to be 2 mol%. While for PZTW films, the dielectric and ferroelectric properties were found to be improved as the doping concentration increased. The fatigue properties of PLZT and PZTW thin films were also investigated, the results showed that A- or B-site donor doping could improve the fatigue properties of PZT thin films. The theory of oxygen vacancy was used to explain the performance improvement caused by donor doping.

  20. Mechanical property changes in porous low-k dielectric thin films during processing

    Energy Technology Data Exchange (ETDEWEB)

    Stan, G., E-mail: gheorghe.stan@nist.gov; Gates, R. S. [Material Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Kavuri, P. [Physical Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Torres, J.; Michalak, D.; Ege, C.; Bielefeld, J.; King, S. W. [Logic Technology Development, Intel Corporation, Hillsboro, Oregon 97124 (United States)

    2014-10-13

    The design of future generations of Cu-low-k dielectric interconnects with reduced electronic crosstalk often requires engineering materials with an optimal trade off between their dielectric constant and elastic modulus. This is because the benefits associated with the reduction of the dielectric constant by increasing the porosity of materials, for example, can adversely affect their mechanical integrity during processing. By using load-dependent contact-resonance atomic force microscopy, the changes in the elastic modulus of low-k dielectric materials due to processing were accurately measured. These changes were linked to alterations sustained by the structure of low-k dielectric films during processing. A two-phase model was used for quantitative assessments of the elastic modulus changes undergone by the organosilicate skeleton of the structure of porous and pore-filled dielectrics.

  1. Electrostatically assisted fabrication of silver-dielectric core/shell nanoparticles thin film capacitor with uniform metal nanoparticle distribution and controlled spacing.

    Science.gov (United States)

    Li, Xue; Niitsoo, Olivia; Couzis, Alexander

    2016-03-01

    An electrostatically-assisted strategy for fabrication of thin film composite capacitors with controllable dielectric constant (k) has been developed. The capacitor is composed of metal-dielectric core/shell nanoparticle (silver/silica, Ag@SiO2) multilayer films, and a backfilling polymer. Compared with the simple metal particle-polymer mixtures where the metal nanoparticles (NP) are randomly dispersed in the polymer matrix, the metal volume fraction in our capacitor was significantly increased, owing to the densely packed NP multilayers formed by the electrostatically assisted assembly process. Moreover, the insulating layer of silica shell provides a potential barrier that reduces the tunneling current between neighboring Ag cores, endowing the core/shell nanocomposites with a stable and relatively high dielectric constant (k) and low dielectric loss (D). Our work also shows that the thickness of the SiO2 shell plays a dominant role in controlling the dielectric properties of the nanocomposites. Control over metal NP separation distance was realized not only by variation the shell thickness of the core/shell NPs but also by introducing a high k nanoparticle, barium strontium titanate (BST) of relatively smaller size (∼8nm) compared to 80-160nm of the core/shell Ag@SiO2 NPs. The BST assemble between the Ag@SiO2 and fill the void space between the closely packed core/shell NPs leading to significant enhancement of the dielectric constant. This electrostatically assisted assembly method is promising for generating multilayer films of a large variety of NPs over large areas at low cost. Copyright © 2015 Elsevier Inc. All rights reserved.

  2. Size effects on structural and dielectric properties of PZT thin films at compositions around the morpho tropic phase boundary

    International Nuclear Information System (INIS)

    Lima, Elton Carvalho; Araujo, Eudes Borges; Souza Filho, Antonio Gomes de; Bdikin, Igor

    2011-01-01

    Full text: The demand for portability in consumer electronics has motivated the understanding of size effects on ferroelectric thin films. The actual comprehension of these effects in ferroelectrics is unsatisfactory, since the polarization interacts more strongly than other order parameters such as strain and charge. As a result, extrinsic effects are produced if these variables are uncontrolled and problems such as ferroelectric paraelectric phase transition at nanometers scale remains an unsolved issue. In the present work, the effects of thickness and compositional fractions on the structural and dielectric properties of PbZr 1-x Ti x O 3 (PZT) thin films were studied at a composition around the morphotropic phase boundary (x = 0.50). For this purpose, thin films with different thicknesses and different PbO excess were deposited on Si(100) and Pt=T iO 2 =SiO 2 =Si substrates by a chemical method and crystallized in electric furnace at 700 deg C for 1 hour. The effects of substrate, pyrolysis temperature and excess lead addition in the films are reported. For films with 10 mol% PbO in excess, the pyrolysis in the regime of 300 deg C for 30 minutes was observed to yield PZT pyrochlore free thin films deposited on Pt=T iO 2 =SiO 2 =Si substrate. Out this condition, the transformation from amorphous to the pyrochlore metastable phase is kinetically more favorable that a transformation to the perovskite phase, which is thermodynamically stable. Rietveld refinements based on X-ray diffraction results showed that films present a purely tetragonal phase and that this phase does not change when the film thickness decreases. The dielectric permittivity measurements showed a monoclinic → tetragonal phase transition at 198K. Results showed that the dielectric permittivity (ε) increases continuously from 257 to 463, while the thickness of the PZT films increases from 200 to 710 nm. These results suggests that interface pinning centers can be the responsible mechanism by

  3. Controlling dielectric and relaxor-ferroelectric properties for energy storage by tuning Pb0.92La0.08Zr0.52Ti0.48O3 film thickness.

    Science.gov (United States)

    Brown, Emery; Ma, Chunrui; Acharya, Jagaran; Ma, Beihai; Wu, Judy; Li, Jun

    2014-12-24

    The energy storage properties of Pb0.92La0.08Zr0.52Ti0.48O3 (PLZT) films grown via pulsed laser deposition were evaluated at variable film thickness of 125, 250, 500, and 1000 nm. These films show high dielectric permittivity up to ∼1200. Cyclic I-V measurements were used to evaluate the dielectric properties of these thin films, which not only provide the total electric displacement, but also separate contributions from each of the relevant components including electric conductivity (D1), dielectric capacitance (D2), and relaxor-ferroelectric domain switching polarization (P). The results show that, as the film thickness increases, the material transits from a linear dielectric to nonlinear relaxor-ferroelectric. While the energy storage per volume increases with the film thickness, the energy storage efficiency drops from ∼80% to ∼30%. The PLZT films can be optimized for different energy storage applications by tuning the film thickness to optimize between the linear and nonlinear dielectric properties and energy storage efficiency.

  4. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    Science.gov (United States)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J. R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J. L.; Soubervielle-Montalvo, C.; Mani-Gonzalez, P. G.

    2016-06-01

    The final structure of HfO2 films grown by atomic layer deposition (ALD) after reaction with OH- ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl4 (hafnium tetrachloride), HfI4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO-H was studied employing the B3LYP (Becke 3-parameter, Lee-Yang-Parr) hybrid functional and the PBE (Perdew-Burke-Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  5. Measurement of surface charges on the dielectric film based on field mills under the HVDC corona wire

    Science.gov (United States)

    Donglai, WANG; Tiebing, LU; Yuan, WANG; Bo, CHEN; Xuebao, LI

    2018-05-01

    The ion flow field on the ground is one of the significant parameters used to evaluate the electromagnetic environment of high voltage direct current (HVDC) power lines. HVDC lines may cross the greenhouses due to the restricted transmission corridors. Under the condition of ion flow field, the dielectric films on the greenhouses will be charged, and the electric fields in the greenhouses may exceed the limit value. Field mills are widely used to measure the ground-level direct current electric fields under the HVDC power lines. In this paper, the charge inversion method is applied to calculate the surface charges on the dielectric film according to the measured ground-level electric fields. The advantages of hiding the field mill probes in the ground are studied. The charge inversion algorithm is optimized in order to decrease the impact of measurement errors. Based on the experimental results, the surface charge distribution on a piece of quadrate dielectric film under a HVDC corona wire is studied. The enhanced effect of dielectric film on ground-level electric field is obviously weakened with the increase of film height. Compared with the total electric field strengths, the normal components of film-free electric fields at the corresponding film-placed positions have a higher effect on surface charge accumulation.

  6. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    Science.gov (United States)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  7. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    International Nuclear Information System (INIS)

    Zhu, X. H.; Defaye, E.; Aied, M.; Guigues, B.; Dubarry, C.

    2009-01-01

    Dielectric properties of Ba 0.7 Sr 0.3 TiO 3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  8. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    Science.gov (United States)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-07-01

    Dielectric properties of Ba0.7Sr0.3TiO3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  9. Relaxorlike dielectric behavior in Ba0.7Sr0.3TiO3 thin films

    Science.gov (United States)

    Zednik, Ricardo J.; McIntyre, Paul C.; Baniecki, John D.; Ishii, Masatoshi; Shioga, Takeshi; Kurihara, Kazuaki

    2007-03-01

    We present the results of a systematic dielectric study for sputter deposited barium strontium titanate thin film planar capacitors measured over a wide temperature range of 20-575K for frequencies between 1kHz and 1MHz. Our observations of dielectric loss peaks in the temperature and frequency domains cannot be understood in the typical framework of intrinsic phonon losses. We find that the accepted phenomenological Curie-von Schweidler dielectric behavior (universal relaxation law) in our barium strontium titanate films is only applicable over a narrow temperature range. An excellent fit to the Vogel-Fulcher expression suggests relaxorlike behavior in these films. The activation energy of the observed phenomenon suggests that oxygen ion motion play a role in the apparent relaxor behavior, although further experimental work is required to test this hypothesis.

  10. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  11. Dielectric films for solar and laser-pushed lightsails

    International Nuclear Information System (INIS)

    Landis, Geoffrey A.

    2000-01-01

    This project analyzed the potential use of dielectric thin films for solar and laser sails. Such light-pushed sails allow the possibility of fuel-free propulsion in space. This makes possible missions of extremely high delta-V, potentially as high as 30,000 km/sec (0.1c), which is required for a fly-by mission to a nearby star

  12. Influence of Doping Concentration on Dielectric, Optical, and Morphological Properties of PMMA Thin Films

    Directory of Open Access Journals (Sweden)

    Lyly Nyl Ismail

    2012-01-01

    Full Text Available PMMA thin films were deposited by sol gel spin coating method on ITO substrates. Toluene was used as the solvent to dissolve the PMMA powder. The PMMA concentration was varied from 30 ~ 120 mg. The dielectric properties were measured at frequency of 0 ~ 100 kHz. The dielectric permittivity was in the range of 7.3 to 7.5 which decreased as the PMMA concentration increased. The dielectric loss is in the range of 0.01 ~ –0.01. All samples show dielectric characteristics which have dielectric loss is less than 0.05. The optical properties for thin films were measured at room temperature across 200 ~ 1000 nm wavelength region. All samples are highly transparent. The energy band gaps are in the range of 3.6 eV to 3.9 eV when the PMMA concentration increased. The morphologies of the samples show that all samples are uniform and the surface roughness increased as the concentration increased. From this study, it is known that, the dielectric, optical, and morphology properties were influenced by the amount of PMMA concentration in the solution.

  13. Effects of Interphase Modification and Biaxial Orientation on Dielectric Properties of Poly(ethylene terephthalate)/Poly(vinylidene fluoride-co-hexafluoropropylene) Multilayer Films.

    Science.gov (United States)

    Yin, Kezhen; Zhou, Zheng; Schuele, Donald E; Wolak, Mason; Zhu, Lei; Baer, Eric

    2016-06-01

    Recently, poly(vinylidene fluoride) (PVDF)-based multilayer films have demonstrated enhanced dielectric properties, combining high energy density and high dielectric breakdown strength from the component polymers. In this work, further enhanced dielectric properties were achieved through interface/interphase modulation and biaxial orientation for the poly(ethylene terephthalate)/poly(methyl methacrylate)/poly(vinylidene fluoride-co-hexafluoropropylene) [PET/PMMA/P(VDF-HFP)] three-component multilayer films. Because PMMA is miscible with P(VDF-HFP) and compatible with PET, the interfacial adhesion between PET and P(VDF-HFP) layers should be improved. Biaxial stretching of the as-extruded multilayer films induced formation of highly oriented fibrillar crystals in both P(VDF-HFP) and PET, resulting in improved dielectric properties with respect to the unstretched films. First, the parallel orientation of PVDF crystals reduced the dielectric loss from the αc relaxation in α crystals. Second, biaxial stretching constrained the amorphous phase in P(VDF-HFP) and thus the migrational loss from impurity ions was reduced. Third, biaxial stretching induced a significant amount of rigid amorphous phase in PET, further enhancing the breakdown strength of multilayer films. Due to the synergistic effects of improved interfacial adhesion and biaxial orientation, the PET/PMMA/P(VDF-HFP) 65-layer films with 8 vol % PMMA exhibited optimal dielectric properties with an energy density of 17.4 J/cm(3) at breakdown and the lowest dielectric loss. These three-component multilayer films are promising for future high-energy-density film capacitor applications.

  14. Electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous InGaZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Her, Jim-Long [Division of Natural Science, Center for General Education, Chang Gung University, Taoyuan 333, Taiwan (China); Pan, Tung-Ming, E-mail: tmpan@mail.cgu.edu.tw [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Liu, Jiang-Hung; Wang, Hong-Jun; Chen, Ching-Hung [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Koyama, Keiichi [Graduate School of Science and Engineering, Kagoshima University, Kagoshima 890-0065 (Japan)

    2014-10-31

    In this article, we studied the structural properties and electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) applications. The a-IGZO TFT device featuring the GdTiO{sub 3} gate dielectric exhibited better electrical characteristics, including a small threshold voltage of 0.14 V, a large field-effect mobility of 32.3 cm{sup 2}/V-s, a high I{sub on}/I{sub off} current ratio of 4.2 × 10{sup 8}, and a low subthreshold swing of 213 mV/decade. Furthermore, the electrical instability of GdTiO{sub 3} a-IGZO TFTs was investigated under both positive gate-bias stress (PGBS) and negative gate-bias stress (NGBS) conditions. The electron charge trapping in the gate dielectric dominates the PGBS degradation, while the oxygen vacancies control the NGBS degradation. - Highlights: • Indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) • Structural and electrical properties of the GdTiO{sub 3} film were studied. • a-IGZO TFT featuring GdTi{sub x}O{sub y} dielectric exhibited better electrical characteristics. • TFT instability investigated under positive and negative gate-bias stress conditions.

  15. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    Science.gov (United States)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  16. Structural characterization and dielectric properties of BaTiO3 thin films obtained by spin coating

    Directory of Open Access Journals (Sweden)

    Branimir Bajac

    2014-12-01

    Full Text Available Barium titanate thin films were prepared by spin coating deposition technique of an acetic precursor sol and sintered at 750, 900 and 1050 °C. Phase composition of the obtained thin films was characterized by X-ray diffraction and Raman spectroscopy. Their morphology was analysed by scanning electron microscopy and atomic force microscopy. Dielectric properties of thin films sintered at 750 and 900 °C were characterized by LCD device, where the influence of sintering temperature on dielectric permittivity and loss tangent was inspected. It was concluded that higher sintering temperature increases grain size and amount of tetragonal phase, hence higher relative permittivity was recorded. The almost constant relative permittivity in the measured frequency (800 Hz–0.5 MHz and temperature (25–200 °C ranges as well as low dielectric loss are very important for the application of BaTiO3 films in microelectronic devices.

  17. Tunable electromechanical actuation in silicone dielectric film

    International Nuclear Information System (INIS)

    Lamberti, Andrea; Di Donato, Marco; Giorgis, Fabrizio; Chiappone, Annalisa; Canavese, Giancarlo

    2014-01-01

    Dielectric elastomer actuator films were fabricated on transparent conductive electrode using bi-component poly(dimethyl)siloxane (PDMS). PDMS is a well-known material in microfluidics and soft lithography for biomedical applications, being easy to process, low cost, biocompatible and transparent. Moreover its mechanical properties can be easily tuned by varying the mixing ratio between the oligomer base and the crosslinking agent. In this work we investigate the chemical composition and the electromechanical properties of PDMS thin film verifying for the first time the tuneable actuation response by simply modifying the amount of the curing agent. We demonstrate that, for a 20:1 ratio of base:crosslinker mixture, a striking 150% enhancement of Maxwell strain occurs at 1 Hz actuating frequency. (paper)

  18. Influence of standing-wave fields on the laser damage resistance of dielectric films

    International Nuclear Information System (INIS)

    Newnam, B.E.; Gill, D.H.; Faulkner, G.

    1973-01-01

    The influence of standing-wave electric fields on the damage resistance of dielectric thin films was evaluated for the case of 30-ps laser pulses at 1.06 μm. Single-layer films of TiO 2 , ZrO 2 , SiO 2 , and MgF 2 were deposited by state-of-the-art electron-gun evaporation on BK-7 glass substrates with uniform surface preparation. The film thicknesses ranged from one to five quarter-wave increments. The thresholds for TiO 2 films of odd quarter-wave thickness were greater than for even multiples which correlated well with the calculated internal maximum electric fields. Threshold variations for ZrO 2 films were apparent but not as distinctly periodic with film thickness. Negligible variations were obtained for SiO 2 films, again correlating with electric-field calculations. Results of additional tests allowed comparisons of thresholds for 1) back-and front-surface films for normal incidence; 2) S- and P-polarized radiation at an incidence angle of 60 0 ; and 3) circular and linear polarizations for normal incidence. The thresholds were compared with calculated standing-wave field patterns at various locations in the films. A correlation was generally found between the internal field maxima and the thresholds, but in a few coatings, defects apparently decreased or prevented any correlation. (auth)

  19. Tunneling current in HfO2 and Hf0.5Zr0.5O2-based ferroelectric tunnel junction

    Science.gov (United States)

    Dong, Zhipeng; Cao, Xi; Wu, Tong; Guo, Jing

    2018-03-01

    Ferroelectric tunnel junctions (FTJs) have been intensively explored for future low power data storage and information processing applications. Among various ferroelectric (FE) materials studied, HfO2 and H0.5Zr0.5O2 (HZO) have the advantage of CMOS process compatibility. The validity of the simple effective mass approximation, for describing the tunneling process in these materials, is examined by computing the complex band structure from ab initio simulations. The results show that the simple effective mass approximation is insufficient to describe the tunneling current in HfO2 and HZO materials, and quantitative accurate descriptions of the complex band structures are indispensable for calculation of the tunneling current. A compact k . p Hamiltonian is parameterized to and validated by ab initio complex band structures, which provides a method for efficiently and accurately computing the tunneling current in HfO2 and HZO. The device characteristics of a metal/FE/metal structure and a metal/FE/semiconductor (M-F-S) structure are investigated by using the non-equilibrium Green's function formalism with the parameterized effective Hamiltonian. The result shows that the M-F-S structure offers a larger resistance window due to an extra barrier in the semiconductor region at off-state. A FTJ utilizing M-F-S structure is beneficial for memory design.

  20. Sol–gel deposited ceria thin films as gate dielectric for CMOS ...

    Indian Academy of Sciences (India)

    Sol–gel deposited ceria thin films as gate dielectric for CMOS technology. ANIL G KHAIRNAR ... The semiconductor roadmap following Moore's law is responsible for ..... The financial support from University Grants Commi- ssion (UGC), New ...

  1. Effects of film thickness and preferred orientation on the dielectric properties of (Bi1.5Zn0.5)(Zn0.5Nb1.5)O7 films

    International Nuclear Information System (INIS)

    Cao, L Z; Fu, W Y; Wang, S F; Wang, Q; Sun, Z H; Yang, H; Cheng, B L; Wang, H; Zhou, Y L

    2007-01-01

    (Bi 1.5 Zn 0.5 )(Zn 0.5 Nb 1.5 )O 7 (BZN) films with different thicknesses and preferred orientations have been fabricated on Nb doped SrTiO 3 substrates by pulsed laser deposition. As the thickness increases, the permittivity increases, and the dielectric loss decreases, while the tunability only has a little variation. The asymmetric behaviour of the electric field dependent permittivity reduces gradually with the increasing thickness, which should be attributed to the decrease in the effect of the interfacial layer between the dielectric film and substrate (electrode). Furthermore, compared with the (1 0 0) oriented BZN film, BZN film with (1 1 1) preferred orientation exhibits high dielectric loss

  2. Electromigration study of Al thin films deposited on low dielectric polyimide and SiO sub 2 ILD

    CERN Document Server

    Eun, B S

    1999-01-01

    The electromigration characteristics of Al-1 %Si-0.5 %Cu films deposited onto three kinds of polyimides (PI-2734, PI-2611, and BG-2480) and onto SiO sub 2 prepared by low pressure chemical vapor deposition have been investigated. The Al lines deposited onto SiO sub 2 showed about a one-order higher electromigration lifetime than those deposited onto polyimide interlayer dielectrics (ILDs). The electromigration characteristics degraded as the polyimide thickness increased. Joule heat which accumulated at the Al/polyimide interface was the main cause of the decrease in the electromigration reliability because the thermal conductivity of the polyimides was about one order lower than that of SiO sub 2.

  3. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  4. Effect of yttrium doping on the dielectric properties of CaCu{sub 3}Ti{sub 4}O{sub 12} thin film produced by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saji, Viswanathan S., E-mail: vssaji@chosun.ac.k [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of); Choe, Han Cheol [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of)

    2009-05-29

    Pure and yttrium substituted CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x/} {sub 2} (x = 0, 0.02, 0.1) thin films were prepared on boron doped silica substrate employing chemical solution deposition, spin coating and rapid thermal annealing. The phase and microstructure of the sintered films were examined using X-ray diffraction and scanning electron microscopy. Dielectric properties of the films were measured at room temperature using electrochemical impedance spectroscopy. Highly ordered polycrystalline CCTO thin film with bimodal grain size distribution was achieved at a sintering temperature of 800 {sup o}C. Yttrium doping was found to have beneficial effects on the dielectric properties of CCTO thin film. Dielectric parameters obtained for a CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x} {sub /2} (x = 0.02) film at 1 KHz were k {approx} 2700 and tan {delta} {approx} 0.07.

  5. Laser-induced damage to thin film dielectric coatings

    International Nuclear Information System (INIS)

    Walker, T.W.

    1980-01-01

    The laser-induced damage thresholds of dielectric thin film coatings have been found to be more than an order of magnitude lower than the bulk material damage thresholds. Prior damage studies have been inconclusive in determining the damage mechanism which is operative in thin films. A program was conducted in which thin film damage thresholds were measured as a function of laser wavelength (1.06 μm, 0.53 μm, 0.35 μm and 0.26 μm), laser pulse length (5 and 15 nanoseconds), film materials and film thickness. The large matrix of data was compared to predictions given by avalanche ionization, multiphoton ionization and impurity theories of laser damage. When Mie absorption cross-sections and the exact thermal equations were included into the impurity theory excellent agreement with the data was found. The avalanche and multiphoton damage theories could not account for most parametric variations in the data. For example, the damage thresholds for most films increased as the film thickness decreased and only the impurity theory could account for this behavior. Other observed changes in damage threshold with changes in laser wavelength, pulse length and film material could only be adequately explained by the impurity theory. The conclusion which results from this study is that laser damage in thin film coatings results from absorbing impurities included during the deposition process

  6. Investigation of optical pump on dielectric tunability in PZT/PT thin film by THz spectroscopy.

    Science.gov (United States)

    Ji, Jie; Luo, Chunya; Rao, Yunkun; Ling, Furi; Yao, Jianquan

    2016-07-11

    The dielectric spectra of single-layer PbTiO3 (PT), single-layer PbZrxTi1-xO3 (PZT) and multilayer PZT/PT thin films under an external optical field were investigated at room temperature by time-domain terahertz (THz) spectroscopy. Results showed that the real part of permittivity increased upon application of an external optical field, which could be interpreted as hardening of the soft mode and increasing of the damping coefficient and oscillator strength. Furthermore, the central mode was observed in the three films. Among the dielectric property of the three thin films studied, the tunability of the PZT/PT superlattice was the largest.

  7. Formation and disruption of conductive filaments in a HfO2/TiN structure

    International Nuclear Information System (INIS)

    Brivio, S; Tallarida, G; Cianci, E; Spiga, S

    2014-01-01

    The process of the formation and disruption of nanometric conductive filaments in a HfO 2 /TiN structure is investigated by conductive atomic force microscopy. The preforming state evidences nonhomogeneous conduction at high fields through conductive paths, which are associated with pre-existing defects and develop into conductive filaments with a forming procedure. The disruption of the same filaments is demonstrated as well, according to a bipolar operation. In addition, the conductive tip of the microscopy is exploited to perform electrical operations on single conductive spots, which evidences that neighboring conductive filaments are not electrically independent. We propose a picture that describes the evolution of the shape of the conductive filaments in the processes of their formation and disruption, which involves the development of conductive branches from a common root; this root resides in the pre-existing defects that lay at the HfO 2 /TiN interface. (paper)

  8. Study of surface-modified PVP gate dielectric in organic thin film transistors with the nano-particle silver ink source/drain electrode.

    Science.gov (United States)

    Yun, Ho-Jin; Ham, Yong-Hyun; Shin, Hong-Sik; Jeong, Kwang-Seok; Park, Jeong-Gyu; Choi, Deuk-Sung; Lee, Ga-Won

    2011-07-01

    We have fabricated the flexible pentacene based organic thin film transistors (OTFTs) with formulated poly[4-vinylphenol] (PVP) gate dielectrics treated by CF4/O2 plasma on poly[ethersulfones] (PES) substrate. The solution of gate dielectrics is made by adding methylated poly[melamine-co-formaldehyde] (MMF) to PVP. The PVP gate dielectric layer was cross linked at 90 degrees under UV ozone exposure. Source/drain electrodes are formed by micro contact printing (MCP) method using nano particle silver ink for the purposes of low cost and high throughput. The optimized OTFT shows the device performance with field effect mobility of the 0.88 cm2/V s, subthreshold slope of 2.2 V/decade, and on/off current ratios of 1.8 x 10(-6) at -40 V gate bias. We found that hydrophobic PVP gate dielectric surface can influence on the initial film morphologies of pentacene making dense, which is more important for high performance OTFTs than large grain size. Moreover, hydrophobic gate dielelctric surface reduces voids and -OH groups that interrupt the carrier transport in OTFTs.

  9. Yttrium scandate thin film as alternative high-permittivity dielectric for germanium gate stack formation

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Cimang, E-mail: cimang@adam.t.u-tokyo.ac.jp; Lee, Choong Hyun; Nishimura, Tomonori; Toriumi, Akira [Department of Materials Engineering, The University of Tokyo, 7-3-1 Hongo, Tokyo 113-8656 (Japan); JST, CREST, 7-3-1 Hongo, Tokyo 113-8656 (Japan)

    2015-08-17

    We investigated yttrium scandate (YScO{sub 3}) as an alternative high-permittivity (k) dielectric thin film for Ge gate stack formation. Significant enhancement of k-value is reported in YScO{sub 3} comparing to both of its binary compounds, Y{sub 2}O{sub 3} and Sc{sub 2}O{sub 3}, without any cost of interface properties. It suggests a feasible approach to a design of promising high-k dielectrics for Ge gate stack, namely, the formation of high-k ternary oxide out of two medium-k binary oxides. Aggressive scaling of equivalent oxide thickness (EOT) with promising interface properties is presented by using YScO{sub 3} as high-k dielectric and yttrium-doped GeO{sub 2} (Y-GeO{sub 2}) as interfacial layer, for a demonstration of high-k gate stack on Ge. In addition, we demonstrate Ge n-MOSFET performance showing the peak electron mobility over 1000 cm{sup 2}/V s in sub-nm EOT region by YScO{sub 3}/Y-GeO{sub 2}/Ge gate stack.

  10. SHI induced modification in structural, optical, dielectric and thermal properties of poly ethylene oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Gnansagar B.; Bhavsar, Shilpa [Department of Physics, The M.S. University of Baroda, Vadodara 390002 (India); Singh, N.L., E-mail: nl.singh-phy@msubaroda.ac.in [Department of Physics, The M.S. University of Baroda, Vadodara 390002 (India); Singh, F.; Kulriya, P.K. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India)

    2016-07-15

    Poly ethylene oxide (PEO) films were synthesized by solution cast method. These self-standing films were exposed with 60 MeV C{sup +5} ion and 100 MeV Ni{sup +7} ion at different fluences. SHI induced effect was investigated by employing various techniques. The crystalline size decreased upon irradiation as observed from XRD analysis. FTIR analysis reveals the decrement in the peak intensity upon irradiation. Tauc’s method was used to determine the optical band gap (E{sub g}), which shows decreasing trends with increase of fluence. The dielectric properties were investigated in the frequency range 10 Hz to 10 MHz for unirradiated and irradiated films. The dielectric constant remains same for the broad-spectrum of frequency and increases at lower frequency. The dielectric loss also moderately influence as a function of frequency due to irradiation. DSC analysis validated the results of XRD. Scanning electron microscopy (SEM) reveals that there is significant change in the surface morphology due to irradiation.

  11. Stable organic thin-film transistors

    Science.gov (United States)

    Jia, Xiaojia; Fuentes-Hernandez, Canek; Wang, Cheng-Yin; Park, Youngrak; Kippelen, Bernard

    2018-01-01

    Organic thin-film transistors (OTFTs) can be fabricated at moderate temperatures and through cost-effective solution-based processes on a wide range of low-cost flexible and deformable substrates. Although the charge mobility of state-of-the-art OTFTs is superior to that of amorphous silicon and approaches that of amorphous oxide thin-film transistors (TFTs), their operational stability generally remains inferior and a point of concern for their commercial deployment. We report on an exhaustive characterization of OTFTs with an ultrathin bilayer gate dielectric comprising the amorphous fluoropolymer CYTOP and an Al2O3:HfO2 nanolaminate. Threshold voltage shifts measured at room temperature over time periods up to 5.9 × 105 s do not vary monotonically and remain below 0.2 V in microcrystalline OTFTs (μc-OTFTs) with field-effect carrier mobility values up to 1.6 cm2 V−1 s−1. Modeling of these shifts as a function of time with a double stretched-exponential (DSE) function suggests that two compensating aging mechanisms are at play and responsible for this high stability. The measured threshold voltage shifts at temperatures up to 75°C represent at least a one-order-of-magnitude improvement in the operational stability over previous reports, bringing OTFT technologies to a performance level comparable to that reported in the scientific literature for other commercial TFTs technologies. PMID:29340301

  12. Dielectric breakdown in silica-amorphous polymer nanocomposite films: the role of the polymer matrix.

    Science.gov (United States)

    Grabowski, Christopher A; Fillery, Scott P; Westing, Nicholas M; Chi, Changzai; Meth, Jeffrey S; Durstock, Michael F; Vaia, Richard A

    2013-06-26

    The ultimate energy storage performance of an electrostatic capacitor is determined by the dielectric characteristics of the material separating its conductive electrodes. Polymers are commonly employed due to their processability and high breakdown strength; however, demands for higher energy storage have encouraged investigations of ceramic-polymer composites. Maintaining dielectric strength, and thus minimizing flaw size and heterogeneities, has focused development toward nanocomposite (NC) films; but results lack consistency, potentially due to variations in polymer purity, nanoparticle surface treatments, nanoparticle size, and film morphology. To experimentally establish the dominant factors in broad structure-performance relationships, we compare the dielectric properties for four high-purity amorphous polymer films (polymethyl methacrylate, polystyrene, polyimide, and poly-4-vinylpyridine) incorporating uniformly dispersed silica colloids (up to 45% v/v). Factors known to contribute to premature breakdown-field exclusion and agglomeration-have been mitigated in this experiment to focus on what impact the polymer and polymer-nanoparticle interactions have on breakdown. Our findings indicate that adding colloidal silica to higher breakdown strength amorphous polymers (polymethyl methacrylate and polyimide) causes a reduction in dielectric strength as compared to the neat polymer. Alternatively, low breakdown strength amorphous polymers (poly-4-vinylpyridine and especially polystyrene) with comparable silica dispersion show similar or even improved breakdown strength for 7.5-15% v/v silica. At ∼15% v/v or greater silica content, all the polymer NC films exhibit breakdown at similar electric fields, implying that at these loadings failure becomes independent of polymer matrix and is dominated by silica.

  13. Electrowetting Performances of Novel Fluorinated Polymer Dielectric Layer Based on Poly(1H,1H,2H,2H-perfluoroctylmethacrylate Nanoemulsion

    Directory of Open Access Journals (Sweden)

    Jiaxin Hou

    2017-06-01

    Full Text Available In electrowetting devices, hydrophobic insulating layer, namely dielectric layer, is capable of reversibly switching surface wettability through applied electric field. It is critically important but limited by material defects in dielectricity, reversibility, film forming, adhesiveness, price and so on. To solve this key problem, we introduced a novel fluorinated polyacrylate—poly(1H,1H,2H,2H-perfluoroctylmethacrylate (PFMA to construct micron/submicron-scale dielectric layer via facile spray coating of nanoemulsion for replacing the most common Teflon AF series. All the results illustrated that, continuous and dense PFMA film with surface relief less than 20 nm was one-step fabricated at 110 °C, and exhibited much higher static water contact angle of 124°, contact angle variation of 42°, dielectric constant of about 2.6, and breakdown voltage of 210 V than Teflon AF 1600. Particularly, soft and highly compatible polyacrylate mainchain assigned five times much better adhesiveness than common adhesive tape, to PFMA layer. As a promising option, PFMA dielectric layer may further facilitate tremendous development of electrowetting performances and applications.

  14. In-plane microwave dielectric properties of paraelectric barium strontium titanate thin films with anisotropic epitaxy

    Science.gov (United States)

    Simon, W. K.; Akdogan, E. K.; Safari, A.; Bellotti, J. A.

    2005-08-01

    In-plane dielectric properties of ⟨110⟩ oriented epitaxial (Ba0.60Sr0.40)TiO3 thin films in the thickness range from 25-1200nm have been investigated under the influence of anisotropic epitaxial strains from ⟨100⟩ NdGaO3 substrates. The measured dielectric properties show strong residual strain and in-plane directional dependence. Below 150nm film thickness, there appears to be a phase transition due to the anisotropic nature of the misfit strain relaxation. In-plane relative permittivity is found to vary from as much as 500-150 along [11¯0] and [001] respectively, in 600nm thick films, and from 75 to 500 overall. Tunability was found to vary from as much as 54% to 20% in all films and directions, and in a given film the best tunability is observed along the compressed axis in a mixed strain state, 54% along [11¯0] in the 600nm film for example.

  15. Dielectric, ferroelectric, and thermodynamic properties of silicone oil modified PVDF films for energy storage application

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Bingcheng; Wang, Xiaohui, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn; Li, Longtu, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn [State Key Laboratory of New Ceramics and Fine Processing, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Sun, Hui [Aero-Engine Control System Institute, Aviation Industry Corporation of China, Jiangsu, Wuxi 214063 (China)

    2016-06-13

    Silicone oil modified poly(vinylidene fluoride-co-hexafluoropropylene) (P(VDF-HFP)) films were fabricated by the blending, casting, and hot-molding methods. The dielectric constant was increased for the 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films, while the dielectric loss for all blend films are decreased. D-E loops of 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films become slimmer than the pristine P(VDF-HFP) films. The maximum discharged energy density of 10.3 J/cm{sup 3} was obtained in 7.4 wt. % silicone oil modified P(VDF-HFP) films at the external electric field of 398 kV/mm. The Gibbs energy, miscibility, and phase behavior of binary mixture of P(VDF-HFP) silicone oil were investigated using molecular simulations and the extended Flory–Huggins model revealing favorable interactions and compatibility between P(VDF-HFP) and silicone oil.

  16. Thickness-dependent piezoelectric behaviour and dielectric properties of lanthanum modified BiFeO3 thin films

    Directory of Open Access Journals (Sweden)

    Glenda Biasotto

    2011-03-01

    Full Text Available Bi0.85La0.15FeO3 (BLFO thin films were deposited on Pt(111/Ti/SiO2 /Si substrates by the soft chemical method. Films with thicknesses ranging from 140 to 280 nm were grown on platinum coated silicon substrates at 500°C for 2 hours. The X-ray diffraction analysis of BLFO films evidenced a hexagonal structure over the entire thickness range investigated. The grain size of the film changes as the number of the layers increases, indicating thickness dependence. It is found that the piezoelectric response is strongly influenced by the film thickness. It is shown that the properties of BiFeO3 thin films, such as lattice parameter, dielectric permittivity, piezoeletric coefficient etc., are functions of misfit strains.

  17. InAs/GaAs quantum-dot intermixing: comparison of various dielectric encapsulants

    KAUST Repository

    Alhashim, Hala H.; Khan, Mohammed Zahed Mustafa; Majid, Mohammed Abdul; Ng, Tien Khee; Ooi, Boon S.

    2015-01-01

    enhancement and suppression of intermixing up to 725°C, respectively. A QD peak ground-state differential blue shift of >175  nm (>148  meV) is obtained for HfO2 capped sample. Likewise, investigation of TiO2, Al2O3, and ZnO capping films showed unusual

  18. Polyhedral oligomeric silsequioxane monolayer as a nanoporous interlayer for preparation of low-k dielectric films

    International Nuclear Information System (INIS)

    Liu, Y-L; Liu, C-S; Cho, C-I; Hwu, M-J

    2007-01-01

    Polyhedral oligomeric silsequioxane (POSS) monomer was fixed to a silicon surface by reacting octakis(glycidyldimethylsiloxy)octasilsesquioxane (OG-POSS) with the OH-terminated silicon surface in the presence of tin (II) chloride. The POSS cage layer then served as a nanoporous interlayer to reduce the dielectric constants of polyimide films on silicon surfaces. The chemical structure and surface morphology of OG-POSS modified silicon surfaces were characterized with XPS. With the introduction of a POSS nanopored interlayer, the dielectric constants of polyimide films were reduced

  19. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  20. Design and Fabrication of Interdigital Nanocapacitors Coated with HfO2

    Directory of Open Access Journals (Sweden)

    Gabriel González

    2015-01-01

    Full Text Available In this article nickel interdigital capacitors were fabricated on top of silicon substrates. The capacitance of the interdigital capacitor was optimized by coating the electrodes with a 60 nm layer of HfO2. An analytical solution of the capacitance was compared to electromagnetic simulations using COMSOL and with experimental measurements. Results show that modeling interdigital capacitors using Finite Element Method software such as COMSOL is effective in the design and electrical characterization of these transducers.

  1. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    Science.gov (United States)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  2. Optical and microwave dielectric properties of pulsed laser deposited Na{sub 0.5}Bi{sub 0.5}TiO{sub 3} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Joseph, Andrews; Goud, J. Pundareekam; Raju, K. C. James [School of Physics, University of Hyderabad, Hyderabad, Telangana 500046 (India); Emani, Sivanagi Reddy [Advanced Center of Research in High Energy Materials (ACRHEM), School of Physics, University of Hyderabad, Telangana 500046 (India)

    2016-05-23

    Optical properties of pulsed laser deposited (PLD) sodium bismuth titanate thin films (NBT), are investigated at wavelengths of 190-2500 nm. Microwave dielectric properties were investigated using the Split Post Dielectric Resonator (SPDR) technique. At 10 GHz, the NBT films have a dielectric constant of 205 and loss tangent of 0.0373 at room temperature. The optical spectra analysis reveals that NBT thin films have an optical band gap E{sub g}=3.55 eV and it has a dielectric constant of 3.37 at 1000 nm with dielectric loss of 0.299. Hence, NBT is a promising candidate for photonic device applications.

  3. Impedance spectroscopic and dielectric analysis of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A. [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia); Kahouli, A., E-mail: kahouli.kader@yahoo.fr [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia); Sylvestre, A., E-mail: alain.sylvestre@grenoble.cnrs.fr [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Defaye, E. [CEA-LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 9 (France); Yangui, B. [Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia)

    2012-07-15

    Highlights: Black-Right-Pointing-Pointer The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3}. Black-Right-Pointing-Pointer The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. Black-Right-Pointing-Pointer The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. Black-Right-Pointing-Pointer The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin film with Pt/BST/Pt/TiO{sub 2}/SiO{sub 2} structure was prepared by ion beam sputtering. The film was post annealed at 700 Degree-Sign C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1-10{sup 5} Hz] at different temperatures [175-350 Degree-Sign C]. The Nyquist plots (Z Double-Prime vs . Z Prime ) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z Double-Prime and M Double-Prime are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M{sup Double-Prime }/M{sup Double-Prime }{sub max} shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  4. Low temperature perovskite crystallization of highly tunable dielectric Ba0.7Sr0.3TiO3 thick films deposited by ion beam sputtering on platinized silicon substrates

    Science.gov (United States)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-02-01

    Ba0.7Sr0.3TiO3 (BST) thick films with thickness up to 1 μm were deposited on Pt-coated silicon substrates by ion beam sputtering, followed by an annealing treatment. It is demonstrated that pure well-crystallized perovskite phase could be obtained in thick BST films by a low temperature process (535 °C). The BST thick films show highly tunable dielectric properties with tunability (at 800 kV/cm) up to 51.0% and 66.2%, respectively, for the 0.5 and 1 μm thick films. The relationship between strains and dielectric properties was systematically investigated in the thick films. The results suggest that a comparatively larger tensile thermal in-plane strain (0.15%) leads to the degradation in dielectric properties of the 0.5 μm thick film; besides, strong defect-related inhomogeneous strains (˜0.3%) make the dielectric peaks smearing and broadening in the thick films, which, however, preferably results in high figure-of-merit factors over a wide operating temperature range. Moreover, the leakage current behavior in the BST thick films was found to be dominated by the space-charge-limited-current mechanism, irrespective of the film thickness.

  5. Low temperature perovskite crystallization of highly tunable dielectric Ba0.7Sr0.3TiO3 thick films deposited by ion beam sputtering on platinized silicon substrates

    International Nuclear Information System (INIS)

    Zhu, X. H.; Defaye, E.; Aied, M.; Guigues, B.; Dubarry, C.

    2009-01-01

    Ba 0.7 Sr 0.3 TiO 3 (BST) thick films with thickness up to 1 μm were deposited on Pt-coated silicon substrates by ion beam sputtering, followed by an annealing treatment. It is demonstrated that pure well-crystallized perovskite phase could be obtained in thick BST films by a low temperature process (535 deg. C). The BST thick films show highly tunable dielectric properties with tunability (at 800 kV/cm) up to 51.0% and 66.2%, respectively, for the 0.5 and 1 μm thick films. The relationship between strains and dielectric properties was systematically investigated in the thick films. The results suggest that a comparatively larger tensile thermal in-plane strain (0.15%) leads to the degradation in dielectric properties of the 0.5 μm thick film; besides, strong defect-related inhomogeneous strains (∼0.3%) make the dielectric peaks smearing and broadening in the thick films, which, however, preferably results in high figure-of-merit factors over a wide operating temperature range. Moreover, the leakage current behavior in the BST thick films was found to be dominated by the space-charge-limited-current mechanism, irrespective of the film thickness

  6. Influence of stress on the structural and dielectric properties of rf magnetron sputtered zinc oxide thin film

    Science.gov (United States)

    Menon, Rashmi; Sreenivas, K.; Gupta, Vinay

    2008-05-01

    Highly c axis oriented zinc oxide (ZnO) thin films have been prepared on 1737 Corning glass substrate by planar rf magnetron sputtering under varying pressure (10-50mTorr) and different oxygen percentage (40%-100%) in reactive gas mixtures. The as-grown ZnO thin films were found to have stress over a wide range from -6×1010to-9×107dynes/cm2. The presence of stress depends strongly on processing conditions, and films become almost stress free under a unique combination of sputtering pressure and reactive gas composition. The studies show a correlation of stress with structural and electrical properties of the ZnO thin film. The stressed films possess high electrical conductivity and exhibits strong dielectric dispersion over a wide frequency (1kHz-1MHz). The dielectric constant ɛ'(ω) of stress free ZnO film was almost frequency independent and was close to the bulk value. The measured value of dc conductivity, σdc(ω) and ac conductivity σac(ω) of stress free ZnO film was 1.3×10-9 and 6.8×10-5Ω-1cm-1, respectively. The observed variation in the structural and electrical properties of ZnO thin film with stress has been analyzed in the light of growth kinetics.

  7. Constant-current corona triode adapted and optimized for the characterization of thin dielectric films

    Science.gov (United States)

    Giacometti, José A.

    2018-05-01

    This work describes an enhanced corona triode with constant current adapted to characterize the electrical properties of thin dielectric films used in organic electronic devices. A metallic grid with a high ionic transparency is employed to charge thin films (100 s of nm thick) with a large enough charging current. The determination of the surface potential is based on the grid voltage measurement, but using a more sophisticated procedure than the previous corona triode. Controlling the charging current to zero, which is the open-circuit condition, the potential decay can be measured without using a vibrating grid. In addition, the electric capacitance and the characteristic curves of current versus the stationary surface potential can also be determined. To demonstrate the use of the constant current corona triode, we have characterized poly(methyl methacrylate) thin films with films with thicknesses in the range from 300 to 500 nm, frequently used as gate dielectric in organic field-effect transistors.

  8. Ceramic-polymer nanocomposites with increased dielectric permittivity and low dielectric loss

    International Nuclear Information System (INIS)

    Bhardwaj, Sumit; Paul, Joginder; Raina, K. K.; Thakur, N. S.; Kumar, Ravi

    2014-01-01

    The use of lead free materials in device fabrication is very essential from environmental point of view. We have synthesized the lead free ferroelectric polymer nanocomposite films with increased dielectric properties. Lead free bismuth titanate has been used as active ceramic nanofillers having crystallite size 24nm and PVDF as the polymer matrix. Ferroelectric β-phase of the polymer composite films was confirmed by X-ray diffraction pattern. Mapping data confirms the homogeneous dispersion of ceramic particles into the polymer matrix. Frequency dependent dielectric constant increases up to 43.4 at 100Hz, whereas dielectric loss decreases with 7 wt% bismuth titanate loading. This high dielectric constant lead free ferroelectric polymer films can be used for energy density applications

  9. Structure and Optical Properties of Nanocrystalline Hafnium Oxide Thin Films (PostPrint)

    Science.gov (United States)

    2014-09-01

    sputter-deposition. A large band gap coupled with low absorption provide optical transparency over a broad range in the electromagnetic spectrum; HfO2...k) in the middle of the visible spec- trum, and C influences n(k) to a greater extent in shorter wave - lengths [31]. Note that this principle behind...Approved for publicnanocrystalline HfO2 films crystallize in monoclinic structure. Fur - thermore, increasing Ts results in improved structural order and

  10. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A; Borderon, C; Tacon, S Le; Averty, D; Gundel, H W

    2008-01-01

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi 3.25 La 0.75 Ti 3 O 12 (BLT 0,75 ), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi 3.25 La 0.75 Ti 3 O 12 . After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO 2 /SiO 2 /Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed

  11. Current-voltage hysteresis and dielectric properties of PVA coated MWCNT film

    Science.gov (United States)

    Das, Amit Kumar; Meikap, Ajit Kumar

    2017-12-01

    In this work, we have prepared polyvinyl alcohol (PVA) coated multiwall carbon nanotube (MWCNT) film by an in situ chemical oxidative preparation technique. The thermogravimetric analysis clearly explains the thermal degradation of pure polymer and polymer nanocomposite film. We have studied the AC electrical transport properties and current-voltage (I-V) characteristic of PVA-MWCNT composites within the temperature range 300 ≤ T ≤ 423 K and frequency range 150 Hz ≤ f ≤ 2 MHz. It is observed that the dielectric constant of the composite film increases significantly. The frequency variation of AC conductivity follows the power law ( ωS ) and a sharp transition from small polaron tunneling to correlated barrier hopping model is found. The imaginary part of electric modulus shows non-Debye type asymmetric behaviour. The impedance spectroscopy shows the negative temperature coefficient of resistance of the composite film. Nyquist plot of the composite film at different temperatures is established from impedance measurement. The current-voltage characteristic (under ± 20 V) shows hysteresis behaviour and field dependent resistance. We simulate the experimentally observed current density-electric field data with the established theory.

  12. Current-voltage hysteresis and dielectric properties of PVA coated MWCNT film

    Science.gov (United States)

    Das, Amit Kumar; Meikap, Ajit Kumar

    2018-06-01

    In this work, we have prepared polyvinyl alcohol (PVA) coated multiwall carbon nanotube (MWCNT) film by an in situ chemical oxidative preparation technique. The thermogravimetric analysis clearly explains the thermal degradation of pure polymer and polymer nanocomposite film. We have studied the AC electrical transport properties and current-voltage (I-V) characteristic of PVA-MWCNT composites within the temperature range 300 ≤ T ≤ 423 K and frequency range 150 Hz ≤ f ≤ 2 MHz. It is observed that the dielectric constant of the composite film increases significantly. The frequency variation of AC conductivity follows the power law ( ωS ) and a sharp transition from small polaron tunneling to correlated barrier hopping model is found. The imaginary part of electric modulus shows non-Debye type asymmetric behaviour. The impedance spectroscopy shows the negative temperature coefficient of resistance of the composite film. Nyquist plot of the composite film at different temperatures is established from impedance measurement. The current-voltage characteristic (under ± 20 V) shows hysteresis behaviour and field dependent resistance. We simulate the experimentally observed current density-electric field data with the established theory.

  13. Ion transport study in polymer-nanocomposite films by dielectric spectroscopy and conductivity scaling

    Energy Technology Data Exchange (ETDEWEB)

    Tripathi, Namrata, E-mail: ntripat@ilstu.edu [Department of Physics, Illinois State University, Normal, IL 61790 (United States); Thakur, Awalendra K. [Department of Physics, Indian Institute of Technology Patna, Bihar 800013 (India); Shukla, Archana [Department of Metallurgical Engineering & Materials Science, Indian Institute of Technology, Bombay 721302 (India); Marx, David T. [Department of Physics, Illinois State University, Normal, IL 61790 (United States)

    2015-07-15

    The dielectric and conductivity response of polymer nanocomposite electrolytes (films of PMMA{sub 4}LiClO{sub 4} dispersed with nano-CeO{sub 2} powder) have been investigated. The dielectric behavior was analyzed via the dielectric permittivity (ε′) and dissipation factor (tan δ) of the samples. The analysis has shown the presence of space charge polarization at lower frequencies. The real part of ac conductivity spectra of materials obeys the Jonscher power law. Parameters such as dc conductivity, hopping rate, activation energies and the concentration of charge carriers were determined from conductivity data using the Almond West formalism. It is observed that the higher ionic conductivity at higher temperature is due to increased thermally-activated hopping rates accompanied by a significant increase in carrier concentration. The contribution of carrier concentration to the total conductivity is also confirmed from activation energy of migration conduction and from Summerfield scaling. The ac conductivity results are also well correlated with TEM results.

  14. Ion transport study in polymer-nanocomposite films by dielectric spectroscopy and conductivity scaling

    Science.gov (United States)

    Tripathi, Namrata; Thakur, Awalendra K.; Shukla, Archana; Marx, David T.

    2015-07-01

    The dielectric and conductivity response of polymer nanocomposite electrolytes (films of PMMA4LiClO4 dispersed with nano-CeO2 powder) have been investigated. The dielectric behavior was analyzed via the dielectric permittivity (ε‧) and dissipation factor (tan δ) of the samples. The analysis has shown the presence of space charge polarization at lower frequencies. The real part of ac conductivity spectra of materials obeys the Jonscher power law. Parameters such as dc conductivity, hopping rate, activation energies and the concentration of charge carriers were determined from conductivity data using the Almond West formalism. It is observed that the higher ionic conductivity at higher temperature is due to increased thermally-activated hopping rates accompanied by a significant increase in carrier concentration. The contribution of carrier concentration to the total conductivity is also confirmed from activation energy of migration conduction and from Summerfield scaling. The ac conductivity results are also well correlated with TEM results.

  15. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.

    2015-03-09

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60°C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  16. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    Science.gov (United States)

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  17. Growth and characteristics of PbS/polyvinyl alcohol nanocomposites for flexible high dielectric thin film applications

    International Nuclear Information System (INIS)

    Hmar, J.J.L.; Majumder, T.; Mondal, S.P.

    2016-01-01

    PbS/polyvinyl alcohol (PbS/PVA) nanocomposites have been grown by a chemical bath deposition process at various growth temperatures (60–100 °C). Transmission electron microscopy (TEM) study revealed the formation of PbS nanoparticles of diameter 6–20 nm encapsulated in PVA matrix. Optical band gap of the nanocomposite films have been found to decrease (1.45 eV–0.67 eV) with increase in growth temperature from 60 °C to 100 °C. The impedance measurements have been carried out by depositing the PbS/PVA films on indium tin oxide (ITO) coated flexible polyethylene terephthalate (PET) substrates. The room temperature dielectric permittivity and ac conductivity measurements have been carried out for ITO/PbS/PVA/Al devices deposited at various growth temperatures. The nanocomposite films demonstrate superior dielectric permittivity compare to pure PVA polymer. The flexibility studies of ITO/PbS/PVA/Al devices have been performed at different bending angles. - Highlights: • PbS nanoparticles of diameter 6–20 nm were grown in polyvinyl (PVA) matrix. • Optical band gap of nanocomposite films was varied from 1.45–0.67 eV. • The nanocomposite thin films demonstrated superior dielectric permittivity. • Flexibility study of thin film devices was performed at various bending angles.

  18. Metal-insulator phase transition in a VO2 thin film observed with terahertz spectroscopy

    DEFF Research Database (Denmark)

    Jepsen, Peter Uhd; Fischer, Bernd M.; Thoman, Andreas

    2006-01-01

    We investigate the dielectric properties of a thin VO2 film in the terahertz frequency range in the vicinity of the semiconductor-metal phase transition. Phase-sensitive broadband spectroscopy in the frequency region below the phonon bands of VO2 gives insight into the conductive properties...... of the film during the phase transition. We compare our experimental data with models proposed for the evolution of the phase transition. The experimental data show that the phase transition occurs via the gradual growth of metallic domains in the film, and that the dielectric properties of the film...

  19. Dielectric strength of voidless BaTiO{sub 3} films with nano-scale grains fabricated by aerosol deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hong-Ki; Lee, Young-Hie, E-mail: yhlee@kw.ac.kr [Department of Electronics Materials Engineering, Kwangwoon University, Seoul (Korea, Republic of); Lee, Seung-Hwan [Department of Electronics Materials Engineering, Kwangwoon University, Seoul (Korea, Republic of); R and D Center, Samwha Capacitor, Yongin (Korea, Republic of); In Kim, Soo; Woo Lee, Chang [Department of Nano and Electronic Physics, Kookmin University, Seoul (Korea, Republic of); Rag Yoon, Jung [R and D Center, Samwha Capacitor, Yongin (Korea, Republic of); Lee, Sung-Gap [Department of Ceramic Engineering, Engineering Research Institute, Gyeongsang National University, Jinju (Korea, Republic of)

    2014-01-07

    In order to investigate the dielectric strength properties of the BaTiO{sub 3} films with nano-scale grains with uniform grain size and no voids, BaTiO{sub 3} films were fabricated with a thickness of 1 μm by an AD process, and the fabricated films were sintered at 800, 900, and 1000 °C in air and reducing atmosphere. The films have superior dielectric strength properties due to their uniform grain size and high density without any voids. In addition, based on investigation of the leakage current (intrinsic) properties, it was confirmed that the sintering conditions of the reducing atmosphere largely increase leakage currents due to generated electrons and doubly ionized oxygen vacancies following the Poole-Frenkel emission mechanism, and increased leakage currents flow at grain boundary regions. Therefore, we conclude that the extrinsic breakdown factors should be eliminated for superior dielectric strength properties, and it is important to enhance grain boundaries by doping acceptors and rare-earth elements.

  20. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    Science.gov (United States)

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  1. Internal filament modulation in low-dielectric gap design for built-in selector-less resistive switching memory application

    Science.gov (United States)

    Chen, Ying-Chen; Lin, Chih-Yang; Huang, Hui-Chun; Kim, Sungjun; Fowler, Burt; Chang, Yao-Feng; Wu, Xiaohan; Xu, Gaobo; Chang, Ting-Chang; Lee, Jack C.

    2018-02-01

    Sneak path current is a severe hindrance for the application of high-density resistive random-access memory (RRAM) array designs. In this work, we demonstrate nonlinear (NL) resistive switching characteristics of a HfO x /SiO x -based stacking structure as a realization for selector-less RRAM devices. The NL characteristic was obtained and designed by optimizing the internal filament location with a low effective dielectric constant in the HfO x /SiO x structure. The stacking HfO x /SiO x -based RRAM device as the one-resistor-only memory cell is applicable without needing an additional selector device to solve the sneak path issue with a switching voltage of ~1 V, which is desirable for low-power operating in built-in nonlinearity crossbar array configurations.

  2. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    Science.gov (United States)

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  3. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    Science.gov (United States)

    Venkata Saravanan, K.; Raju, K. C. James

    2014-03-01

    The surface chemical states of RF-magnetron sputtered Ba0.5Sr0.5TiO3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O2 -, adsorbed oxide ion O- and lattice oxide ion O2-) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP ≦̸ 25%), whereas the films deposited in oxygen rich atmosphere (OMP ≧̸ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ~65% (@280 kV cm-1), with good ɛ r-E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications.

  4. AC conductivity and dielectric properties of amorphous GexSb40-xSe60 thin films

    International Nuclear Information System (INIS)

    Atyia, H.E.; Farid, A.M.; Hegab, N.A.

    2008-01-01

    Measurements of AC conductivity and dielectric properties have been made for chalcogenide film samples of Ge x Sb 40-x Se 60 (with x=0, 10 and 20 at%) at different temperatures (303-393 K) and various frequencies (10 2 -10 5 Hz). It was found that the AC conductivity obeys the law σ(ω, T)=Aω s . The exponent s 1 and dielectric loss ε 2 were found to decrease with frequency and increase with temperature. The maximum barrier height W M was calculated from dielectric measurements according to the Guintini equation. It was found that the obtained value of W m agrees with that proposed by the theory of hopping of charge carriers over potential barrier as suggested by Elliott in case of chalcogenide glasses. The density of localized states N(E F ) has also been calculated for the studied compositions. The effect of decreasing the Sb content at the expense of the Ge content was investigated for the obtained results of the studied parameters

  5. Ferroelectricity, Piezoelectricity, and Dielectricity of 0.06PMnN-0.94PZT(45/55 Thin Film on Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Tao Zhang

    2015-01-01

    Full Text Available The high piezoelectricity and high quality factor ferroelectric thin films are important for electromechanical applications especially the micro electromechanical system (MEMS. The ternary compound ferroelectric thin films 0.06Pb(Mn1/3, Nb2/3O3 + 0.94Pb(Zr0.45, Ti0.55O3 (0.06PMnN-0.94PZT(45/55 were deposited on silicon(100 substrates by RF magnetron sputtering method considering that Mn and Nb doping will improve PZT properties in this research. For comparison, nondoped PZT(45/55 films were also deposited. The results show that both of thin films show polycrystal structures with the main (111 and (101 orientations. The transverse piezoelectric coefficients are e31,eff=−4.03 C/m2 and e31,eff=-3.5 C/m2, respectively. These thin films exhibit classical ferroelectricity, in which the coercive electric field intensities are 2Ec=147.31 kV/cm and 2Ec=135.44 kV/cm, and the saturation polarization Ps=30.86 μC/cm2 and Ps=17.74 μC/cm2, and the remnant polarization Pr=20.44 μC/cm2 and Pr=9.87 μC/cm2, respectively. Moreover, the dielectric constants and loss are εr=681 and D=5% and εr=537 and D=4.3%, respectively. In conclusion, 0.06PMnN-0.94PZT(45/55 thin films act better than nondoped films, even though their dielectric constants are higher. Their excellent ferroelectricity, piezoelectricity, and high power and energy storage property, especially the easy fabrication, integration realizable, and potentially high quality factor, make this kind of thin films available for the realistic applications.

  6. Studies on Optical and Electrical Properties of Hafnium Oxide Nanoparticles

    Science.gov (United States)

    Jayaraman, Venkatachalam; Sagadevan, Suresh; Sudhakar, Rajesh

    2017-07-01

    In this paper, the synthesis and physico-chemical properties of hafnium oxide nanoparticles (HfO2 NPs) are analyzed and reported. The synthesis was carried out by the precipitation route by using hafnium tetrachloride (HfCl4) as precursor material with potassium hydroxide (KOH) dissolved in Millipore water. In the precipitation technique, the chemical reaction is comparatively simple, low-cost and non-toxic compared to other synthetic methods. The synthesized HfO2 NPs were characterized by using powder x-ray diffraction (PXRD), ultraviolet-visible (UV-Vis) spectroscopy, Raman analysis, and high-resolution transmission electron microscopy (HRTEM). The monoclinic structure of the HfO2 NPs was resolved utilizing x-ray diffraction (XRD). The optical properties were studied from the UV-Vis absorption spectrum. The optical band gap of the HfO2NPs was observed to be 5.1 eV. The Raman spectrum shows the presence of HfO2 NPs. The HRTEM image showed that the HfO2 NPs were of spherical shape with an average particle size of around 28 nm. The energy-dispersive x-ray spectroscopy (EDS) spectrum obviously demonstrated the presence of HfO2 NPs. Analysis and studies on the dielectric properties of the HfO2 NPs such as the dielectric constant, the dielectric loss, and alternating current (AC) conductivity were carried out at varying frequencies and temperatures.

  7. Pentacene-Based Thin Film Transistor with Inkjet-Printed Nanocomposite High-K Dielectrics

    Directory of Open Access Journals (Sweden)

    Chao-Te Liu

    2012-01-01

    Full Text Available The nanocomposite gate insulating film of a pentacene-based thin film transistor was deposited by inkjet printing. In this study, utilizing the pearl miller to crumble the agglomerations and the dispersant to well stabilize the dispersion of nano-TiO2 particles in the polymer matrix of the ink increases the dose concentration for pico-jetting, which could be as the gate dielectric film made by inkjet printing without the photography process. Finally, we realized top contact pentacene-TFTs and successfully accomplished the purpose of directly patternability and increase the performance of the device based on the nanocomposite by inkjet printing. These devices exhibited p-channel TFT characteristics with a high field-effect mobility (a saturation mobility of ̃0.58 cm2 V−1 s−1, a large current ratio (>103 and a low operation voltage (<6 V. Furthermore, we accorded the deposited mechanisms which caused the interface difference between of inkjet printing and spin coating. And we used XRD, SEM, Raman spectroscopy to help us analyze the transfer characteristics of pentacene films and the performance of OTFTs.

  8. Preparation and Characterization of PbO-SrO-Na2O-Nb2O5-SiO2 Glass Ceramics Thin Film for High-Energy Storage Application

    Science.gov (United States)

    Tan, Feihu; Zhang, Qingmeng; Zhao, Hongbin; Wei, Feng; Du, Jun

    2018-03-01

    PbO-SrO-Na2O-Nb2O5-SiO2 (PSNNS) glass ceramic thin films were prepared by pulsed laser deposition technology on heavily doped silicon substrates. The influence of annealing temperatures on microstructures, dielectric properties and energy storage performances of the as-prepared films were investigated in detail. X-ray diffraction studies indicate that Pb2Nb2O7 crystallizes at 800°C and disappears at 900°C, while NaNbO3 and PbNb2O6 are formed at the higher temperature of 900°C. The dielectric properties of the glass ceramics thin films have a strong dependence on the phase assemblages that are developed during heat treatment. The maximum dielectric constant value of 171 was obtained for the film annealed at 800°C, owing to the high electric breakdown field strength, The energy storage densities of the PSNNS films annealed at 800°C were as large as 36.9 J/cm3, These results suggest that PSNNS thin films are promising for energy storage applications.

  9. Brillouin light scattering studies on the mechanical properties of ultrathin, porous low-K dielectric films

    Science.gov (United States)

    Zhou, Wei; Sooryakumar, R.; King, Sean

    2010-03-01

    Low K dielectrics have predominantly replaced silicon dioxide as the interlayer dielectric material for interconnects in state of the art integrated circuits. To further reduce interconnect resistance-capacitance (RC) delays, additional reductions in the K for these low-K materials is being pursued by the introduction of controlled levels of porosity. The main challenge for porous low-K dielectrics is the substantial reduction in mechanical properties that is accompanied by the increased pore volume content needed to reduce K. We report on the application of the nondestructive Brillouin light scattering technique to monitor and characterize the mechanical properties of these porous films at thicknesses well below 200 nm that are pertinent to present applications. Observation of longitudinal and transverse standing wave acoustic resonances and the dispersion that accompany their transformation into traveling waves with finite in-plane wave vectors provides for the principal elastic constants that completely characterize the mechanical properties of these porous films. The mode amplitudes of the standing waves, their variation within the film, and the calculated Brillouin intensities account for most aspects of the spectra. The resulting elastic constants are compared with corresponding values obtained from other experimental techniques.

  10. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    International Nuclear Information System (INIS)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J.R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J.L.

    2016-01-01

    Highlights: • Hafnium oxide growth on Si(100) by atomic layer deposition was simulated. • The interface structure was considered as silicate and silicide. • The interface was studied employing DFT. • TDMA-Hf precursor show better interface stability. - Abstract: The final structure of HfO 2 films grown by atomic layer deposition (ALD) after reaction with OH − ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl 4 (hafnium tetrachloride), HfI 4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO–H was studied employing the B3LYP (Becke 3-parameter, Lee–Yang–Parr) hybrid functional and the PBE (Perdew–Burke–Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  11. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    Science.gov (United States)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  12. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A [Universite de Nantes - Institut des Materiaux Jean Rouxel, UMR CNRS 6502, 2, rue de la Houssiniere BP32229, 44322 Nantes Cedex (France); Borderon, C; Tacon, S Le; Averty, D; Gundel, H W [Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique, UPRES-EA 1770, IREENA, Universite de Nantes, 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex (France)], E-mail: Marie-Paule.Besland@cnrs-imn.fr

    2008-01-15

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}(BLT{sub 0,75}), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}. After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed.

  13. Atomic scale engineering of HfO{sub 2}-based dielectrics for future DRAM applications

    Energy Technology Data Exchange (ETDEWEB)

    Dudek, Piotr

    2011-02-14

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO{sub 2} thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO{sub 2} (BaHfO{sub 3}) films and Ti-added BaHfO{sub 3} (BaHf{sub 0.5}Ti{sub 0.5}O{sub 3}) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO{sub 3} (k{proportional_to}38) and BaHf{sub 0.5}Ti{sub 0.5}O{sub 3} (k{proportional_to}90) with respect to monoclinic HfO{sub 2} (k{proportional_to}19). Meanwhile the CET values scaled down to 1 nm for BaHfO{sub 3} and {proportional_to}0.8 nm for BaHf{sub 0.5}Ti{sub 0.5}O{sub 3} with respect to HfO{sub 2} (CET=1.5 nm). The Hf{sup 4+} ions substitution in BaHfO{sub 3} by Ti{sup 4+} ions led to a significant decrease of thermal budget from 900 C for BaHfO{sub 3} to 700 C for BaHf{sub 0.5}Ti{sub 0.5}O{sub 3}. Future studies need to focus

  14. Effect of the heat flux direction on electrical properties of SrBi2Nb2O9 thin films crystallized using a microwave oven

    International Nuclear Information System (INIS)

    Vasconcelos, J.S.; Vasconcelos, N.S.L.S.; Zanetti, S.M.; Leite, E.R.; Varela, J.A.; Longo, E.

    2004-01-01

    Ferroelectric SrBi 2 Nb 2 O 9 (SBN) thin films were prepared by the polymeric precursors method and deposited by spin coating onto Pt/Ti/SiO 2 /Si substrate and crystallized using a domestic microwave oven. It was studied the influence of the heat flux direction and the duration of the thermal treatment on the films crystallization. An element with high dielectric loss, a SiC susceptor, was used to absorb the microwave energy and transfers the heat to the film. Influence of the susceptor position to the sample crystallization was verified, the susceptor was placed or below the substrate or above the film. The SBN perovskite phase was observed after a thermal treatment at 700 deg. C for 10 min when the susceptor was placed below the substrate and for 30 min when the susceptor was placed above the film. Electrical measurements revealed that the film crystallized at 700 deg. C for 10 min, with the susceptor placed below the film, presented dielectric constant, dielectric loss, remanent polarization and coercive field of, 67, 0.011, 4.2 μC/cm 2 and 27.5 kV/cm, respectively. When the films were crystallized at 700 deg. C for 30 min, with the susceptor placed above the film, the dielectric constant was 115 and the dissipation factor was around of 0.033, remanent polarization and coercive field were 10.8 μC/cm 2 and 170 kV/cm, respectively

  15. High reflectivity YDH/SiO2 distributed Bragg reflector for UV-C wavelength regime

    KAUST Repository

    Alias, Mohd Sharizal

    2018-02-15

    A distributed Bragg reflector (DBR) composed of Y2O3-doped HfO2 (YDH)/SiO2 layers with high reflectivity spectrum centered at a wavelength of ~240 nm is deposited using radio-frequency magnetron sputtering. Before the DBR deposition, optical properties for a single layer of YDH, SiO2, and HfO2 thin films were studied using spectroscopic ellipsometry and spectrophotometry. To investigate the performance of YDH as a material for the high refractive index layer in the DBR, a comparison of its optical properties was made with HfO2 thin films. Due to larger optical bandgap, the YDH thin films demonstrated higher transparency, lower extinction coefficient, and lower absorption coefficient in the UV-C regime (especially for wavelengths below 250 nm) compared to the HfO2 thin films. The deposited YDH/SiO2 DBR consisting of 15 periods achieved a reflectivity higher than 99.9% at the wavelength of ~240 nm with a stopband of ~50 nm. The high reflectivity and broad stopband of YDH/SiO2 DBRs will enable further advancement of various photonic devices such as vertical-cavity surface-emitting lasers, resonant-cavity light-emitting diodes, and resonant-cavity photodetectors operating in the UV-C wavelength regime.

  16. Coupled Optical Tamm States in a Planar Dielectric Mirror Structure Containing a Thin Metal Film

    International Nuclear Information System (INIS)

    Zhou Hai-Chun; Yang Guang; Lu Pei-Xiang; Wang Kai; Long Hua

    2012-01-01

    The coupling between two optical Tamm states (OTSs) with the same eigenenergy is numerically investigated in a planar dielectric mirror structure containing a thin metal film. The reflectivity map in this structure at normal incidence is obtained by applying the transfer matrix method. Two splitting branches appear in the photonic bandgap region when both adjacent dielectric layers of metal film are properly set. The splitting energy of two branches strongly depends on the thickness of the metal film. According to the electric field distribution in this structure, it is found that the high-energy branch corresponds to the antisymmetric coupling between two OTSs, while the low-energy branch is associated with the symmetric coupling between two OTSs. Moreover, the optical difference frequency of two branches is located in a broad terahertz region. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  17. Magnetically tunable dielectric, impedance and magnetoelectric response in MnFe{sub 2}O{sub 4}/(Pb{sub 1−x}Sr{sub x})TiO{sub 3} composites thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bala, Kanchan, E-mail: bala.kanchan1987@gmail.com [Department of Physics, Himachal Pradesh University, Shimla 171005 (India); Kotnala, R.K. [CSIR, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi 110012 (India); Negi, N.S., E-mail: nsn_phy_hpu@yahoo.com [Department of Physics, Himachal Pradesh University, Shimla 171005 (India)

    2017-02-15

    We have synthesized piezomagnetic–piezoelectric composites thin films MnFe{sub 2}O{sub 4}/(Pb{sub 1−x}Sr{sub x})TiO{sub 3}, where x=0.1, 0.2, and 0.3, using the metalorganic deposition (MOD) reaction method. The structural and microstructural analysis using the X-ray diffraction (XRD), AFM, and SEM reveals the presence of homogenous growth of both pervoskite and spinel phases in the composite films. Our results show that all the composites films exhibit good multiferroic as well as considerable magnetoelectric coupling. The impedance (Z′ and Z″) and electrical modulus (M′ and M″) Nyquist plots show distinct electrical responses with the magnetic field. Our analyses suggest that this electrical response arises due to the coexistence of the high resistive phase and the comparatively conductive phase in the MFO/PST composite films. The maximum magnetoelectric coefficient (α) is found to be 4.29 V Oe{sup −1} cm{sup −1} and 2.82 V Oe{sup −1} cm{sup −1} for compositions x=0.1 and 0.2. These values are substantially larger than those reported for bilayer composites thin films in literature and make them interesting for room temperature device applications. - Highlights: • Influence of Sr doping on multiferroic and magnetoelectric properties composites thin films of MnFe{sub 2}O{sub 4} and (Pb, Sr)TiO{sub 3}. • Dielectric constant and dielectric loss with application of magnetic field. • Magnetically tunable AC electrical properties. • Magnetoelectric coupling in MnFe{sub 2}O{sub 4}/(Pb, Sr)TiO{sub 3} composite films by passive method.

  18. Sodium beta-alumina thin films as gate dielectrics for AlGaN/GaN metal—insulator—semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Tian Ben-Lang; Chen Chao; Li Yan-Rong; Zhang Wan-Li; Liu Xing-Zhao

    2012-01-01

    Sodium beta-alumina (SBA) is deposited on AlGaN/GaN by using a co-deposition process with sodium and Al 2 O 3 as the precursors. The X-ray diffraction (XRD) spectrum reveals that the deposited thin film is amorphous. The binding energy and composition of the deposited thin film, obtained from the X-ray photoelectron spectroscopy (XPS) measurement, are consistent with those of SBA. The dielectric constant of the SBA thin film is about 50. Each of the capacitance—voltage characteristics obtained at five different frequencies shows a high-quality interface between SBA and AlGaN. The interface trap density of metal—insulator—semiconductor high-electron-mobility transistor (MISHEMT) is measured to be (3.5∼9.5)×10 10 cm −2 ·eV −1 by the conductance method. The fixed charge density of SBA dielectric is on the order of 2.7×10 12 cm −2 . Compared with the AlGaN/GaN metal—semiconductor heterostructure high-electron-mobility transistor (MESHEMT), the AlGaN/GaN MISHEMT usually has a threshold voltage that shifts negatively. However, the threshold voltage of the AlGaN/GaN MISHEMT using SBA as the gate dielectric shifts positively from −5.5 V to −3.5 V. From XPS results, the surface valence-band maximum (VBM-EF) of AlGaN is found to decrease from 2.56 eV to 2.25 eV after the SBA thin film deposition. The possible reasons why the threshold voltage of AlGaN/GaN MISHEMT with the SBA gate dielectric shifts positively are the influence of SBA on surface valence-band maximum (VBM-EF), the reduction of interface traps and the effects of sodium ions, and/or the fixed charges in SBA on the two-dimensional electron gas (2DEG). (condensed matter: structural, mechanical, and thermal properties)

  19. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    International Nuclear Information System (INIS)

    Venkata Saravanan, K; James Raju, K C

    2014-01-01

    The surface chemical states of RF-magnetron sputtered Ba 0.5 Sr 0.5 TiO 3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O 2 − , adsorbed oxide ion O − and lattice oxide ion O 2− ) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP not ≦ 25%), whereas the films deposited in oxygen rich atmosphere (OMP not ≧ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O 2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ∼65% (@280 kV cm −1 ), with good ϵ r -E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications. (papers)

  20. Electrical characteristics of SrTiO{sub 3}/Al{sub 2}O{sub 3} laminated film capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Peng, Yong; Yao, Manwen, E-mail: yaomw@tongji.edu.cn; Chen, Jianwen; Xu, Kaien; Yao, Xi [Functional Materials Research Laboratory, School of Materials Science and Engineering, Tongji University, Shanghai 200092 (China)

    2016-07-07

    The electrical characteristics of SrTiO{sub 3}/Al{sub 2}O{sub 3} (160 nm up/90 nm down) laminated film capacitors using the sol-gel process have been investigated. SrTiO{sub 3} is a promising and extensively studied high-K dielectric material, but its leakage current property is poor. SrTiO{sub 3}/Al{sub 2}O{sub 3} laminated films can effectively suppress the demerits of pure SrTiO{sub 3} films under low electric field, but the leakage current value reaches to 0.1 A/cm{sup 2} at higher electric field (>160 MV/m). In this study, a new approach was applied to reduce the leakage current and improve the dielectric strength of SrTiO{sub 3}/Al{sub 2}O{sub 3} laminated films. Compared to laminated films with Au top electrodes, dielectric strength of laminated films with Al top electrodes improves from 205 MV/m to 322 MV/m, simultaneously the leakage current maintains the same order of magnitude (10{sup −4} A/cm{sup 2}) until the breakdown occurs. The above electrical characteristics are attributed to the anodic oxidation reaction in origin, which can repair the defects of laminated films at higher electric field. The anodic oxidation reactions have been confirmed by the corresponding XPS measurement and the cross sectional HRTEM analysis. This work provides a new approach to fabricate dielectrics with high dielectric strength and low leakage current.

  1. Thermal effects on the Raman phonon of few-layer phosphorene

    International Nuclear Information System (INIS)

    Ling, Zhi-Peng; Ang, Kah-Wee

    2015-01-01

    Two-dimensional phosphorene is a promising channel material for next generation transistor applications due to its superior carrier transport property. Here, we report the influence of thermal effects on the Raman phonon of few-layer phosphorene formed on hafnium-dioxide (HfO 2 ) high-k dielectric. When annealed at elevated temperatures (up to 200 °C), the phosphorene film was found to exhibit a blue shift in both the out-of-plane (A 1 g ) and in-plane (B 2g and A 2 g ) phonon modes as a result of compressive strain effect. This is attributed to the out-diffusion of hafnium (Hf) atoms from the underlying HfO 2 dielectric, which compresses the phosphorene in both the zigzag and armchair directions. With a further increase in thermal energy beyond 250 °C, strain relaxation within phosphorene eventually took place. When this happens, the phosphorene was unable to retain its intrinsic crystallinity prior to annealing, as evident from the broadening of full-width at half maximum of the Raman phonon. These results provide an important insight into the impact of thermal effects on the structural integrity of phosphorene when integrated with high-k gate dielectric

  2. A flexible, high-performance magnetoelectric heterostructure of (001) oriented Pb(Zr0.52Ti0.48)O3 film grown on Ni foil

    Science.gov (United States)

    Palneedi, Haribabu; Yeo, Hong Goo; Hwang, Geon-Tae; Annapureddy, Venkateswarlu; Kim, Jong-Woo; Choi, Jong-Jin; Trolier-McKinstry, Susan; Ryu, Jungho

    2017-09-01

    In this study, a flexible magnetoelectric (ME) heterostructure of PZT/Ni was fabricated by depositing a (001) oriented Pb(Zr0.52Ti0.48)O3 (PZT) film on a thin, flexible Ni foil buffered with LaNiO3/HfO2. Excellent ferroelectric properties and large ME voltage coefficient of 3.2 V/cmṡOe were realized from the PZT/Ni heterostructure. The PZT/Ni composite's high performance was attributed to strong texturing of the PZT film, coupled with the compressive stress in the piezoelectric film. Besides, reduced substrate clamping in the PZT film due to the film on the foil structure and strong interfacial bonding in the PZT/LaNiO3/HfO2/Ni heterostructure could also have contributed to the high ME performance of PZT/Ni.

  3. Direct growth of nanocrystalline hexagonal boron nitride films on dielectric substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tay, Roland Yingjie [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Tsang, Siu Hon [Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Loeblein, Manuela; Chow, Wai Leong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); CNRS-International NTU Thales Research Alliance CINTRA UMI 3288, Research Techno Plaza, 50 Nanyang Drive, Singapore, Singapore 637553 (Singapore); Loh, Guan Chee [Institue of High Performance Computing, 1 Fusionopolis Way, #16-16 Connexis, Singapore 138632 (Singapore); Department of Physics, Michigan Technological University, Houghton, Michigan 49931 (United States); Toh, Joo Wah; Ang, Soon Loong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Teo, Edwin Hang Tong, E-mail: htteo@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore)

    2015-03-09

    Atomically thin hexagonal-boron nitride (h-BN) films are primarily synthesized through chemical vapor deposition (CVD) on various catalytic transition metal substrates. In this work, a single-step metal-catalyst-free approach to obtain few- to multi-layer nanocrystalline h-BN (NCBN) directly on amorphous SiO{sub 2}/Si and quartz substrates is demonstrated. The as-grown thin films are continuous and smooth with no observable pinholes or wrinkles across the entire deposited substrate as inspected using optical and atomic force microscopy. The starting layers of NCBN orient itself parallel to the substrate, initiating the growth of the textured thin film. Formation of NCBN is due to the random and uncontrolled nucleation of h-BN on the dielectric substrate surface with no epitaxial relation, unlike on metal surfaces. The crystallite size is ∼25 nm as determined by Raman spectroscopy. Transmission electron microscopy shows that the NCBN formed sheets of multi-stacked layers with controllable thickness from ∼2 to 25 nm. The absence of transfer process in this technique avoids any additional degradation, such as wrinkles, tears or folding and residues on the film which are detrimental to device performance. This work provides a wider perspective of CVD-grown h-BN and presents a viable route towards large-scale manufacturing of h-BN substrates and for coating applications.

  4. Analysis of mobile ionic impurities in polyvinylalcohol thin films by thermal discharge current and dielectric impedance spectroscopy

    Directory of Open Access Journals (Sweden)

    M. Egginger

    2012-12-01

    Full Text Available Polyvinylalcohol (PVA is a water soluble polymer frequently applied in the field of organic electronics for insulating thin film layers. By-products of PVA synthesis are sodium acetate ions which contaminate the polymer material and can impinge on the electronic performance when applied as interlayer dielectrics in thin film transistors. Uncontrollable voltage instabilities and unwanted hysteresis effects are regularly reported with PVA devices. An understanding of these effects require knowledge about the electronic dynamics of the ionic impurities and their influence on the dielectric properties of PVA. Respective data, which are largely unknown, are being presented in this work. Experimental investigations were performed from room temperature to 125°C on drop-cast PVA films of three different quality grades. Data from thermal discharge current (TDC measurements, polarization experiments, and dielectric impedance spectroscopy concurrently show evidence of mobile ionic carriers. Results from TDC measurements indicate the existence of an intrinsic, build-in electric field of pristine PVA films. The field is caused by asymmetric ionic double layer formation at the two different film-interfaces (substrate/PVA and PVA/air. The mobile ions cause strong electrode polarization effects which dominate dielectric impedance spectra. From a quantitative electrode polarization analysis of isothermal impedance spectra temperature dependent values for the concentration, the mobility and conductivity together with characteristic relaxation times of the mobile carriers are given. Also shown are temperature dependent results for the dc-permittivity and the electronic resistivity. The obtained results demonstrate the feasibility to partly remove contaminants from a PVA solution by dialysis cleaning. Such a cleaning procedure reduces the values of ion concentration, conductivity and relaxation frequency.

  5. Nanocomposites of TiO2/cyanoethylated cellulose with ultra high dielectric constants

    International Nuclear Information System (INIS)

    Madusanka, Nadeesh; Shivareddy, Sai G; Hiralal, Pritesh; Choi, Youngjin; Amaratunga, Gehan A J; Eddleston, Mark D; Oliver, Rachel A

    2016-01-01

    A novel dielectric nanocomposite containing a high permittivity polymer, cyanoethylated cellulose (CRS) and TiO 2 nanoparticles was successfully prepared with different weight percentages (10%, 20% and 30%) of TiO 2 . The intermolecular interactions and morphology within the polymer nanocomposites were analysed. TiO 2 /CRS nanofilms on SiO 2 /Si wafers were used to form metal–insulator–metal type capacitors. Capacitances and loss factors in the frequency range of 1 kHz–1 MHz were measured. At 1 kHz CRS-TiO 2 nanocomposites exhibited ultra high dielectric constants of 118, 176 and 207 for nanocomposites with 10%, 20% and 30% weight of TiO 2 respectively, significantly higher than reported values of pure CRS (21), TiO 2 (41) and other dielectric polymer-TiO 2 nanocomposite films. Furthermore, all three CRS-TiO 2 nanocomposites show a loss factor <0.3 at 1 kHz and low leakage current densities (10 −6 –10 −7 A cm −2 ). Leakage was studied using conductive atomic force microscopy and it was observed that the leakage is associated with TiO 2 nanoparticles embedded in the CRS polymer matrix. A new class of ultra high dielectric constant hybrids using nanoscale inorganic dielectrics dispersed in a high permittivity polymer suitable for energy management applications is reported. (paper)

  6. Pulsed laser deposition of oxide gate dielectrics for pentacene organic field-effect transistors

    International Nuclear Information System (INIS)

    Yaginuma, S.; Yamaguchi, J.; Itaka, K.; Koinuma, H.

    2005-01-01

    We have fabricated Al 2 O 3 , LaAlO 3 (LAO), CaHfO 3 (CHO) and CaZrO 3 (CZO) thin films for the dielectric layers of field-effect transistors (FETs) by pulsed laser deposition (PLD). The films exhibited very smooth surfaces with root-mean-squares (rms) roughnesses of ∼1.3 A as evaluated by using atomic force microscopy (AFM). The breakdown electric fields of Al 2 O 3 , LAO, CHO and CZO films were 7, 6, 10 and 2 MV/cm, respectively. The magnitude of the leak current in each film was low enough to operate FET. We performed a comparative study of pentacene FET fabricated using these oxide dielectrics as gate insulators. High field-effect mobility of 1.4 cm 2 /V s and on/off current ratio of 10 7 were obtained in the pentacene FET using LAO gate insulating film. Use of the LAO films as gate dielectrics has been found to suppress the hysteresis of pentacene FET operations. The LAO films are relevant to the dielectric layer of organic FETs

  7. Coexistence of different charge states in Ta-doped monoclinic HfO2: Theoretical and experimental approaches

    DEFF Research Database (Denmark)

    Taylor, M.A.; Alonso, R.E.; Errico, L.A.

    2010-01-01

    A combination of experiments and ab initio quantum-mechanical calculations has been applied to examine hyperfine interactions in Ta-doped hafnium dioxide. Although the properties of monoclinic HfO2 have been the subject of several earlier studies, some aspects remain open. In particular, time dif...

  8. Electronic States of High-k Oxides in Gate Stack Structures

    Science.gov (United States)

    Zhu, Chiyu

    In this dissertation, in-situ X-ray and ultraviolet photoemission spectroscopy have been employed to study the interface chemistry and electronic structure of potential high-k gate stack materials. In these gate stack materials, HfO2 and La2O3 are selected as high-k dielectrics, VO2 and ZnO serve as potential channel layer materials. The gate stack structures have been prepared using a reactive electron beam system and a plasma enhanced atomic layer deposition system. Three interrelated issues represent the central themes of the research: 1) the interface band alignment, 2) candidate high-k materials, and 3) band bending, internal electric fields, and charge transfer. 1) The most highlighted issue is the band alignment of specific high-k structures. Band alignment relationships were deduced by analysis of XPS and UPS spectra for three different structures: a) HfO2/VO2/SiO2/Si, b) HfO 2-La2O3/ZnO/SiO2/Si, and c) HfO 2/VO2/ HfO2/SiO2/Si. The valence band offset of HfO2/VO2, ZnO/SiO2 and HfO 2/SiO2 are determined to be 3.4 +/- 0.1, 1.5 +/- 0.1, and 0.7 +/- 0.1 eV. The valence band offset between HfO2-La2O3 and ZnO was almost negligible. Two band alignment models, the electron affinity model and the charge neutrality level model, are discussed. The results show the charge neutrality model is preferred to describe these structures. 2) High-k candidate materials were studied through comparison of pure Hf oxide, pure La oxide, and alloyed Hf-La oxide films. An issue with the application of pure HfO2 is crystallization which may increase the leakage current in gate stack structures. An issue with the application of pure La2O3 is the presence of carbon contamination in the film. Our study shows that the alloyed Hf-La oxide films exhibit an amorphous structure along with reduced carbon contamination. 3) Band bending and internal electric fields in the gate stack structure were observed by XPS and UPS and indicate the charge transfer during the growth and process. The oxygen

  9. Thin film characterization by total reflection x-ray fluorescence

    International Nuclear Information System (INIS)

    Danel, Adrien; Nolot, Emmanuel; Veillerot, Marc; Olivier, Segolene; Decorps, Tifenn; Calvo-Munoz, Maria-Luisa; Hartmann, Jean-Michel; Lhostis, Sandrine; Kohno, Hiroshi; Yamagami, Motoyuki; Geoffroy, Charles

    2008-01-01

    Sensitive and accurate characterization of films thinner than a few nm used in nanoelectronics represents a challenge for many conventional production metrology tools. With capabilities in the 10 10 at/cm 2 , methods usually dedicated to contamination analysis appear promising, especially Total-reflection X-Ray Fluorescence (TXRF). This study shows that under usual configuration for contamination analysis, with incident angle smaller than the critical angle of the substrate, TXRF signal saturation occurs very rapidly for dense films (below 0.5 nm for HfO 2 films on Si wafers using a 9.67 keV excitation at 0.5 deg.). Increasing the incident angle, the range of linear results can be extended, but on the other hand, the TXRF sensitivity is degraded because of a strong increase of the measurement dead time. On HfO 2 films grown on Si wafers, an incident angle of 0.32 deg. corresponding to a dead time of 95% was used to achieve linear analysis up to 2 nm. Composition analysis by TXRF, and especially the detection of minor elements into thin films, requires the use of a specific incident angle to optimize sensitivity. Although quantitative analyses might require specific calibration, this work shows on Co-based films that the ratio between minor elements (W, P, Mo) and Co taking into account their relative sensitivity factors is a good direct reading of the composition

  10. Structural and dielectric studies of Co doped MgTiO3 thin films fabricated by RF magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Santhosh Kumar

    2014-06-01

    Full Text Available We report the structural, dielectric and leakage current properties of Co doped MgTiO3 thin films deposited on platinized silicon (Pt/TiO2/SiO2/Si substrates by RF magnetron sputtering. The role of oxygen mixing percentage (OMP on the growth, morphology, electrical and dielectric properties of the thin films has been investigated. A preferred orientation of grains along (110 direction has been observed with increasing the OMP. Such evolution of the textured growth is explained on the basis of the orientation factor analysis followed the Lotgering model. (Mg1-xCoxTiO3 (x = 0.05 thin films exhibits a maximum relative dielectric permittivity of ɛr = 12.20 and low loss (tan δ ∼ 1.2 × 10−3 over a wide range of frequencies for 75% OMP. The role of electric field frequency (f and OMP on the ac-conductivity of (Mg0.95Co0.05TiO3 have been studied. A progressive increase in the activation energy (Ea and relative permittivity ɛr values have been noticed up to 75% of OMP, beyond which the properties starts deteriorate. The I-V characteristics reveals that the leakage current density decreases from 9.93 × 10−9 to 1.14 × 10−9 A/cm2 for OMP 0% to 75%, respectively for an electric field strength of 250 kV/cm. Our experimental results reveal up to that OMP ≥ 50% the leakage current mechanism is driven by the ohmic conduction, below which it is dominated by the schottky emission.

  11. Dielectric discontinuity at interfaces in the atomic-scale limit: permittivity of ultrathin oxide films on silicon.

    Science.gov (United States)

    Giustino, Feliciano; Umari, Paolo; Pasquarello, Alfredo

    2003-12-31

    Using a density-functional approach, we study the dielectric permittivity across interfaces at the atomic scale. Focusing on the static and high-frequency permittivities of SiO2 films on silicon, for oxide thicknesses from 12 A down to the atomic scale, we find a departure from bulk values in accord with experiment. A classical three-layer model accounts for the calculated permittivities and is supported by the microscopic polarization profile across the interface. The local screening varies on length scales corresponding to first-neighbor distances, indicating that the dielectric transition is governed by the chemical grading. Silicon-induced gap states are shown to play a minor role.

  12. Effect of gate dielectrics on the performance of p-type Cu2O TFTs processed at room temperature

    KAUST Repository

    Al-Jawhari, Hala A.

    2013-12-01

    Single-phase Cu2O films with p-type semiconducting properties were successfully deposited by reactive DC magnetron sputtering at room temperature followed by post annealing process at 200°C. Subsequently, such films were used to fabricate bottom gate p-channel Cu2O thin film transistors (TFTs). The effect of using high-κ SrTiO3 (STO) as a gate dielectric on the Cu2O TFT performance was investigated. The results were then compared to our baseline process which uses a 220 nm aluminum titanium oxide (ATO) dielectric deposited on a glass substrate coated with a 200 nm indium tin oxide (ITO) gate electrode. We found that with a 150 nm thick STO, the Cu2O TFTs exhibited a p-type behavior with a field-effect mobility of 0.54 cm2.V-1.s-1, an on/off ratio of around 44, threshold voltage equaling -0.62 V and a sub threshold swing of 1.64 V/dec. These values were obtained at a low operating voltage of -2V. The advantages of using STO as a gate dielectric relative to ATO are discussed. © (2014) Trans Tech Publications, Switzerland.

  13. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    Science.gov (United States)

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  14. Correlation between the dielectric constant and X-ray diffraction pattern of Si-O-C thin films with hydrogen bonds

    International Nuclear Information System (INIS)

    Oh, Teresa; Oh, Kyoung Suk; Lee, Kwang-Man; Choi, Chi Kyu

    2004-01-01

    The amorphous structure of organic-inorganic hybrid type Si-O-C thin films was studied using the first principles molecular-dynamics method with density functional techniques. The correlation between the dielectric constant and the degree of amorphous structure in organic-inorganic hybrid type Si-O-C thin films was studied. Si-O-C thin films were deposited by high-density plasma chemical vapor deposition using bis-trimethylsilylmethane and oxygen precursors. As-deposited films and films annealed at 500 deg. C were analyzed by X-ray diffraction (XRD). For quantitative analysis, the X-ray diffraction patterns of the samples were transformed to the radial distribution function (RDF) using Fourier analysis. Hybrid type Si-O-C thin films can be divided into three types using their amorphous structure and the dielectric constant: those with organic, hybrid, and inorganic properties

  15. Structural and dielectric characterization of sputtered Tantalum Titanium Oxide thin films for high temperature capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A., E-mail: rouahi_ahlem@yahoo.fr [Univ. Grenoble Alpes, G2Elab, F-38000 (France); Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Challali, F. [Laboratoire des Sciences des Procédés et des Matériaux (LSPM)-CNRS-UPR3407, Université Paris13, 99 Avenue Jean-Baptiste Clément, 93430, Villetaneuse (France); Dakhlaoui, I. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Vallée, C. [CNRS, LTM, CEA-LETI, F-38000 Grenoble (France); Salimy, S. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Jomni, F.; Yangui, B. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Besland, M.P.; Goullet, A. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Sylvestre, A. [Univ. Grenoble Alpes, G2Elab, F-38000 (France)

    2016-05-01

    In this study, the dielectric properties of metal-oxide-metal capacitors based on Tantalum Titanium Oxide (TiTaO) thin films deposited by reactive magnetron sputtering on aluminum bottom electrode are investigated. The structure of the films was characterized by Atomic Force Microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The dielectric properties of TiTaO thin films were studied by complex impedance spectroscopy over a wide frequency range (10{sup -2} - to 10{sup 5} Hz) and temperatures in -50 °C to 325 °C range. The contributions of different phases, phases’ boundaries and conductivity effect were highlighted by Cole – Cole diagram (ε” versus ε’). Two relaxation processes have been identified in the electric modulus plot. A first relaxation process appears at low temperature with activation energy of 0.37 eV and it is related to the motion of Ti{sup 4+} (Skanavi’s model). A second relaxation process at high temperature is related to Maxwell-Wagner-Sillars relaxation with activation energy of 0.41 eV. - Highlights: • Titanium Tantalum Oxide thin films are grown on Aluminum substrate. • The existence of phases was confirmed by X-ray photoelectron spectroscopy. • Conductivity effect appears in Cole-Cole plot. • At low temperatures, a relaxation phenomenon obeys to Skanavi’s model. • Maxwell-Wagner-Sillars polarization is processed at high temperatures.

  16. Changes of optical, dielectric, and structural properties of Si15Sb85 phase change memory thin films under different initializing laser power

    International Nuclear Information System (INIS)

    Huang Huan; Zhang Lei; Wang Yang; Han Xiaodong; Wu Yiqun; Zhang Ze; Gan Fuxi

    2011-01-01

    Research highlights: → We study the optical, dielectric, and structural characteristics of Si 15 Sb 85 phase change memory thin films under a moving continuous-wave laser initialization. → The optical and dielectric constants, absorption coefficient of Si 15 Sb 85 change regularly with the increasing laser power. → The optical band gaps of Si 15 Sb 85 irradiated upon different power lasers were calculated. → HRTEM images of the samples were observed and the changes of optical and dielectric constants are determined by crystalline structures changes of the films. - Abstract: The optical, dielectric, and structural characteristics of Si 15 Sb 85 phase change memory thin films under a moving continuous-wave laser initialization are studied by using spectroscopic ellipsometry and high-resolution transmission electron microscopy. The dependence of complex refractive index, dielectric functions, absorption coefficient, and optical band gap of the films on its crystallization extents formed by the different initialization laser power are analyzed in detail. The structural change from as-deposited amorphous phase to distorted rhombohedra-Sb-like crystalline structure with the increase of initialization laser power is clearly observed with sub-nanometer resolution. The optical and dielectric constants, the relationship between them, and the local atomic arrangements of this new phase change material can help explain the phase change mechanism and design the practical phase change memory devices.

  17. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured

  18. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  19. Conduction mechanism and dielectric properties of a Se{sub 80}Ge{sub 20-x}Cd{sub x} (x = 0, 6 and 12 at.wt%) films

    Energy Technology Data Exchange (ETDEWEB)

    Shakra, A.M.; Farid, A.S.; Hegab, N.A.; Afifi, M.A. [Ain Shams University, Physics Department, Semiconductor Lab, Faculty of Education, Cairo (Egypt); Alrebati, A.M. [Taiz University, Physics Department, Faculty of Education, Taiz (Yemen)

    2016-09-15

    AC conductivity and dielectric properties of Se{sub 80}Ge{sub 20-x}Cd{sub x} (0 ≤ x ≤ 12 at.wt%) in thin film forms are reported in this paper. Thin films were deposited from the prepared compositions by thermal evaporation technique at 10{sup -5} Torr. The films were well characterized by X-ray diffraction, differential thermal analysis and energy-dispersive X-ray spectroscopy. The AC conductivity and dielectric properties have been investigated for the studied films in the temperature range 293-393 K and over a frequency range of 10{sup 2}-10{sup 5} Hz. The experimental results indicate that both AC conductivity σ {sub AC}(ω) and dielectric constants depend on temperature, frequency and Cd content. The frequency exponent s was calculated, and its value lies very close to unity and is temperature independent. This behavior can be explained in terms of the correlated barrier hopping between centers forming intimate valence alternation pairs. The density of localized states N(E{sub F}) at the Fermi level is estimated. The activation energy ΔE(ω) was found to decrease with increasing frequency. The maximum barrier height W{sub m} for the studied films was calculated from an analysis of the dielectric loss ε{sub 2} according to the Guintini equation. Its values agree with that proposed by the theory of hopping of charge carriers over potential barrier as suggested by Elliott for chalcogenide glasses. The variation of the studied properties with Cd content was also investigated. (orig.)

  20. Tungsten trioxide as high-{kappa} gate dielectric for highly transparent and temperature-stable zinc-oxide-based thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Michael; Wenckstern, Holger von; Grundmann, Marius [Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Institut fuer Experimentelle Physik II, Linnestr. 5, 04103 Leipzig (Germany)

    2012-07-01

    We demonstrate metal-insulator-semiconductor field-effect transistors with high-{kappa}, room-temperature deposited, highly transparent tungsten trioxide (WO{sub 3}) as gate dielectric. The channel material consists of a zinc oxide (ZnO) thin-film. The transmittance and resistivity of WO{sub 3} films was tuned in order to obtain a highly transparent and insulating WO{sub 3} dielectric. The devices were processed by standard photolithography using lift-off technique. On top of the WO{sub 3} dielectric a highly transparent and conductive oxide consisting of ZnO: Al 3% wt. was deposited. The gate structure of the devices exhibits an average transmittance in the visible spectral range of 86%. The on/off-current ratio is larger than 10{sup 8} with off- and gate leakage-currents below 3 x 10{sup -8} A/cm{sup 2}. Due to the high relative permittivity of {epsilon}{sub r} {approx} 70, a gate voltage sweep of only 2 V is necessary to turn the transistor on and off with a minimum subthreshold swing of 80 mV/decade. The channel mobility of the transistors equals the Hall-effect mobility with a value of 5 cm{sup 2}/Vs. It is furthermore shown, that the devices are stable up to operating temperatures of at least 150 C.

  1. The Rayleigh law in silicon doped hafnium oxide ferroelectric thin films

    International Nuclear Information System (INIS)

    Guan, Yan; Liu, Xiaohua; Zhou, Dayu; Xu, Jin; Cao, Fei; Dong, Xianlin; Mueller, Johannes; Schenk, Tony; Schroeder, Uwe

    2015-01-01

    A wealth of studies have confirmed that the low-field hysteresis behaviour of ferroelectric bulk ceramics and thin films can be described using Rayleigh relations, and irreversible domain wall motion across the array of pining defects has been commonly accepted as the underlying micro-mechanism. Recently, HfO 2 thin films incorporated with various dopants were reported to show pronounced ferroelectricity, however, their microscopic domain structure remains unclear till now. In this work, the effects of the applied electric field amplitude, frequency and temperature on the sub-coercive polarization reversal properties were investigated for 10 nm thick Si-doped HfO 2 thin films. The applicability of the Rayleigh law to ultra-thin ferroelectric films was first confirmed, indicating the existence of a multi-domain structure. Since the grain size is about 20-30 nm, a direct observation of domain walls within the grains is rather challenging and this indirect method is a feasible approach to resolve the domain structure. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Studies on metal-dielectric plasmonic structures.

    Energy Technology Data Exchange (ETDEWEB)

    Chettiar, Uday K. (Purdue University, West Lafayette, IN); Liu, Zhengtong (Purdue University, West Lafayette, IN); Thoreson, Mark D. (Purdue University, West Lafayette, IN); Shalaev, Vladimir M. (Purdue University, West Lafayette, IN); Drachev, Vladimir P. (Purdue University, West Lafayette, IN); Pack, Michael Vern; Kildishev, Alexander V. (Purdue University, West Lafayette, IN); Nyga, Piotr (Purdue University, West Lafayette, IN)

    2010-01-01

    The interaction of light with nanostructured metal leads to a number of fascinating phenomena, including plasmon oscillations that can be harnessed for a variety of cutting-edge applications. Plasmon oscillation modes are the collective oscillation of free electrons in metals under incident light. Previously, surface plasmon modes have been used for communication, sensing, nonlinear optics and novel physics studies. In this report, we describe the scientific research completed on metal-dielectric plasmonic films accomplished during a multi-year Purdue Excellence in Science and Engineering Graduate Fellowship sponsored by Sandia National Laboratories. A variety of plasmonic structures, from random 2D metal-dielectric films to 3D composite metal-dielectric films, have been studied in this research for applications such as surface-enhanced Raman sensing, tunable superlenses with resolutions beyond the diffraction limit, enhanced molecular absorption, infrared obscurants, and other real-world applications.

  3. Analytical drain current formulation for gate dielectric engineered dual material gate-gate all around-tunneling field effect transistor

    Science.gov (United States)

    Madan, Jaya; Gupta, R. S.; Chaujar, Rishu

    2015-09-01

    In this work, an analytical drain current model for gate dielectric engineered (hetero dielectric)-dual material gate-gate all around tunnel field effect transistor (HD-DMG-GAA-TFET) has been developed. Parabolic approximation has been used to solve the two-dimensional (2D) Poisson equation with appropriate boundary conditions and continuity equations to evaluate analytical expressions for surface potential, electric field, tunneling barrier width and drain current. Further, the analog performance of the device is studied for three high-k dielectrics (Si3N4, HfO2, and ZrO2), and it has been investigated that the problem of lower ION, can be overcome by using the hetero-gate architecture. Moreover, the impact of scaling the gate oxide thickness and bias variations has also been studied. The HD-DMG-GAA-TFET shows an enhanced ION of the order of 10-4 A. The effectiveness of the proposed model is validated by comparing it with ATLAS device simulations.

  4. AC electrical conductivity and dielectric relaxation studies on n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC)

    Science.gov (United States)

    Qashou, Saleem I.; Darwish, A. A. A.; Rashad, M.; Khattari, Z.

    2017-11-01

    Both Alternating current (AC) conductivity and dielectric behavior of n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC) have been investigated. Fourier transformation infrared (FTIR) spectroscopy is used for identifying both powder and film bonds which confirm that there are no observed changes in the bonds between the DMPDC powder and evaporated films. The dependence of AC conductivity on the temperature for DMPDC evaporated films was explained by the correlated barrier hopping (CBH) model. The calculated barrier height using CBH model shows a decreasing behavior with increasing temperature. The mechanism of dielectric relaxation was interpreted on the basis of the modulus of the complex dielectric. The calculated activation energy of the relaxation process was found to be 0.055 eV.

  5. Effect of annealing temperature on structural and electrical properties of high-κ YbTixOy gate dielectrics for InGaZnO thin film transistors

    International Nuclear Information System (INIS)

    Pan, Tung-Ming; Chen, Fa-Hsyang; Hung, Meng-Ning

    2015-01-01

    This paper describes the effect of annealing temperature on the structural properties and electrical characteristics of high–κ YbTi x O y gate dielectrics for indium–gallium–zinc–oxide (IGZO) thin-film transistors (TFTs). X-ray diffraction, x-ray photoelectron spectroscopy and atomic force microscopy were used to study the structural, chemical and morphological features, respectively, of these dielectric films annealed at 200, 300 and 400 °C. The YbTi x O y IGZO TFT that had been annealed at 400 °C exhibited better electrical characteristics, such as a small threshold voltage of 0.53 V, a large field-effect mobility of 19.1 cm 2 V −1 s −1 , a high I on /I off ratio of 2.8 × 10 7 , and a low subthreshold swing of 176 mV dec. −1 , relative to those of the systems that had been subjected to other annealing conditions. This result suggests that YbTi x O y dielectric possesses a higher dielectric constant as well as lower oxygen vacancies (or defects) in the film. In addition, the instability of YbTi x O y IGZO TFT was studied under positive gate-bias stress and negative gate-bias stress conditions. (paper)

  6. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  7. MOHOS-type memory performance using HfO2 nanoparticles as charge trapping layer and low temperature annealing

    International Nuclear Information System (INIS)

    Molina, Joel; Ortega, Rafael; Calleja, Wilfrido; Rosales, Pedro; Zuniga, Carlos; Torres, Alfonso

    2012-01-01

    Highlights: ► HfO 2 nanoparticles used as charge trapping layer in MOHOS memory devices. ► Increasing HfO 2 nanoparticles concentration enhances charge injection and trapping. ► Enhancement of memory performance with low temperature annealing. ► Charge injection is done without using any hot-carrier injection mechanism. ► Using injected charge density is better for comparison of scaled memory devices. - Abstract: In this work, HfO 2 nanoparticles (np-HfO 2 ) are embedded within a spin-on glass (SOG)-based oxide matrix and used as a charge trapping layer in metal–oxide–high-k–oxide–silicon (MOHOS)-type memory applications. This charge trapping layer is obtained by a simple sol–gel spin coating method after using different concentrations of np-HfO 2 and low temperature annealing (down to 425 °C) in order to obtain charge–retention characteristics with a lower thermal budget. The memory's charge trapping characteristics are quantized by measuring both the flat-band voltage shift of MOHOS capacitors (writing/erasing operations) and their programming retention times after charge injection while correlating all these data to np-HfO 2 concentration and annealing temperature. Since a large memory window has been obtained for our MOHOS memory, the relatively easy injection/annihilation (writing/erasing) of charge injected through the substrate opens the possibility to use this material as an effective charge trapping layer. It is shown that by using lower annealing temperatures for the charge trapping layer, higher densities of injected charge are obtained along with enhanced retention times. In conclusion, by using np-HfO 2 as charge trapping layer in memory devices, moderate programming and retention characteristics have been obtained by this simple and yet low-cost spin-coating method.

  8. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  9. Properties of reactively radio frequency-magnetron sputtered (Zr,Sn)TiO4 dielectric films

    International Nuclear Information System (INIS)

    Huang, C.-L.; Hsu, C.-H.

    2004-01-01

    Zirconium tin titanium oxide doped 1 wt % ZnO thin films on n-type Si substrate were deposited by rf magnetron sputtering at a fixed rf power of 350 W with various argon-oxygen (Ar/O 2 ) mixture and different substrate temperatures. Electrical properties and microstructures of ZnO-doped (Zr 0.8 Sn 0.2 )TiO 4 thin films prepared by rf magnetron sputtering on n-type Si(100) substrates at different Ar/O 2 ratios and substrate temperatures have been investigated. The surface structural and morphological characteristics analyzed by x-ray diffraction, scanning electron microscopy, and atomic force microscope were sensitive to the deposition conditions, such as Ar/O 2 ratio (100/0-80/20) and substrate temperature (350 deg. C-450 deg. C). The selected-area diffraction pattern showed that the deposited films exhibited a polycrystalline microstructure. All films exhibited ZST (111) orientation perpendicular to the substrate surface and the grain size as well as the deposition rate of the films increased with the increase of both the Ar partial pressure and the substrate temperature. At a Ar/O 2 ratio of 100/0, rf power level of 350 W and substrate temperature of 450 deg. C, the Zr 0.8 Sn 0.2 TiO 4 films with 6.44 μm thickness possess a dielectric constant of 42 (at 10 MHz), a dissipation factor of 0.065 (at 10 MHz), and a leakage current density of 2x10 -7 A/cm 2 at an electrical field of 1 kV/cm

  10. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  11. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2015-01-01

    Full Text Available We investigated amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using different high-k gate dielectric materials such as silicon nitride (Si3N4 and aluminum oxide (Al2O3 at low temperature process (<300°C and compared them with low temperature silicon dioxide (SiO2. The IGZO device with high-k gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, postannealing treatment is an essential process for completing the process. The chemical reaction of the high-k/IGZO interface due to heat formation in high-k/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-k gate dielectric materials and explained the interface effect by charge band diagram.

  12. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    International Nuclear Information System (INIS)

    Lin, Y. H.; Chou, J. C.

    2015-01-01

    We investigated amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT_s) using different high-Κ gate dielectric materials such as silicon nitride (Si_3N_4) and aluminum oxide (Al_2O_3) at low temperature process (<300 degree) and compared them with low temperature silicon dioxide (SiO_2). The IGZO device with high-Κ gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, post annealing treatment is an essential process for completing the process. The chemical reaction of the high-κ/IGZO interface due to heat formation in high-Κ/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-Κ gate dielectric materials and explained the interface effect by charge band diagram.

  13. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  14. Theory of the optical and microwave properties of metal-dielectric films

    International Nuclear Information System (INIS)

    Sarychev, A.K.; Bergman, D.J.; Yagil, Y.

    1995-01-01

    We present a detailed theoretical study of the high frequency response of thin, metal-dielectric inhomogeneous films. Semicontinuous metal films are normally prepared by thermal evaporation or sputtering of the metal on an insulating substrate. The optical properties of such films show anomalous phenomena, which are absent in both the bulk metal and the bulk insulator. Our approach is based upon a direct solution of Maxwell's equations, without having to invoke the quasi-static approximation. Electric and magnetic fields outside the film are related to the currents inside the film. The electromagnetic properties of semicontinuous films are described by two Ohmic parameters, in contrast with the usual description by a single complex conductivity. Our theory reproduces most of the known experimental data. For example, we are able to explain a prominent absorption band near the percolation threshold, which was observed previously in such systems, as well as some other peculiar features of the reflectance and transmittance. We find that metal-dieletric films can exhibit very interesting properties when there is a strong skin effect in the metal grains. The surface conductivity has a universal value c/(2π) at the percolation threshold. We predict that under such conditions the absorptance A, as a funciton of the metal concentration, is dome shaped with sharp edges. It has a maximum at the percolation threshold and its value at this point is universal, namely A=0.5, while the reflectance R and transmittance T have the equal universal value R=T=0.25. This approach can be extended to semicontinuous superconducting films. Such films are also expected to have a well defined absorption band near the percolation threshold. We believe that such a threshold can be approached not only by decreasing the superconductor concentration but also by increasing the temperature towards and above the critical temperature

  15. Boron nitride as two dimensional dielectric: Reliability and dielectric breakdown

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Yanfeng; Pan, Chengbin; Hui, Fei; Shi, Yuanyuan; Lanza, Mario, E-mail: mlanza@suda.edu.cn [Institute of Functional Nano and Soft Materials, Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, 199 Ren-Ai Road, Suzhou 215123 (China); Zhang, Meiyun; Long, Shibing [Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029 (China); Lian, Xiaojuan; Miao, Feng [National Laboratory of Solid State Microstructures, School of Physics, Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China); Larcher, Luca [DISMI, Università di Modena e Reggio Emilia, 42122 Reggio Emilia (Italy); Wu, Ernest [IBM Research Division, Essex Junction, Vermont 05452 (United States)

    2016-01-04

    Boron Nitride (BN) is a two dimensional insulator with excellent chemical, thermal, mechanical, and optical properties, which make it especially attractive for logic device applications. Nevertheless, its insulating properties and reliability as a dielectric material have never been analyzed in-depth. Here, we present the first thorough characterization of BN as dielectric film using nanoscale and device level experiments complementing with theoretical study. Our results reveal that BN is extremely stable against voltage stress, and it does not show the reliability problems related to conventional dielectrics like HfO{sub 2}, such as charge trapping and detrapping, stress induced leakage current, and untimely dielectric breakdown. Moreover, we observe a unique layer-by-layer dielectric breakdown, both at the nanoscale and device level. These findings may be of interest for many materials scientists and could open a new pathway towards two dimensional logic device applications.

  16. Effects of H2 High-pressure Annealing on HfO2/Al2O3/In0.53Ga0.47As Capacitors: Chemical Composition and Electrical Characteristics.

    Science.gov (United States)

    Choi, Sungho; An, Youngseo; Lee, Changmin; Song, Jeongkeun; Nguyen, Manh-Cuong; Byun, Young-Chul; Choi, Rino; McIntyre, Paul C; Kim, Hyoungsub

    2017-08-29

    We studied the impact of H 2 pressure during post-metallization annealing on the chemical composition of a HfO 2 /Al 2 O 3 gate stack on a HCl wet-cleaned In 0.53 Ga 0.47 As substrate by comparing the forming gas annealing (at atmospheric pressure with a H 2 partial pressure of 0.04 bar) and H 2 high-pressure annealing (H 2 -HPA at 30 bar) methods. In addition, the effectiveness of H 2 -HPA on the passivation of the interface states was compared for both p- and n-type In 0.53 Ga 0.47 As substrates. The decomposition of the interface oxide and the subsequent out-diffusion of In and Ga atoms toward the high-k film became more significant with increasing H 2 pressure. Moreover, the increase in the H 2 pressure significantly improved the capacitance‒voltage characteristics, and its effect was more pronounced on the p-type In 0.53 Ga 0.47 As substrate. However, the H 2 -HPA induced an increase in the leakage current, probably because of the out-diffusion and incorporation of In/Ga atoms within the high-k stack.

  17. Dielectrophoretic deformation of thin liquid films induced by surface charge patterns on dielectric substrates

    NARCIS (Netherlands)

    Berendsen, C.W.J.; Kuijpers, C.J.; Zeegers, J.C.H.; Darhuber, A.A.

    2013-01-01

    We studied the deformation of thin liquid films induced by surface charge patterns at the solid–liquid interface quantitatively by experiments and numerical simulations. We deposited a surface charge distribution on dielectric substrates by applying potential differences between a conductive liquid

  18. Studies on dielectric properties, opto-electrical parameters and electronic polarizability of thermally evaporated amorphous Cd{sub 50}S{sub 50−x}Se{sub x} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hassanien, Ahmed Saeed, E-mail: a.s.hassanien@gmail.com [Engineering Mathematics and Physics Department, Faculty of Engineering (Shoubra), Benha University (Egypt); Physics Department, Faculty of Science and Humanities in Ad-Dawadmi, Shaqra University, 11911 (Saudi Arabia)

    2016-06-25

    The objective of this work is to study the influence of the addition of more Se on dielectric properties, opto-electrical parameters and electronic polarizability of amorphous chalcogenide Cd{sub 50}S{sub 50−x}Se{sub x} thin films (30 ≤ x ≤ 50 at%). Thin films of thickness 200 nm were synthesized by vacuum deposition at ≈8.2 × 10{sup −4} Pa. Both refractive index and extinction coefficient were used to obtain all the studied parameters. The high frequency dielectric constant, real and imaginary parts of dielectric constant were discussed. Drude theory was applied to investigate opto-electrical parameters, like optical carrier concentration, optical mobility and optical resistivity. Moreover, other parameters were investigated and studied, e.g. Drude parameters, volume and surface energy loss functions, dielectric loss factor, dielectric relaxation time, complex optical conductivity and electronic polarizability as well as optical electronegativity and third-order nonlinear optical susceptibility. Values of electronic polarizability and nonlinear optical susceptibility were found to be decreased while optical electronegativity increased as Se-content was increased. Increment of Se-content in amorphous Cd{sub 50}S{sub 50−x}Se{sub x} thin films has also led to minimize the energy losses when electromagnetic waves propagate through films as well as optical conductivity and the speed of light increased. The other studied properties and parameters of Cd{sub 50}S{sub 50−x}Se{sub x} films were found to be strongly dependent upon Se-content. - Highlights: • Thermally evaporated amorphous Cd{sub 50}S{sub 50−x}Se{sub x} (30 ≤ x ≤ 50) thin films were deposited. • Refractive index and absorption index were used to determine almost all properties. • Dielectric properties, Drude parameters and electronic polarizability were studied. • Addition of more Se to CdSSe matrix led to improve the opto-electrical properties. • New data were obtained and

  19. Quantum-coherence-assisted tunable on- and off-resonance tunneling through a quantum-dot-molecule dielectric film

    International Nuclear Information System (INIS)

    Shen Jianqi; Zeng Ruixi

    2017-01-01

    Quantum-dot-molecular phase coherence (and the relevant quantum-interference-switchable optical response) can be utilized to control electromagnetic wave propagation via a gate voltage, since quantum-dot molecules can exhibit an effect of quantum coherence (phase coherence) when quantum-dot-molecular discrete multilevel transitions are driven by an electromagnetic wave. Interdot tunneling of carriers (electrons and holes) controlled by the gate voltage can lead to destructive quantum interference in a quantum-dot molecule that is coupled to an incident electromagnetic wave, and gives rise to a quantum coherence effect (e.g., electromagnetically induced transparency, EIT) in a quantum-dot-molecule dielectric film. The tunable on- and off-resonance tunneling effect of an incident electromagnetic wave (probe field) through such a quantum-coherent quantum-dot-molecule dielectric film is investigated. It is found that a high gate voltage can lead to the EIT phenomenon of the quantum-dot-molecular systems. Under the condition of on-resonance light tunneling through the present quantum-dot-molecule dielectric film, the probe field should propagate without loss if the probe frequency detuning is zero. Such an effect caused by both EIT and resonant tunneling, which is sensitive to the gate voltage, can be utilized for designing devices such as photonic switching, transistors, and logic gates. (author)

  20. (Ba+Sr)/Ti ratio dependence of the dielectric properties for (Ba0.5Sr0.5)TiO3 thin films prepared by ion beam sputtering

    Science.gov (United States)

    Yamamichi, Shintaro; Yabuta, Hisato; Sakuma, Toshiyuki; Miyasaka, Yoichi

    1994-03-01

    (Ba0.5Sr0.5)TiO3 thin films were prepared by ion beam sputtering from powder targets with (Ba+Sr)/Ti ratios ranging from 0.80 to 1.50. All of the perovskite (Ba,Sr)TiO3 films were single phase except for the film with a (Ba+Sr)/Ti ratio of 1.41. The dielectric constant values notably depended on the (Ba+Sr)/Ti ratio for films thicker than 70 nm. The highest dielectric constant of 580 was achieved for the 5% (Ba+Sr) rich film. This (Ba+Sr)/Ti ratio dependence was diminished by the thickness dependence for thinner films. The grain sizes for the 9% (Ba+Sr) rich film and for the 6% (Ba+Sr) poor film ranged from 70 to 100 nm and from 30 to 60 nm, respectively. This grain size difference could explain why slightly A-site rich (Ba,Sr)TiO3 films have a larger dielectric constant than A-site poor films.

  1. Epitaxial growth and dielectric properties of Bi sub 2 VO sub 5 sub . sub 5 thin films on TiN/Si substrates with SrTiO sub 3 buffer layers

    CERN Document Server

    Lee, H Y; Choi, B C; Jeong, J H; Joseph, M; Tabata, H; Kawai, T

    2000-01-01

    Bi sub 2 VO sub 5 sub . sub 5 (BVO) thin films were epitaxially grown on SrTiO sub 3 /TiN/Si substrates by using pulsed laser ablation. A TiN thin film was prepared at 700 .deg. C as a bottom electrode. The TiN film exhibited a high alpha axis orientation and a very smooth morphology. Before the preparation of the BVO thin film, a crystallized SrTiO sub 3 thin film was deposited as a buffer layer on TiN/Si. The BVO thin film grown at a substrate temperature at 700 .deg. C and an oxygen pressure of 50 mTorr was found to be epitaxial along the c-axis. Also, BVO films were observed to have flat surfaces and the step-flow modes. The dielectric constant of the BVO film on STO/TiN/Si was constant at about 8 approx 4 in the applied frequency range between 10 sup 2 and 10 sup 6 Hz.

  2. Large dielectric constant ({epsilon}/{epsilon}{sub 0}>6000) Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} thin films for high-performance microwave phase shifters

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, C. M. [Department of Physics, University of Colorado, Boulder, Colorado 80309 (United States); Rivkin, T. V. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Parilla, P. A. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Perkins, J. D. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Ginley, D. S. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Kozyrev, A. B. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation); Oshadchy, V. N. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation); Pavlov, A. S. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation)

    2000-04-03

    We deposited epitaxial Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} (BST) films via laser ablation on MgO and LaAlO{sub 3} (LAO) substrates for tunable microwave devices. Postdeposition anneals ({approx}1100 degree sign C in O{sub 2}) improved the morphology and overall dielectric properties of films on both substrates, but shifted the temperature of maximum dielectric constant (T{sub max}) up for BST/LAO and down for BST/MgO. These substrate-dependent T{sub max} shifts had opposite effects on the room-temperature dielectric properties. Overall, BST films on MgO had the larger maximum dielectric constant ({epsilon}/{epsilon}{sub 0}{>=}6000) and tunability ({delta}{epsilon}/{epsilon}{>=}65%), but these maxima occurred at 227 K. 30 GHz phase shifters made from similar films had figures of merit (ratio of maximum phase shift to insertion loss) of {approx}45 degree sign /dB and phase shifts of {approx}400 degree sign under 500 V ({approx}13 V/{mu}m) bias, illustrating their utility for many frequency-agile microwave devices. (c) 2000 American Institute of Physics.

  3. Low temperature dielectric relaxation and charged defects in ferroelectric thin films

    Directory of Open Access Journals (Sweden)

    A. Artemenko

    2013-04-01

    Full Text Available We report a dielectric relaxation in BaTiO3-based ferroelectric thin films of different composition and with several growth modes: sputtering (with and without magnetron and sol-gel. The relaxation was observed at cryogenic temperatures (T < 100 K for frequencies from 100 Hz up to 10 MHz. This relaxation activation energy is always lower than 200 meV and is very similar to the relaxation that we reported in the parent bulk perovskites. Based on our Electron Paramagnetic Resonance (EPR investigation, we ascribe this dielectric relaxation to the hopping of electrons among Ti3+-V(O charged defects. Being dependent on the growth process and on the amount of oxygen vacancies, this relaxation can be a useful probe of defects in actual integrated capacitors with no need for specific shaping.

  4. Transferred metal electrode films for large-area electronic devices

    International Nuclear Information System (INIS)

    Yang, Jin-Guo; Kam, Fong-Yu; Chua, Lay-Lay

    2014-01-01

    The evaporation of metal-film gate electrodes for top-gate organic field-effect transistors (OFETs) limits the minimum thickness of the polymer gate dielectric to typically more than 300 nm due to deep hot metal atom penetration and damage of the dielectric. We show here that the self-release layer transfer method recently developed for high-quality graphene transfer is also capable of giving high-quality metal thin-film transfers to produce high-performance capacitors and OFETs with superior dielectric breakdown strength even for ultrathin polymer dielectric films. Dielectric breakdown strengths up to 5–6 MV cm −1 have been obtained for 50-nm thin films of polystyrene and a cyclic olefin copolymer TOPAS ® (Zeon). High-quality OFETs with sub-10 V operational voltages have been obtained this way using conventional polymer dielectrics and a high-mobility polymer semiconductor poly[2,5-bis(3-tetradecylthiophene-2-yl)thieno[3,2-b]thiophene-2,5-diyl]. The transferred metal films can make reliable contacts without damaging ultrathin polymer films, self-assembled monolayers and graphene, which is not otherwise possible from evaporated or sputtered metal films

  5. Behavior of pentacene initial nucleation on various dielectrics and its effect on carrier transport in organic field-effect transistor.

    Science.gov (United States)

    Qi, Qiong; Yu, Aifang; Wang, Liangmin; Jiang, Chao

    2010-11-01

    The influence of dielectric surface energy on the initial nucleation and the growth of pentacene films as well as the electrical properties of the pentacene-based field-effect transistors are investigated. We have examined a range of organic and inorganic dielectrics with different surface energies, such as polycarbonate/SiO2, polystyrene/SiO2, and PMMA/SiO2 bi-layered dielectrics and also the bare SiO2 dielectric. Atomic force microscopy measurements of sub-monolayer and thick pentacene films indicated that the growth of pentacene film was in Stranski-Kranstanow growth mode on all the dielectrics. However, the initial nucleation density and the size of the first-layered pentacene islands deposited on different dielectrics are drastically influenced by the dielectric surface energy. With the increasing of the surface energy, the nucleation density increased and thus the average size of pentacene islands for the first mono-layer deposition decreased. The performance of fabricated pentacene-based thin film transistors was found to be highly related to nucleation density and the island size of deposited Pentacene film, and it had no relationship to the final particle size of the thick pentacene film. The field effect mobility of the thin film transistor could be achieved as high as 1.38 cm2Ns with on/off ratio over 3 x 10(7) on the PS/SiO2 where the lowest surface energy existed among all the dielectrics. For comparison, the values of mobility and on/off ratio were 0.42 cm2Ns and 1 x 10(6) for thin film transistor deposited directly on bare SiO2 having the highest surface energy.

  6. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    International Nuclear Information System (INIS)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S.; Noriega, J.R.; Vasselli, J.J.; Chabal, Y.J.; Gnade, B.E.

    2013-01-01

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm −1 and 362 MVm −1 for neat and crosslinked films

  7. Structural and electrical properties of Ta2O5 thin films prepared by ...

    Indian Academy of Sciences (India)

    The dielectric constant and leakage current density of the Ta2O5 thin films increase with increasing powers of the UV- lamps. Effects of UV- lamp powers on the structural and electrical properties were discussed. Keywords. Chemical vapour deposition processes; oxides; dielectric material; MOS capacitor. 1. Introduction.

  8. Electric field and temperature scaling of polarization reversal in silicon doped hafnium oxide ferroelectric thin films

    International Nuclear Information System (INIS)

    Zhou, Dayu; Guan, Yan; Vopson, Melvin M.; Xu, Jin; Liang, Hailong; Cao, Fei; Dong, Xianlin; Mueller, Johannes; Schenk, Tony; Schroeder, Uwe

    2015-01-01

    HfO 2 -based binary lead-free ferroelectrics show promising properties for non-volatile memory applications, providing that their polarization reversal behavior is fully understood. In this work, temperature-dependent polarization hysteresis measured over a wide applied field range has been investigated for Si-doped HfO 2 ferroelectric thin films. Our study indicates that in the low and medium electric field regimes (E < twofold coercive field, 2E c ), the reversal process is dominated by the thermal activation on domain wall motion and domain nucleation; while in the high-field regime (E > 2E c ), a non-equilibrium nucleation-limited-switching mechanism dominates the reversal process. The optimum field for ferroelectric random access memory (FeRAM) applications was determined to be around 2.0 MV/cm, which translates into a 2.0 V potential applied across the 10 nm thick films

  9. Effect of dielectric layers on device stability of pentacene-based field-effect transistors.

    Science.gov (United States)

    Di, Chong-an; Yu, Gui; Liu, Yunqi; Guo, Yunlong; Sun, Xiangnan; Zheng, Jian; Wen, Yugeng; Wang, Ying; Wu, Weiping; Zhu, Daoben

    2009-09-07

    We report stable organic field-effect transistors (OFETs) based on pentacene. It was found that device stability strongly depends on the dielectric layer. Pentacene thin-film transistors based on the bare or polystyrene-modified SiO(2) gate dielectrics exhibit excellent electrical stabilities. In contrast, the devices with the octadecyltrichlorosilane (OTS)-treated SiO(2) dielectric layer showed the worst stabilities. The effects of the different dielectrics on the device stabilities were investigated. We found that the surface energy of the gate dielectric plays a crucial role in determining the stability of the pentacene thin film, device performance and degradation of electrical properties. Pentacene aggregation, phase transfer and film morphology are also important factors that influence the device stability of pentacene devices. As a result of the surface energy mismatch between the dielectric layer and organic semiconductor, the electronic performance was degraded. Moreover, when pentacene was deposited on the OTS-treated SiO(2) dielectric layer with very low surface energy, pentacene aggregation occurred and resulted in a dramatic decrease of device performance. These results demonstrated that the stable OFETs could be obtained by using pentacene as a semiconductor layer.

  10. Sub-Micrometer Zeolite Films on Gold-Coated Silicon Wafers with Single-Crystal-Like Dielectric Constant and Elastic Modulus

    Energy Technology Data Exchange (ETDEWEB)

    Tiriolo, Raffaele [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Rangnekar, Neel [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Zhang, Han [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Shete, Meera [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Bai, Peng [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Nelson, John [Characterization Facility, University of Minnesota, 12 Shepherd Labs, 100 Union St. S.E. Minneapolis MN 55455 USA; Karapetrova, Evguenia [Surface Scattering and Microdiffraction, X-ray Science Division, Argonne National Laboratory, 9700 S. Cass Ave, Building 438-D002 Argonne IL 60439 USA; Macosko, Christopher W. [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Siepmann, Joern Ilja [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Lamanna, Ernesto [Department of Health Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Lavano, Angelo [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Tsapatsis, Michael [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA

    2017-05-08

    A low-temperature synthesis coupled with mild activation produces zeolite films exhibiting low dielectric constant (low-k) matching the theoretically predicted and experimentally measured values for single crystals. This synthesis and activation method allows for the fabrication of a device consisting of a b-oriented film of the pure-silica zeolite MFI (silicalite-1) supported on a gold-coated silicon wafer. The zeolite seeds are assembled by a manual assembly process and subjected to optimized secondary growth conditions that do not cause corrosion of the gold underlayer, while strongly promoting in-plane growth. The traditional calcination process is replaced with a non-thermal photochemical activation to ensure preservation of an intact gold layer. The dielectric constant (k), obtained through measurement of electrical capacitance in a metal-insulator-metal configuration, highlights the ultralow k approximate to 1.7 of the synthetized films, which is among the lowest values reported for an MFI film. There is large improvement in elastic modulus of the film (E approximate to 54 GPa) over previous reports, potentially allowing for integration into silicon wafer processing technology.

  11. High Transparent and Conductive TiO2/Ag/TiO2 Multilayer Electrode Films Deposited on Sapphire Substrate

    Science.gov (United States)

    Loka, Chadrasekhar; Moon, Sung Whan; Choi, YiSik; Lee, Kee-Sun

    2018-03-01

    Transparent conducting oxides attract intense interests due to its diverse industrial applications. In this study, we report sapphire substrate-based TiO2/Ag/TiO2 (TAT) multilayer structure of indium-free transparent conductive multilayer coatings. The TAT thin films were deposited at room temperature on sapphire substrates and a rigorous analysis has been presented on the electrical and optical properties of the films as a function of Ag thickness. The optical and electrical properties were mainly controlled by the Ag mid-layer thickness of the TAT tri-layer. The TAT films showed high luminous transmittance 84% at 550 nm along with noteworthy low electrical resistance 3.65 × 10-5 Ω-cm and sheet resistance of 3.77 Ω/square, which is better are than those of amorphous ITO films and any sapphire-based dielectric/metal/dielectric multilayer stack. The carrier concentration of the films was increased with respect to Ag thickness. We obtained highest Hackke's figure of merit 43.97 × 10-3 Ω-1 from the TAT multilayer thin film with a 16 nm thick Ag mid-layer.

  12. Electrical Characterization of Defects Created by γ-Radiation in HfO2-Based MIS Structures for RRAM Applications

    Science.gov (United States)

    García, H.; González, M. B.; Mallol, M. M.; Castán, H.; Dueñas, S.; Campabadal, F.; Acero, M. C.; Sambuco Salomone, L.; Faigón, A.

    2018-04-01

    The γ-radiation effects on the electrical characteristics of metal-insulator-semiconductor capacitors based on HfO2, and on the resistive switching characteristics of the structures have been studied. The HfO2 was grown directly on silicon substrates by atomic layer deposition. Some of the capacitors were submitted to a γ ray irradiation using three different doses (16 kGy, 96 kGy and 386 kGy). We studied the electrical characteristics in the pristine state of the capacitors. The radiation increased the interfacial state densities at the insulator/semiconductor interface, and the slow traps inside the insulator near the interface. However, the leakage current is not increased by the irradiation, and the conduction mechanism is Poole-Frenkel for all the samples. The switching characteristics were also studied, and no significant differences were obtained in the performance of the devices after having been irradiated, indicating that the fabricated capacitors present good radiation hardness for its use as a RS element.

  13. Electrical transport and capacitance characteristics of metal-insulator-metal structures using hexagonal and cubic boron nitride films as dielectrics

    Science.gov (United States)

    Teii, Kungen; Kawamoto, Shinsuke; Fukui, Shingo; Matsumoto, Seiichiro

    2018-04-01

    Metal-insulator-metal capacitor structures using thick hexagonal and cubic boron nitride (hBN and cBN) films as dielectrics are produced by plasma jet-enhanced chemical vapor deposition, and their electrical transport and capacitance characteristics are studied in a temperature range of 298 to 473 K. The resistivity of the cBN film is of the order of 107 Ω cm at 298 K, which is lower than that of the hBN film by two orders of magnitude, while it becomes the same order as the hBN film above ˜423 K. The dominant current transport mechanism at high fields (≥1 × 104 V cm-1) is described by the Frenkel-Poole emission and thermionic emission models for the hBN and cBN films, respectively. The capacitance of the hBN film remains stable for a change in alternating-current frequency and temperature, while that of the cBN film has variations of at most 18%. The dissipation factor as a measure of energy loss is satisfactorily low (≤5%) for both films. The origin of leakage current and capacitance variation is attributed to a high defect density in the film and a transition interlayer between the substrate and the film, respectively. This suggests that cBN films with higher crystallinity, stoichiometry, and phase purity are potentially applicable for dielectrics like hBN films.

  14. Reel-to-Reel Atmospheric Pressure Dielectric Barrier Discharge (DBD Plasma Treatment of Polypropylene Films

    Directory of Open Access Journals (Sweden)

    Lukas JW Seidelmann

    2017-03-01

    Full Text Available Atmospheric pressure plasma treatment of the surface of a polypropylene film can significantly increase its surface energy and, thereby improve the printability of the film. A laboratory-scale dielectric barrier discharge (DBD system has therefore been developed, which simulates the electrode configuration and reel-to-reel web transport mechanism used in a typical industrial-scale system. By treating the polypropylene in a nitrogen discharge, we have shown that the water contact angle could be reduced by as much as 40° compared to the untreated film, corresponding to an increase in surface energy of 14 mNm−1. Ink pull-off tests showed that the DBD plasma treatment resulted in excellent adhesion of solvent-based inks to the polypropylene film.

  15. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    Energy Technology Data Exchange (ETDEWEB)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Noriega, J.R.; Vasselli, J.J. [Electrical Engineering Department, The University of Texas at Tyler, Tyler, TX 75799 (United States); Chabal, Y.J. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Gnade, B.E., E-mail: gnade@utdallas.edu [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States)

    2013-12-02

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm{sup −1} and 362 MVm{sup −1} for neat and crosslinked films.

  16. Fabrication and electrical properties of metal-oxide semiconductor capacitors based on polycrystalline p-Cu{sub x}O and HfO{sub 2}/SiO{sub 2} high-{kappa} stack gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Zou Xiao [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China); Department of Electromachine Engineering, Jianghan University, Wuhan, 430056 (China); Fang Guojia, E-mail: gjfang@whu.edu.c [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China); Yuan Longyan; Liu Nishuang; Long Hao; Zhao Xingzhong [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China)

    2010-05-31

    Polycrystalline p-type Cu{sub x}O films were deposited after the growth of HfO{sub 2} dielectric on Si substrate by pulsed laser deposition, and Cu{sub x}O metal-oxide-semiconductor (MOS) capacitors with HfO{sub 2}/SiO{sub 2} stack gate dielectric were primarily fabricated and investigated. X-ray diffraction and X-ray photoelectron spectroscopy were applied to analyze crystalline structure and Cu{sup +}/Cu{sup 2+} ratios of Cu{sub x}O films respectively. SiO{sub 2} interlayer formed between the high-{kappa} dielectric and substrate was estimated by the transmission electron microscope. Results of electrical characteristic measurement indicate that the permittivity of HfO{sub 2} is about 22, and the gate leakage current density of MOS capacitor with 11.3 nm HfO{sub 2}/SiO{sub 2} stack dielectrics is {approx} 10{sup -4} A/cm{sup 2}. Results also show that the annealing in N{sub 2} can improve the quality of Cu{sub x}O/HfO{sub 2} interface and thus reduce the gate leakage density.

  17. Electrical properties of radio-frequency sputtered HfO{sub 2} thin films for advanced CMOS technology

    Energy Technology Data Exchange (ETDEWEB)

    Sarkar, Pranab Kumar; Roy, Asim, E-mail: 28.asim@gmail.com [Department of Physics, National Institute of Technology Silchar, Silchar-788010, Assam, India Phone: +91-3842-224879 (India)

    2015-08-28

    The Hafnium oxide (HfO{sub 2}) high-k thin films have been deposited by radio frequency (rf) sputtering technique on p-type Si (100) substrate. The thickness, composition and phases of films in relation to annealing temperatures have been investigated by using cross sectional FE-SEM (Field Emission Scanning Electron Microscope) and grazing incidence x-ray diffraction (GI-XRD), respectively. GI-XRD analysis revealed that at annealing temperatures of 350°C, films phases change to crystalline from amorphous. The capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the annealed HfO{sub 2} film have been studied employing Al/HfO{sub 2}/p-Si metal–oxide–semiconductor (MOS) structures. The electrical properties such as dielectric constant, interface trap density and leakage current density have been also extracted from C-V and I-V Measurements. The value of dielectric constant, interface trap density and leakage current density of annealed HfO{sub 2} film is obtained as 23,7.57×1011eV{sup −1} cm{sup −2} and 2.7×10{sup −5} Acm{sup −2}, respectively. In this work we also reported the influence of post deposition annealing onto the trapping properties of hafnium oxide and optimized conditions under which no charge trapping is observed into the dielectric stack.

  18. Low-Frequency Noise in Layered ReS2 Field Effect Transistors on HfO2 and Its Application for pH Sensing.

    Science.gov (United States)

    Liao, Wugang; Wei, Wei; Tong, Yu; Chim, Wai Kin; Zhu, Chunxiang

    2018-02-28

    Layered rhenium disulfide (ReS 2 ) field effect transistors (FETs), with thickness ranging from few to dozens of layers, are demonstrated on 20 nm thick HfO 2 /Si substrates. A small threshold voltage of -0.25 V, high on/off current ratio of up to ∼10 7 , small subthreshold swing of 116 mV/dec, and electron carrier mobility of 6.02 cm 2 /V·s are obtained for the two-layer ReS 2 FETs. Low-frequency noise characteristics in ReS 2 FETs are analyzed for the first time, and it is found that the carrier number fluctuation mechanism well describes the flicker (1/f) noise of ReS 2 FETs with different thicknesses. pH sensing using a two-layer ReS 2 FET with HfO 2 as a sensing oxide is then demonstrated with a voltage sensitivity of 54.8 mV/pH and a current sensitivity of 126. The noise characteristics of the ReS 2 FET-based pH sensors are also examined, and a corresponding detection limit of 0.0132 pH is obtained. Our studies suggest the high potential of ReS 2 for future low-power nanoelectronics and biosensor applications.

  19. Structural and magneto-dielectric property of (1-x)SBT-xLSMO nanocomposite thin films

    International Nuclear Information System (INIS)

    Maity, Sarmistha; Bhattacharya, D.; Dhar, A.; Ray, S.K.

    2009-01-01

    Full text: In recent years, interest in multiferroic materials has been increasing due to their potential applications. As single-phase multiferroic materials have very low room temperature magnetoelectric coefficient, recent studies have been concentrated on the possibility of attaining a coupling between the two order parameters by designing composites with magnetostrictive and piezoelectric phases via stress mediation. Composite thin films with homogenous matrix, composition spread with terminal layers being ferromagnetic and ferroelectric, layer-by-layer growth, superlattices, as well as epitaxial growth of ferromagnetic and ferroelectric layers on suitable substrates are been currently considered. In the present work, a nanostructured composite thin film of strontium bismuth tantalate (SBT) (ferroelectric layer) and lanthanum strontium manganese oxide (LSMO) (ferromagnetic layer) were fabricated using pulsed laser deposition. Phase separated multiferroic thin films with thickness varying from 50nm to 150nm were deposited from composite target (1-x)SBT-xLSMO with x=0.2, 0.5, 0.8. Grazing angle X-ray diffraction study combined with photo electron spectroscopy with depth profiling was carried out to study the phase separation. Interface quality of the thin film on silicon substrate was studied by Rutherford backscattering spectroscopy. Influence of film thickness and composition (x) on the electrical property of film was examined using impedance spectroscopy. The composite films exhibited ferroelectric as well as ferromagnetic characteristics at room temperature. A small kink in the dielectric spectra near the Neel temperature of LSMO confirmed the magneto-electric effect in the nanocomposite films

  20. Effect of current compliance and voltage sweep rate on the resistive switching of HfO2/ITO/Invar structure as measured by conductive atomic force microscopy

    International Nuclear Information System (INIS)

    Wu, You-Lin; Liao, Chun-Wei; Ling, Jing-Jenn

    2014-01-01

    The electrical characterization of HfO 2 /ITO/Invar resistive switching memory structure was studied using conductive atomic force microscopy (AFM) with a semiconductor parameter analyzer, Agilent 4156C. The metal alloy Invar was used as the metal substrate to ensure good ohmic contact with the substrate holder of the AFM. A conductive Pt/Ir AFM tip was placed in direct contact with the HfO 2 surface, such that it acted as the top electrode. Nanoscale current-voltage (I-V) characteristics of the HfO 2 /ITO/Invar structure were measured by applying a ramp voltage through the conductive AFM tip at various current compliances and ramp voltage sweep rates. It was found that the resistance of the low resistance state (RLRS) decreased with increasing current compliance value, but resistance of high resistance state (RHRS) barely changed. However, both the RHRS and RLRS decreased as the voltage sweep rate increased. The reasons for this dependency on current compliance and voltage sweep rate are discussed.

  1. High mobility and low operating voltage ZnGaO and ZnGaLiO transistors with spin-coated Al2O3 as gate dielectric

    International Nuclear Information System (INIS)

    Xia, D X; Xu, J B

    2010-01-01

    Spin-coated alumina serving as a gate dielectric in thin film transistors shows interesting dielectric properties for low-voltage applications, despite a moderate capacitance. With Ga singly doped and Ga, Li co-doped ZnO as the active channel layers, typical mobilities of 4.7 cm 2 V -1 s -1 and 2.1 cm 2 V -1 s -1 are achieved, respectively. At a given gate bias, the operation current is much smaller than the previously reported values in low-voltage thin film transistors, primarily relying on the giant-capacitive dielectric. The reported devices combine advantages of high mobility, low power consumption, low cost and ease of fabrication. In addition to the transparent nature of both the dielectric and semiconducting active channels, the superior electrical properties of the devices may provide a new avenue for future transparent electronics. (fast track communication)

  2. Preparation of dielectrics HR mirrors from colloidal oxide suspensions containing organic polymer binders

    International Nuclear Information System (INIS)

    Thomas, I.M.

    1994-01-01

    Colloidal suspensions of oxides have been used to prepare dielectric HR (high reflective) mirrors, specifically for high power fusion case applications, on substrates up to 38 cm square using a meniscus coater. These coatings consist of porous quarterwave layers of alternating high and low refractive index oxides. Silica was used as the low index oxide and AlOOH, ZrO 2 , or HfO 2 as the high index material. Coatings were weak because of low particle-to-particle adhesion. Use of organic polymer binders in the high index component was found to increase strength, thereby improving the laser damage threshold and also reducing the number of layers required for 99% reflection due to increased refractive index

  3. Amorphous Dielectric Thin Films with Extremely Low Mechanical Loss

    Directory of Open Access Journals (Sweden)

    Liu X.

    2015-04-01

    Full Text Available The ubiquitous low-energy excitations are one of the universal phenomena of amorphous solids. These excitations dominate the acoustic, dielectric, and thermal properties of structurally disordered solids. One exception has been a type of hydrogenated amorphous silicon (a-Si:H with 1 at.% H. Using low temperature elastic and thermal measurements of electron-beam evap-orated amorphous silicon (a-Si, we show that TLS can be eliminated in this system as the films become denser and more structurally ordered under certain deposition conditions. Our results demonstrate that TLS are not intrinsic to the glassy state but instead reside in low density regions of the amorphous network. This work obviates the role hydrogen was previously thought to play in removing TLS in a-Si:H and favors an ideal four-fold covalently bonded amorphous structure as the cause for the disappearance of TLS. Our result supports the notion that a-Si can be made a “perfect glass” with “crystal-like” properties, thus offering an encouraging opportunity to use it as a simple crystal dielectric alternative in applications, such as in modern quantum devices where TLS are the source of dissipation, decoherence and 1/f noise.

  4. Brillouin light scattering studies of the mechanical properties of ultrathin low-k dielectric films

    Science.gov (United States)

    Link, A.; Sooryakumar, R.; Bandhu, R. S.; Antonelli, G. A.

    2006-07-01

    In an effort to reduce RC time delays that accompany decreasing feature sizes, low-k dielectric films are rapidly emerging as potential replacements for silicon dioxide (SiO2) at the interconnect level in integrated circuits. The main challenge in low-k materials is their substantially weaker mechanical properties that accompany the increasing pore volume content needed to reduce k. We show that Brillouin light scattering is an excellent nondestructive technique to monitor and characterize the mechanical properties of these porous films at thicknesses well below 200nm that are pertinent to present applications. Observation of longitudinal and transverse standing wave acoustic resonances and the dispersion that accompany their transformation into traveling waves with finite in-plane wave vectors provides for a direct measure of the principal elastic constants that completely characterize the mechanical properties of these ultrathin films. The mode amplitudes of the standing waves, their variation within the film, and the calculated Brillouin intensities account for most aspects of the spectra. We further show that the values obtained by this method agree well with other experimental techniques such as nanoindentation and picosecond laser ultrasonics.

  5. Critical Parameters and Critical-Region (p,ρ ,T) Data of trans-1,1,1,3-Tetrafluorobut-2-ene [HFO-1354mzy(E)

    Science.gov (United States)

    Kimura, Takeru; Kayukawa, Yohei; Miyamoto, Hiroyuki; Saito, Kiyoshi

    2017-08-01

    This study presents the experimental measurement of the pρ T properties and critical parameters of a low GWP type refrigerant, trans-1,1,1,3-Tetrafluorobut-2-ene (HFO-1354mzy(E)). The sample purity of the substance was 99 area %. p ρ T property measurements and visual observations of the meniscus of HFO-1354mzy(E) were carried out using a metal-bellows volumometer with an optical cell. The critical temperature was determined by observation of the critical opalescence. The critical pressure and critical density were determined as the inflection point of the isothermal p ρ T property data at the critical temperature. For more precise clarification of the thermodynamic surface in the vicinity of the critical point, additional p ρ T property measurements were carried out on three isotherms in the supercritical region. The expanded uncertainties (k = 2) in the temperature, pressure, and density measurements were estimated to be less than 3 mK, 1.2 kPa, and 0.32 \\hbox {kg} \\cdot \\hbox {m}^{-3}, respectively. The expanded uncertainties of the critical parameters were estimated to be less than 13 mK, 1.4 kPa, and 2.3 \\hbox {kg} \\cdot \\hbox {m}^{-3}, respectively. These values are the first reported for HFO-1354mzy(E) and are necessary for the development of its equation of state in the near future.

  6. Magnetic, dielectric and magnetodielectric properties of PVDF-La0.7Sr0.3MnO3 polymer nanocomposite film

    Directory of Open Access Journals (Sweden)

    Ch. Thirmal

    2013-11-01

    Full Text Available We have investigated the structure, magnetic and dielectric properties of PVDF-La0.7Sr0.3MnO3 polymer nanocomposite thick film fabricated by dip coating technique along with the magnetodielectric effect. The structure and dielectric properties show the enhanced β phase in the composite compared to the PVDF film. The coupling between the ferroelectric and magnetic phases in the composite is revealed in the form of dielectric anomaly at the ferromagnetic Curie temperature. We observed 1.9% magnetodielectric effect at 300 K with the possibility of enhanced effect near the transition temperature. In addition, the analysis of the electric modulus indicates that the composite exhibits interfacial related relaxation and it follows Arrhenius Law. Our study suggests that the ac conductivity of the PVDF-La0.7Sr0.3MnO3 composite could be explained by correlated barrier hopping mechanism.

  7. Toward superlensing with metal-dielectric composites and multilayers

    DEFF Research Database (Denmark)

    Nielsen, Rasmus Bundgaard; Thoreson, M.D.; Chen, W.

    2010-01-01

    We report on the fabrication of two types of adjustable, near-field superlens designs: metal–dielectric composites and metal–dielectric multilayer films. We fabricated a variety of films with different materials, thicknesses and compositions. These samples were characterized physically...... and optically to determine their film composition, quality, and optical responses. Our results on metal–dielectric composites indicate that although the real part of the effective permittivity generally follows effective medium theory predictions, the imaginary part does not and substantially higher losses...

  8. Solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a polymer dielectric on a flexible substrate

    International Nuclear Information System (INIS)

    Shin, Sang-Il; Kwon, Jae-Hong; Ju, Byeong-Kwon; Kang, Hochul

    2008-01-01

    The authors report the fabrication of solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a cross-linked poly-4-vinylphenol (PVP) dielectric on a polyethersulphone (PES) substrate. The device exhibited useful electrical characteristics, including a saturation field effect mobility of 2.08 × 10 −2 cm 2 V −1 s −1 , a current on/off ratio of 10 5 , a threshold voltage of −2 V and an excellent subthreshold slope of 0.86 V/dec. It was demonstrated that the significant improvement in the subthreshold slope of TIPS-pentacene TFTs could be attributed to a decreased carrier trap density at the PVP/TIPS-pentacene film interface. Furthermore, a 1,2,3,4-tetrahydronaphthalene (Tetralin) solvent used in this study had a high boiling point, which had a positive effect on the morphology and the molecular ordering of the TIPS-pentacene film

  9. Synthesis, Structural, Optical and Dielectric Properties of Nanostructured 0-3 PZT/PVDF Composite Films.

    Science.gov (United States)

    Revathi, S; Kennedy, L John; Basha, S K Khadheer; Padmanabhan, R

    2018-07-01

    Nanostructured PbZr0.52Ti0.48O3 (PZT) powder was synthesized at 500 °C-800 °C using sol-gel route. X-ray diffraction and Rietveld analysis confirmed the formation of perovskite structure. The sample heat treated at 800 °C alone showed the formation of morphotropic phase boundary with coexistence of tetragonal and rhombohedral phase. The PZT powder and PVDF were used in 0-3 connectivity to form the PZT/PVDF composite film using solvent casting method. The composite films containing 10%, 50%, 70% and 80% volume fraction of PZT in PVDF were fabricated. The XRD spectra validated that the PZT structure remains unaltered in the composites and was not affected by the presence of PVDF. The scanning electron microscopy images show good degree of dispersion of PZT in PVDF matrix and the formation of pores at higher PZT loading. The quantitative analysis of elements and their composition were confirmed from energy dispersive X-ray analysis. The optical band gap of the PVDF film is 3.3 eV and the band gap decreased with increase in volume fraction of PZT fillers. The FTIR spectra showed the bands corresponding to different phases of PVDF (α, β, γ) and perovskite phase of PZT. The thermogravimetric analysis showed that PZT/PVDF composite films showed better thermal stability than the pure PVDF film and hydrophobicity. The dielectric constant was measured at frequency ranging from 1 Hz to 6 MHz and for temperature ranging from room temperature to 150 °C. The composite with 50% PZT filler loading shows the maximum dielectric constant at the studied frequency and temperature range with flexibility.

  10. Structural and dielectric properties of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films grown by PLD

    Energy Technology Data Exchange (ETDEWEB)

    James, K. K.; Satish, B.; Jayaraj, M. K. [Nanophotonic and Optoelectronic Devices Laboratory, Department of Physics, Cochin University of Science and Technology, Kerala (India)

    2014-01-28

    Ferroelectric thin films of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} (BST) were deposited on Si/SiO{sub 2}/TiO{sub 2}/Pt (PtSi) substrate by pulsed laser deposition (PLD). Crystalline films with perovskite structure were obtained without post-deposition annealing. Phase purity of the deposited films was confirmed by x-ray diffraction. The lowest value of FWHM obtained for the film deposited at oxygen pressure 5.4×10{sup −4} mbar and substrate temperature 600°C, indicates the high crystallinity of the film. The room temperature dielectric constant at 100 kHz was 85. Butterfly loop, which is the characteristic of ferroelectric materials, was obtained in the regime of −4 to +4V. The leakage current density was nearly 9×10{sup −13} Acm{sup −2}.

  11. Photocatalytic activity of galvanically synthesized nanostructure SnO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jana, Sumanta, E-mail: sumantajana85@gmail.com [Department of Chemistry, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India); Mitra, Bibhas Chandra [Department of Physics, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India); Bera, Pulakesh [Department of Chemistry, Panskura Banamali College, Purba Medinipur, Panskura 721152, WB (India); Sikdar, Moushumi [Department of Chemistry, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India); Mondal, Anup, E-mail: anupmondal2000@yahoo.co.in [Department of Chemistry, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India)

    2014-07-25

    Graphical abstract: Nanostructured porous tin dioxide (SnO{sub 2}) thin films have been synthesized by simple and cost effective galvanic technique. The synthesized porous SnO{sub 2} thin films show excellent photocatalytic activity for degrading methyl orange (MO) dye under light irradiation. The porous morphological grain growth due to annealing is likely to play an active role for this degradation. - Highlights: • SnO{sub 2} thin films have been successfully synthesized by galvanic technique. • A drastic morphological change occurs after annealing as deposited SnO{sub 2} thin films. • Morphological advantage results enhanced photodegradation of dye. - Abstract: The study demonstrates an approach to synthesize nanostructure SnO{sub 2} thin films on TCO (transparent conducting oxide) coated glass substrates by galvanic technique. Aqueous solution of hydrated stannic chloride (SnCl{sub 4}⋅5H{sub 2}O) in potassium nitrate (KNO{sub 3}) solution was used as the working solution. The process involves no sophisticated reactor or toxic chemicals, and proceeds continuously under ambient condition; it provides an economic way of synthesizing nanostructure SnO{sub 2} semiconductor thin films. The influence of sintering temperature on crystalline structure, morphology, electrical and dielectric properties has been studied. A detail analysis of I−V, C−V and dielectrics for annealed SnO{sub 2} thin films have been carried out. The morphological advantage i.e. nanoporous flake like structure allows more efficient transport of reactant molecules to the active interfaces and results a strong photocatalytic activity for degrading methyl orange (MO) dye.

  12. Control of thermal deformation in dielectric mirrors using mechanical design and atomic layer deposition.

    Science.gov (United States)

    Gabriel, Nicholas T; Kim, Sangho S; Talghader, Joseph J

    2009-07-01

    A mechanical design technique for optical coatings that simultaneously controls thermal deformation and optical reflectivity is reported. The method requires measurement of the refractive index and thermal stress of single films prior to the design. Atomic layer deposition was used for deposition because of the high repeatability of the film constants. An Al2O3/HfO2 distributed Bragg reflector was deposited with a predicted peak reflectivity of 87.9% at 542.4 nm and predicted edge deformation of -360 nm/K on a 10 cm silicon substrate. The measured peak reflectivity was 85.7% at 541.7 nm with an edge deformation of -346 nm/K.

  13. Properties of SrBi2Nb2O9 thin films on Pt-coated Si

    International Nuclear Information System (INIS)

    Avila, R.E.; Navarro, P.O.; Martin, V. del C.; Fernandez, L.M.; Sylvester, G.; Retuert, P.J.; Gramsch, E.

    2002-01-01

    SrBi 2 Nb 2 O 9 powders and thin films, on Pt-coated Si, were synthesised by the sol-gel method. Three-layer thin films appear homogeneous down to the 100 nm scale, polycrystalline in the tetragonal Aurivillius phase, at a average thickness of 40 nm per layer. The index of refraction at the center of the visible range increases with the sintering temperature from roughly 2.1 (at 400 Centigrade) to 2.5 (at 700 Centigrade). The expression n 2 -1 increases linearly with the relative density of the thin films, in similar fashion as previous studies in PbTiO 3 thin films. The dielectric constant in quasistatic and high frequency (1 MHz) modes, is between 160 and 230. (Author)

  14. Dielectric silicone elastomers with mixed ceramic nanoparticles

    International Nuclear Information System (INIS)

    Stiubianu, George; Bele, Adrian; Cazacu, Maria; Racles, Carmen; Vlad, Stelian; Ignat, Mircea

    2015-01-01

    Highlights: • Composite ceramics nanoparticles (MCN) with zirconium dioxide and lead zirconate. • Dielectric elastomer films wDith PDMS matrix and MCN as dielectric filler. • Hydrophobic character—water resistant and good flexibility specific to siloxanes. • Increased value of dielectric constant with the content of MCN in dielectric films. • Increased energy output from uniaxial deformation of the dielectric elastomer films. - Abstract: A ceramic material consisting in a zirconium dioxide-lead zirconate mixture has been obtained by precipitation method, its composition being proved by wide angle X-ray powder diffraction and energy-dispersive X-ray spectroscopy. The average diameter of the ceramic particles ranged between 50 and 100 nm, as revealed by transmission electron microscopy images. These were surface treated and used as filler for a high molecular mass polydimethylsiloxane-α,ω-diol (Mn = 450,000) prepared in laboratory, the resulted composites being further processed as films and crosslinked. A condensation procedure, unusual for polydimethylsiloxane having such high molecular mass, with a trifunctional silane was approached for the crosslinking. The effect of filler content on electrical and mechanical properties of the resulted materials was studied and it was found that the dielectric permittivity of nanocomposites increased in line with the concentration of ceramic nanoparticles

  15. Dielectric silicone elastomers with mixed ceramic nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Stiubianu, George, E-mail: george.stiubianu@icmpp.ro [“Petru Poni” Institute of Macromolecular Chemistry, Aleea Gr. Ghica Voda 41A, Iasi 700487 (Romania); Bele, Adrian; Cazacu, Maria; Racles, Carmen; Vlad, Stelian [“Petru Poni” Institute of Macromolecular Chemistry, Aleea Gr. Ghica Voda 41A, Iasi 700487 (Romania); Ignat, Mircea [National R& D Institute for Electrical Engineering ICPE-CA Bucharest, Splaiul Unirii 313, District 3, Bucharest 030138 (Romania)

    2015-11-15

    Highlights: • Composite ceramics nanoparticles (MCN) with zirconium dioxide and lead zirconate. • Dielectric elastomer films wDith PDMS matrix and MCN as dielectric filler. • Hydrophobic character—water resistant and good flexibility specific to siloxanes. • Increased value of dielectric constant with the content of MCN in dielectric films. • Increased energy output from uniaxial deformation of the dielectric elastomer films. - Abstract: A ceramic material consisting in a zirconium dioxide-lead zirconate mixture has been obtained by precipitation method, its composition being proved by wide angle X-ray powder diffraction and energy-dispersive X-ray spectroscopy. The average diameter of the ceramic particles ranged between 50 and 100 nm, as revealed by transmission electron microscopy images. These were surface treated and used as filler for a high molecular mass polydimethylsiloxane-α,ω-diol (Mn = 450,000) prepared in laboratory, the resulted composites being further processed as films and crosslinked. A condensation procedure, unusual for polydimethylsiloxane having such high molecular mass, with a trifunctional silane was approached for the crosslinking. The effect of filler content on electrical and mechanical properties of the resulted materials was studied and it was found that the dielectric permittivity of nanocomposites increased in line with the concentration of ceramic nanoparticles.

  16. Surface Treatment of Polypropylene Films Using Dielectric Barrier Discharge with Magnetic Field

    International Nuclear Information System (INIS)

    Wang Changquan; Zhang Guixin; Wang Xinxin; Chen Zhiyu

    2012-01-01

    Atmospheric pressure non-thermal plasma is of interest for industrial applications. In this study, polypropylene (PP) films are modified by a dielectric barrier discharge (DBD) with a non-uniform magnetic field in air at atmospheric pressure. The surface properties of the PP films before and after a DBD treatment are studied by using contact angle measurement, atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The effect of treatment time on the surface modification with and without a magnetic field is investigated. It is found that the hydrophilic improvement depends on the treatment time and magnetic field. It is also found that surface roughness and oxygen-containing groups are introduced onto the PP film surface after the DBD treatment. Surface roughness and oxygen-containing polar functional groups of the PP films increase with the magnetic induction density. The functional groups are identified as C-O, C=O and O-C=O by using XPS analysis. It is concluded that the hydrophilic improvement of PP films treated with a magnetic field is due to a greater surface roughness and more oxygen-containing groups. (plasma technology)

  17. A Numerical Study of Spray Characteristics in Medium Speed Engine Fueled by Different HFO/n-Butanol Blends

    Directory of Open Access Journals (Sweden)

    Hashem Nowruzi

    2014-01-01

    Full Text Available In the present study, nonreacting and nonevaporating spray characteristics of heavy fuel oil (HFO/n-butanol blends are numerically investigated under two different high pressure injections in medium speed engines. An Eulerian-Lagrangian multiphase scheme is used to simulate blend of C14H30 as HFO and 0%, 10%, 15%, and 20% by volume of n-butanol. OpenFOAM CFD toolbox is modified and implemented to study the effect of different blends of HFO/n-butanol on the spray characteristics at 600 and 1000 bar. To validate the presented simulations, current numerical results are compared against existing experimental data and good compliance is achieved. Based on the numerical findings, addition of n-butanol to HFO increases the particles volume in parcels at 600 bar. It was also found that blend fuels increase the number of spray particles and the average velocity of spray compared to pure HFO. Moreover, under injection pressure of 1000 bar, HFO/n-butanol blends compared to pure HFO fuel decrease particles volume in parcels of spray. Another influence of HFO/n-butanol blends is the decrease in average of particles diameter in parcels. Meanwhile, the effect of HFO/n-butanol on spray length is proved to be negligible. Finally, it can be concluded that higher injection pressure improves the spray efficiency.

  18. Enhanced transmission through arrays of subwavelength holes in gold films coated by a finite dielectric layer

    DEFF Research Database (Denmark)

    Xiao, Sanshui; Mortensen, Niels Asger; Qiu, M.

    2007-01-01

    resonances attributing to the enhanced transmission: the localized waveguide resonance and periodic surface plasmon resonances. For the film coated with dielectric layers, calculated results show that in the wavelength region of interest the localized waveguide resonant mode attributes to sensing rather than...

  19. HFO operation with CR injection

    Energy Technology Data Exchange (ETDEWEB)

    Poensgen, Christian [MAN-Diesel und Turbo SE, Augsburg (Germany)

    2011-07-01

    In 1996 MAN Diesel and Turbo SE started the development of a CR-system for medium speed engines for HFO operation up to fuel viscosity of 700 cSt. 2004 the first field test engine, a 7L 32/40 GenSet was put into service as a retrofit and collected up to now more than 20.000 running hours operated on HFO on a large container vessel. Meanwhile several L32/40 CR GenSets, L32/44 CR, V48/60 CR and L21/31 CR engines collected more than 100000 running hours in HFO operation before MAN Diesel started up the serial production of the new 32/44 CR and 48/60 CR engines. All of these engines are still in service. The paper will give an overview about the field experience and countermeasures which were necessary to develop a reliable product which fulfills the customers' demands concerning low fuel oil consumption, invisible smoke over the whole load range, low emission levels and maintenance costs. The experience was made in a wide range of applications such as GenSet, Cruise Vessel main propulsion and ferry main propulsion running 24h/day. The field test engines reached an availability of more than 90% per year. The paper also will point out the win/win situation for the the manufacturer and customer to participate in the development of the CR technology. For customers satisfaction MAN Diesel provides help for easy handling like online access per satellite connection, easy leakage detection and operator training at site or at the new built academies. The flexibility of the CR-system is the base frame for the future development of engines which fulfills IMO TIER II and IMO TIER III with high efficiency. The necessary reliability, a must, has been proven in the field under real conditions. (orig.)

  20. Effect of splat morphology on the microstructure and dielectric properties of plasma sprayed barium titanate films

    Energy Technology Data Exchange (ETDEWEB)

    Pakseresht, A.H., E-mail: amirh_pak@yahoo.com [Center of Ceramic Coatings, Department of Ceramics, Materials and Energy Research Center, PO Box 31787-316, Karaj (Iran, Islamic Republic of); Rahimipour, M.R. [Center of Ceramic Coatings, Department of Ceramics, Materials and Energy Research Center, PO Box 31787-316, Karaj (Iran, Islamic Republic of); Vaezi, M.R. [Department of Nanotechnology and Advanced Materials, Materials and Energy Research Center, PO Box 31787-316, Karaj (Iran, Islamic Republic of); Salehi, M. [Department of Materials Engineering, Isfahan University of Technology, PO Box 84156-83111, Isfahan (Iran, Islamic Republic of)

    2015-01-01

    Highlights: • BaTiO{sub 3} splats were collected on the mirror polished substrate for the first time. • There was no amorphous phase in the coating collected on the preheated substrate. • The dielectric coefficient was increased by a factor of 3 for the coatings sprayed on the preheated substrates. - Abstract: In the thermal spray process, the film is built up by impingement of molten droplets onto substrate. Splats are created by flattening and spreading of the impacting droplets. Splat morphology can determine the microstructure, physical and mechanical properties of the coating. In this study, BaTiO{sub 3} films were deposited onto a mirror polished stainless steel substrates kept at three different temperatures (25, 300 and 600 °C). At the elevated temperatures, the substrate surface topography and the desorbtion of adsorbates and condensate at the substrate surface are two important factors which change the morphology of the individual splats, from splash morphology to disk-like shape. Splat morphology can determine deposit microstructure and improve the coating properties. The morphology of individual splats and the films were studied using scanning electron microscopy. Phase formation of the films was analyzed by X-ray diffraction. The surface topography of the substrates with different temperatures was analyzed using atomic force microscopy. Furthermore, X-ray photoelectron spectroscopy was performed to determine the chemical compositions of the surface substrate. Results indicated that the porosity in the film produced at room temperature was higher than that in the film deposited on the heated substrates. Also, the dielectric coefficient of the films was increased by decreasing the common defects from 120 to 505 for film deposited on as-received and heated substrates, respectively.

  1. Calculation of Al2O3 contents in Al2O3-PTFE composite thick films fabricated by using the aerosol deposition

    International Nuclear Information System (INIS)

    Kim, Hyung-Jun; Kim, Yoon-Hyun; Nam, Song-Min; Yoon, Young-Joon; Kim, Jong-Hee

    2010-01-01

    Low-temperature fabrication of Al 2 O 3 -PTFE (poly tetra fluoro ethylene) composite thick films for flexible integrated substrates was attempted by using the aerosol deposition method. For optimization of composite thick films, a novel calculation method for the ceramic contents in the composites was attempted. Generally, a thermogravimetry (TG) analysis is used to calculate the ceramic contents in the ceramic-polymer composites. However, the TG analysis requires a long measurement time in each analysis, so we studied a novel calculation method that used a simple dielectric measurement. We used Hashin-Shtrikman bounds to obtain numerical results for the relationship between the dielectric constant of the composites and the contents of Al 2 O 3 . A 3-D electrostatic simulation model similar to the deposited Al 2 O 3 -PTFE composite thick films was prepared, and the simulation result was around the lower bound of the Hashin-Shtrikman bounds. As a result, we could calculate the Al 2 O 3 contents in the composites with a low error of below 5 vol.% from convenient dielectric measurements, and the Al 2 O 3 contents ranged from 51 vol.% to 54 vol.%.

  2. Quantitative analysis and optimization of gravure printed metal ink, dielectric, and organic semiconductor films.

    Science.gov (United States)

    Higgins, Stuart G; Boughey, Francesca L; Hills, Russell; Steinke, Joachim H G; Muir, Beinn V O; Campbell, Alasdair J

    2015-03-11

    Here we demonstrate the optimization of gravure printed metal ink, dielectric, and semiconductor formulations. We present a technique for nondestructively imaging printed films using a commercially available flatbed scanner, combined with image analysis to quantify print behavior. Print speed, cliché screen density, nip pressure, the orientation of print structures, and doctor blade extension were found to have a significant impact on the quality of printed films, as characterized by the spreading of printed structures and variation in print homogeneity. Organic semiconductor prints were observed to exhibit multiple periodic modulations, which are correlated to the underlying cell structure.

  3. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  4. Dielectric properties: A gateway to antibacterial assay-A case study of low-density polyethylene/chitosan composite films.

    Digital Repository Service at National Institute of Oceanography (India)

    Sunilkumar, M.; Gafoor, A.A.; Anas, A.; Haseena, A.P.; Sujith, A.

    anhydride and dicumyl peroxide were used as a coupling agent and a free radical initiator, respectively. The dielectric properties of the composite films were studied as a function of chitosan loading, presence of plasticizer and variable applied frequency...

  5. Structural and electrical characteristics of high-κ ErTixOy gate dielectrics on InGaZnO thin-film transistors

    International Nuclear Information System (INIS)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Li, Wei-Chen; Matsuda, Yasuhiro H.; Pan, Tung-Ming

    2013-01-01

    In this paper, we investigated the structural properties and electrical characteristics of high-κ ErTi x O y gate dielectrics on indium-gallium-zinc oxide thin-film transistors (IGZO TFTs). We used X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy to investigate the structural and morphological features of these dielectric films after they had been subjected to annealing at various temperatures. The high-κ ErTi x O y IGZO TFT device annealed at 400 °C exhibited better electrical characteristics in terms of a large field-effect mobility (8.24 cm 2 /V-s), low threshold voltage (0.36 V), small subthreshold swing (130 mV/dec), and high I on/off ratio(3.73 × 10 6 ). These results are attributed to the reduction of the trap states and oxygen vacancies between the ErTi x O y film and IGZO active layer interface during high-temperature annealing in oxygen ambient. The reliability of voltage stress also can be improved by the oxygen annealing at 400 °C. - Highlights: • ErTi x O y InGaZnO thin-film transistors (TFT). • Structural and electrical properties of the TFT were investigated. • TFT device annealed at 400 °C exhibited better electrical characteristics. • Reliability of TFT device can be improved by annealing at 400 °C

  6. Perfect coupling of light to a periodic dielectric/metal/dielectric structure

    Science.gov (United States)

    Wang, Zhengling; Li, Shiqiang; Chang, R. P. H.; Ketterson, John B.

    2014-07-01

    Using the finite difference time domain method, it is demonstrated that perfect coupling can be achieved between normally incident light and a periodic dielectric/metal/dielectric structure. The structure serves as a diffraction grating that excites modes related to the long range surface plasmon and short range surface plasmon modes that propagate on continuous metallic films. By optimizing the structural dimensions, perfect coupling is achieved between the incident light and these modes. A high Q of 697 and an accompanying ultrasharp linewidth of 0.8 nm are predicted for a 10 nm silver film for optimal conditions.

  7. Electrical characterisation of ferroelectric field effect transistors based on ferroelectric HfO2 thin films

    International Nuclear Information System (INIS)

    Yurchuk, Ekaterina

    2015-01-01

    Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO 2 ) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO 2 thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO 2 -based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.

  8. Effects of Polymeric Dielectric Morphology on Pentacene Morphology and Organic TFT Characteristics

    Directory of Open Access Journals (Sweden)

    Ye Rongbin

    2016-01-01

    Full Text Available In this paper, we report on the effects of the polymeric dielectric morphology on pentacene morphology and organic thin film transistor (TFT characteristics. The morphology and thickness of cyclo-olefin polymer (COP dielectric could be controlled by selecting a solvent. Higher the solvent’s boiling point is, thinner and smother COP films could be obtained. Using the solvent of trimethylcyclohexane, the spin-coated COP films of ca. 330 nm with the peak-to-valley of 7.35 nm and the roughness of root mean square of 0.58 nm were obtained, and pentacene TFT showed high mobility of 2.0 cm2V-1s-1, which originated from highly ordering of pentacene thin films deposited on the smoother and thinner COP films.

  9. Effects of layer sequence and postdeposition annealing temperature on performance of La2O3 and HfO2 multilayer composite oxides on In0.53Ga0.47As for MOS capacitor application

    Science.gov (United States)

    Wu, Wen-Hao; Lin, Yueh-Chin; Chuang, Ting-Wei; Chen, Yu-Chen; Hou, Tzu-Ching; Yao, Jing-Neng; Chang, Po-Chun; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2014-03-01

    In this paper, we report on high-k composite oxides that are formed by depositing multiple layers of HfO2 and La2O3 on In0.53Ga0.47As for MOS device application. Both multilayer HfO2 (0.8 nm)/La2O3 (0.8 nm)/In0.53Ga0.47As and La2O3 (0.8 nm)/HfO2 (0.8 nm)/In0.53Ga0.47As MOS structures were investigated. The effects of oxide thickness and postdeposition annealing (PDA) temperature on the interface properties of the composite oxide MOS capacitors were studied. It was found that a low CET of 1.41 nm at 1 kHz was achieved using three-layer composite oxides. On the other hand, a small frequency dispersion of 2.8% and an excellent Dit of 7.0 × 1011 cm-2·eV-1 can be achieved using multiple layers of La2O3 (0.8 nm) and HfO2 (0.8 nm) on the In0.53Ga0.47As MOS capacitor with optimum thermal treatment and layer thickness.

  10. Optical anisotropy of layered metal-dielectric nanostructures based on dense 2D-arrays of silver nanoparticles

    International Nuclear Information System (INIS)

    Jeshchenko, O.A.

    2013-01-01

    The spatial and polarization anisotropy of extinction spectra of parallel dense 2D-monolayers of Ag nanoparticles separated by dielectric films is theoretically studied. The dependences are interpreted as a result of collectivization of surface plasmon modes occurring due to strong dipole-dipole coupling silver nanoparticles

  11. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    Science.gov (United States)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  12. Structural Evaluation of 5,5′-Bis(naphth-2-yl)-2,2′-bithiophene in Organic Field-Effect Transistors with n-Octadecyltrichlorosilane Coated SiO2 Gate Dielectric

    DEFF Research Database (Denmark)

    Lauritzen, Andreas E.; Torkkeli, Mika; Bikondoa, Oier

    2018-01-01

    We report on the structure and morphology of 5,5′-bis(naphth-2-yl)-2,2′-bithiophene (NaT2) films in bottom-contact organic field-effect transistors (OFETs) with octadecyltrichlorosilane (OTS) coated SiO2 gate dielectric, characterized by atomic force microscopy (AFM), grazing-incidence X......-ray diffraction (GIXRD), and electrical transport measurements. Three types of devices were investigated with the NaT2 thin-film deposited either on (1) pristine SiO2 (corresponding to higher surface energy, 47 mJ/m2) or on OTS deposited on SiO2 under (2) anhydrous or (3) humid conditions (corresponding to lower...... surface energies, 20–25 mJ/m2). NaT2 films grown on pristine SiO2 form nearly featureless three-dimensional islands. NaT2 films grown on OTS/SiO2 deposited under anhydrous conditions form staggered pyramid islands where the interlayer spacing corresponds to the size of the NaT2 unit cell. At the same time...

  13. Preferential growth and enhanced dielectric properties of Ba0.7Sr0.3TiO3 thin films with preannealed Pt bottom electrode

    International Nuclear Information System (INIS)

    Zhu Xiaohong; Ren Yinjuan; Zhang Caiyun; Zhu Jiliang; Zhu Jianguo; Xiao Dingquan; Defaÿ, Emmanuel; Aïd, Marc

    2013-01-01

    Ba 0.7 Sr 0.3 TiO 3 (BST) thin films, about 100 nm in thickness, were prepared on unannealed and 700 °C-preannealed Pt bottom electrodes by the ion beam sputtering and post-deposition annealing method. It was found that the preannealed Pt layer has a more compact structure, making it not only a bottom electrode but also a good template for high-quality BST thin film growth. The BST films deposited on preannealed Pt bottom electrodes showed (0 0 l)-preferred orientation, dense and uniform microstructure with no intermediate phase formed at the film/electrode interface, and thus enhanced dielectric properties. As a result, the typical relative dielectric constant and tunability (under a dc electric field of 1 MV cm −1 ) reach 180 and 50.1%, respectively, for the BST thin films with preannealed Pt bottom electrodes, which are significantly higher than those (166 and 41.3%, respectively) for the BST thin films deposited on unannealed Pt bottom electrodes. (paper)

  14. Preferential growth and enhanced dielectric properties of Ba0.7Sr0.3TiO3 thin films with preannealed Pt bottom electrode

    Science.gov (United States)

    Zhu, Xiaohong; Defaÿ, Emmanuel; Aïd, Marc; Ren, Yinjuan; Zhang, Caiyun; Zhu, Jiliang; Zhu, Jianguo; Xiao, Dingquan

    2013-03-01

    Ba0.7Sr0.3TiO3 (BST) thin films, about 100 nm in thickness, were prepared on unannealed and 700 °C-preannealed Pt bottom electrodes by the ion beam sputtering and post-deposition annealing method. It was found that the preannealed Pt layer has a more compact structure, making it not only a bottom electrode but also a good template for high-quality BST thin film growth. The BST films deposited on preannealed Pt bottom electrodes showed (0 0 l)-preferred orientation, dense and uniform microstructure with no intermediate phase formed at the film/electrode interface, and thus enhanced dielectric properties. As a result, the typical relative dielectric constant and tunability (under a dc electric field of 1 MV cm-1) reach 180 and 50.1%, respectively, for the BST thin films with preannealed Pt bottom electrodes, which are significantly higher than those (166 and 41.3%, respectively) for the BST thin films deposited on unannealed Pt bottom electrodes.

  15. Rapid formation of nanocrystalline HfO2 powders from amorphous hafnium hydroxide under ultrasonically assisted hydrothermal treatment

    International Nuclear Information System (INIS)

    Meskin, Pavel E.; Sharikov, Felix Yu.; Ivanov, Vladimir K.; Churagulov, Bulat R.; Tretyakov, Yury D.

    2007-01-01

    Peculiarities of hafnium hydroxide hydrothermal decomposition were studied by in situ heat flux calorimetry for the first time. It was shown that this process occurs in one exothermal stage (ΔH = -17.95 kJ mol -1 ) at 180-250 deg. C resulting in complete crystallization of amorphous phase with formation of pure monoclinic HfO 2 . It was found that the rate of m-HfO 2 formation can be significantly increased by combining hydrothermal treatment with simultaneous ultrasonic activation

  16. Wet thermal annealing effect on TaN/HfO2/Ge metal—oxide—semiconductor capacitors with and without a GeO2 passivation layer

    International Nuclear Information System (INIS)

    Liu Guan-Zhou; Li Cheng; Lu Chang-Bao; Tang Rui-Fan; Tang Meng-Rao; Wu Zheng; Yang Xu; Huang Wei; Lai Hong-Kai; Chen Song-Yan

    2012-01-01

    Wet thermal annealing effects on the properties of TaN/HfO 2 /Ge metal—oxide—semiconductor (MOS) structures with and without a GeO 2 passivation layer are investigated. The physical and the electrical properties are characterized by X-ray photoemission spectroscopy, high-resolution transmission electron microscopy, capacitance—voltage (C—V) and current—voltage characteristics. It is demonstrated that wet thermal annealing at relatively higher temperature such as 550 °C can lead to Ge incorporation in HfO 2 and the partial crystallization of HfO 2 , which should be responsible for the serious degradation of the electrical characteristics of the TaN/HfO 2 /Ge MOS capacitors. However, wet thermal annealing at 400 °C can decrease the GeO x interlayer thickness at the HfO 2 /Ge interface, resulting in a significant reduction of the interface states and a smaller effective oxide thickness, along with the introduction of a positive charge in the dielectrics due to the hydrolyzable property of GeO x in the wet ambient. The pre-growth of a thin GeO 2 passivation layer can effectively suppress the interface states and improve the C—V characteristics for the as-prepared HfO 2 gated Ge MOS capacitors, but it also dissembles the benefits of wet thermal annealing to a certain extent

  17. Dielectric response of capacitor structures based on PZT annealed at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Kamenshchikov, Mikhail V., E-mail: Mikhailkamenshchikov@yandex.ru [Tver State University, 170002, Tver (Russian Federation); Solnyshkin, Alexander V. [Tver State University, 170002, Tver (Russian Federation); Pronin, Igor P. [Ioffe Institute, 194021, St. Petersburg (Russian Federation)

    2016-12-09

    Highlights: • Correlation of the microstructure of PZT films and dielectric response was found. • Difference of dielectric responses under low and high bias is caused by domains. • Internal fields is discussed on the basis of the space charges. • Dependences of PZT films characteristics on synthesis temperature are extremal. - Abstract: Dielectric response of thin-film capacitor structures of Pt/PZT/Pt deposited by the RF magnetron sputtering method and annealed at temperatures of 540–570 °C was investigated. It was found that dielectric properties of these structures depend on the synthesis temperature. Stability of a polarized state is considered on the basis of the analysis of hysteresis loops and capacitance–voltage (C–V) characteristics. The contribution of the domain mechanism in the dielectric response of the capacitor structure comprising a ferroelectric is discussed. Extreme dependences of electrophysical characteristics of PZT films on their synthesis temperature were observed. Correlation of dielectric properties with microstructure of these films is found out.

  18. Phase sensitive molecular dynamics of self-assembly glycolipid thin films: A dielectric spectroscopy investigation

    Science.gov (United States)

    Velayutham, T. S.; Ng, B. K.; Gan, W. C.; Majid, W. H. Abd.; Hashim, R.; Zahid, N. I.; Chaiprapa, Jitrin

    2014-08-01

    Glycolipid, found commonly in membranes, is also a liquid crystal material which can self-assemble without the presence of a solvent. Here, the dielectric and conductivity properties of three synthetic glycolipid thin films in different thermotropic liquid crystal phases were investigated over a frequency and temperature range of (10-2-106 Hz) and (303-463 K), respectively. The observed relaxation processes distinguish between the different phases (smectic A, columnar/hexagonal, and bicontinuous cubic Q) and the glycolipid molecular structures. Large dielectric responses were observed in the columnar and bicontinuous cubic phases of the longer branched alkyl chain glycolipids. Glycolipids with the shortest branched alkyl chain experience the most restricted self-assembly dynamic process over the broad temperature range studied compared to the longer ones. A high frequency dielectric absorption (Process I) was observed in all samples. This is related to the dynamics of the hydrogen bond network from the sugar group. An additional low-frequency mechanism (Process II) with a large dielectric strength was observed due to the internal dynamics of the self-assembly organization. Phase sensitive domain heterogeneity in the bicontinuous cubic phase was related to the diffusion of charge carriers. The microscopic features of charge hopping were modelled using the random walk scheme, and two charge carrier hopping lengths were estimated for two glycolipid systems. For Process I, the hopping length is comparable to the hydrogen bond and is related to the dynamics of the hydrogen bond network. Additionally, that for Process II is comparable to the bilayer spacing, hence confirming that this low-frequency mechanism is associated with the internal dynamics within the phase.

  19. Fabrication of Nonvolatile Memory Effects in High-k Dielectric Thin Films Using Electron Irradiation

    International Nuclear Information System (INIS)

    Park, Chanrock; Cho, Daehee; Kim, Jeongeun; Hwang, Jinha

    2010-01-01

    Electron Irradiation can be applied towards nano-floating gate memories which are recognized as one of the next-generation nonvolatile memory semiconductors. NFGMs can overcome the preexisting limitations encountered in Dynamic Random Access Memories and Flash memories with the excellent advantages, i. e. high-density information storage, high response speed, high compactness, etc. The traditional nano-floating gate memories are fabricated through multi-layered nano structures of the dissimilar materials where the charge-trapping portions are sandwiched into the high-k dielectrics. However, this work reports the unique nonvolatile responses in single-layered high-k dielectric thin films if irradiated with highly accelerated electron beams. The implications of the electron irradiation will be discussed towards high-performance nano-floating gate memories

  20. Analysis of Conduction and Charging Mechanisms in Atomic Layer Deposited Multilayered HfO2/Al2O3 Stacks for Use in Charge Trapping Flash Memories

    Directory of Open Access Journals (Sweden)

    Nenad Novkovski

    2018-01-01

    Full Text Available Method for characterization of electrical and trapping properties of multilayered high permittivity stacks for use in charge trapping flash memories is proposed. Application of the method to the case of multilayered HfO2/Al2O3 stacks is presented. By applying our previously developed comprehensive model for MOS structures containing high-κ dielectrics on the J-V characteristics measured in the voltage range without marked degradation and charge trapping (from −3 V to +3 V, several parameters of the structure connected to the interfacial layer and the conduction mechanisms have been extracted. We found that the above analysis gives precise information on the main characteristics and the quality of the injection layer. C-V characteristics of stressed (with write and erase pulses structures recorded in a limited range of voltages between −1 V and +1 V (where neither significant charge trapping nor visible degradation of the structures is expected to occur were used in order to provide measures of the effect of stresses with no influence of the measurement process. Both trapped charge and the distribution of interface states have been determined using modified Terman method for fresh structures and for structures stressed with write and erase cycles. The proposed method allows determination of charge trapping and interface state with high resolution, promising a precise characterization of multilayered high permittivity stacks for use in charge trapping flash memories.