WorldWideScience

Sample records for deposition cvd sic

  1. CVD of SiC and AlN using cyclic organometallic precursors

    Science.gov (United States)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  2. [Application of Raman spectroscopy to investigation of CVD-SIC fiber].

    Science.gov (United States)

    Liu, Bin; Yang, Yan-Qing; Luo, Xian; Huang, Bin

    2011-11-01

    The CVD-SiC fiber was studied by using laser Raman spectra. It was found that the sharp TO peak exists in the first SiC deposit layer, indicating the larger SiC grains. But the second SiC deposit layer is with small grains. Raman peak of carbon and silicon was detected respectively in the first and second layer. Compared with that of the single SiC fiber, the TO peaks move to the high wave number for the SiC fiber in SiC(f)/Ti-6Al-4V composite. It indicates that the compressive thermal residual stress is present in the SiC fiber during the fabrication of the composite because of the mismatched coefficient of thermal expansion between Ti-6Al-4V matrix and SiC fiber. The average thermal residual stress of the SiC fiber in SiC(f)/Ti-6Al-4V composite was calculated to be 318 MPa and the residual stress in first deposit layer is 436 MPa which is much higher than that in the second layer.

  3. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  4. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  5. The characteristics of photo-CVD SiO{sub 2} and its application on SiC MIS UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.H.; Chang, C.S.; Chang, S.J.; Su, Y.K.; Chiou, Y.Z.; Liu, S.H.; Huang, B.R

    2003-07-15

    SiO{sub 2} layers were deposited onto SiC by photo-chemical vapor deposition (photo-CVD) using deuterium (D{sub 2}) lamp as the excitation source. For the photo-SiO{sub 2} deposited 500 deg. C, interface state density (D{sub it}) was estimated to be 5.66x10{sup 11} cm{sup -2} eV{sup -1}. With an applied electric field of 4 MV cm{sup -1}, it was found that the leakage current was only 3.15x10{sup -8} A cm{sup -2} for the photo-CVD SiO{sub 2} layer prepared at 500 deg. C. It was also found that photo-SiO{sub 2} could effectively suppress dark current of SiC-based photodetectors (PDs). It was found that we could reduce dark current of SiC-based PDs by about three orders of magnitude by the insertion of a 5 nm-thick photo-CVD SiO{sub 2} film in between Indium-tin-oxide (ITO) contact and the underneath SiC. Photocurrent to dark current ratio of ITO/SiO{sub 2}/SiC MIS PDs was also found to be much larger than that of conventional ITO/SiC Schottky barrier PDs.

  6. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  7. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  8. Deposition of low stress, high transmittance SiC as an x-ray mask membrane using ECR plasma CVD

    CERN Document Server

    Lee, S Y; Lim, S T; Ahn, J H

    1998-01-01

    SiC for x-ray mask membrane is deposited by Electron Cyclotron Resonance plasma Chemical Vapor Deposition from SiH sub 4 /CH sub 4 Ar mixtures. Stoichiometric SiC is deposited at SiH sub 4 /CH sub 4 ratio of 0.4, deposition temperature of 600.deg.C and microwave power of 500 W with +- 5% thickness uniformity, As-deposited film has compressive residual stress, very smooth surface (31 A rms) and high optical transmittance of 90% at 633 nm wavelength. The microstructure of this film consists of the nanocrystalline particle (100 A approx 200A) embedded in amorphous matrix. Residual stress can be turned to tensile stress via Rapid Thermal Annealing in N sub 2 atmosphere, while suppressing structural change during annealing, As a result, smooth (37 A rms) SiC film with moderate tensile stress and high optical transmittance (85% at 633 nm wavelength) is obtained.

  9. Micromechanics of fiber pull-out and crack bridging in SCS-6 SiC- CVD SiC composite system at high-temperature

    International Nuclear Information System (INIS)

    El-Azab, A.; Ghoniem, N.M.

    1993-01-01

    A micro mechanical model is developed to study fiber pull-out and crack bridging in fiber reinforced SiC-SiC composites with time dependent thermal creep. By analyzing the creep data for monolithic CVD SiC (matrix) and the SCS-6 SiC fibers in the temperature range 900-1250 degrees C, it is found that the matrix creep rates can be ignored in comparison to those of fibers. Two important relationships are obtained: (1) a time dependent relation between the pull-out stress and the relative sliding distance between the fiber and matrix for the purpose of analyzing pull-out experiments, and (2) the relation between the bridging stress and the crack opening displacement to be used in studying the mechanics and stability of matrix crack bridged by fibers at high temperatures. The present analysis can also be applied to Nicalon-reinforced CVD SiC matrix system since the Nicalon fibers exhibit creep characteristics similar to those of the SCS-6 fibers

  10. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods

  11. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  12. An improved design of TRISO particle with porous SiC inner layer by fluidized bed-chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rongzheng; Liu, Malin, E-mail: liumalin@tsinghua.edu.cn; Chang, Jiaxing; Shao, Youlin; Liu, Bing

    2015-12-15

    Tristructural-isotropic (TRISO) particle has been successful in high temperature gas cooled reactor (HTGR), but an improved design is required for future development. In this paper, the coating layers are reconsidered, and an improved design of TRISO particle with porous SiC inner layer is proposed. Three methods of preparing the porous SiC layer, called high methyltrichlorosilane (MTS) concentration method, high Ar concentration method and hexamethyldisilane (HMDS) method, are experimentally studied. It is indicated that porous SiC layer can be successfully prepared and the density of SiC layer can be adjusted by tuning the preparation parameters. Microstructure and characterization of the improved TRISO coated particle are given based on scanning electron microscope (SEM), X-ray diffraction (XRD), Raman scattering and energy dispersive X-ray (EDX) analysis. It can be found that the improved TRISO coated particle with porous SiC layer can be mass produced successfully. The formation mechanisms of porous SiC layer are also discussed based on the fluidized bed-chemical vapor deposition principle. - Graphical abstract: An improved design of TRISO particle with porous SiC inner layer to replace the inner porous pyrolytic carbon layer was proposed and prepared by FB-CVD method. This new design is aimed to reduce the total internal pressure of the particles by reducing the formation of CO and to reduce the risks of amoeba effect. - Highlights: • An improved design of TRISO particle with porous SiC inner layer was proposed. • Three methods of preparing porous SiC layer are proposed and experimentally studied. • The density of porous SiC layer can be controlled by adjusting experimental parameters. • Formation mechanisms of porous SiC layer were given based on the FB-CVD principle. • TRISO particles with porous SiC inner layer were mass produced successfully.

  13. Application of X-ray micro-CT for micro-structural characterization of APCVD deposited SiC coatings on graphite conduit.

    Science.gov (United States)

    Agrawal, A K; Sarkar, P S; Singh, B; Kashyap, Y S; Rao, P T; Sinha, A

    2016-02-01

    SiC coatings are commonly used as oxidation protective materials in high-temperature applications. The operational performance of the coating depends on its microstructure and uniformity. This study explores the feasibility of applying tabletop X-ray micro-CT for the micro-structural characterization of SiC coating. The coating is deposited over the internal surface of pipe structured graphite fuel tube, which is a prototype of potential components of compact high-temperature reactor (CHTR). The coating is deposited using atmospheric pressure chemical vapor deposition (APCVD) and properties such as morphology, porosity, thickness variation are evaluated. Micro-structural differences in the coating caused by substrate distance from precursor inlet in a CVD reactor are also studied. The study finds micro-CT a potential tool for characterization of SiC coating during its future course of engineering. We show that depletion of reactants at larger distances causes development of larger pores in the coating, which affects its morphology, density and thickness. Copyright © 2015 Elsevier Ltd. All rights reserved.

  14. Influence of SiC coating thickness on mechanical properties of SiCf/SiC composite

    Science.gov (United States)

    Yu, Haijiao; Zhou, Xingui; Zhang, Wei; Peng, Huaxin; Zhang, Changrui

    2013-11-01

    Silicon carbide (SiC) coatings with varying thickness (ranging from 0.14 μm to 2.67 μm) were deposited onto the surfaces of Type KD-I SiC fibres with native carbonaceous surface using chemical vapour deposition (CVD) process. Then, two dimensional SiC fibre reinforced SiC matrix (2D SiCf/SiC) composites were fabricated using polymer infiltration and pyrolysis (PIP) process. Influences of the fibre coating thickness on mechanical properties of SiC fibre and SiCf/SiC composite were investigated using single-filament test and three-point bending test. The results indicated that flexural strength of the composites initially increased with the increasing CVD SiC coating thickness and reached a peak value of 363 MPa at the coating thickness of 0.34 μm. Further increase in the coating thickness led to a rapid decrease in the flexural strength of the composites. The bending modulus of composites showed a monotonic increase with increasing coating thickness. A chemical attack of hydrogen or other ions (e.g. a C-H group) on the surface of SiC fibres during the coating process, owing to the formation of volatile hydrogen, lead to an increment of the surface defects of the fibres. This was confirmed by Wang et al. [35] in their work on the SiC coating of the carbon fibre. In the present study, the existing ˜30 nm carbon on the surface of KD-I fibre [36] made the fibre easy to be attacked. Deposition of non-stoichiometric SiC, causing a decrease in strength. During the CVD process, a small amount of free silicon or carbon always existed [35]. The existence of free silicon, either disordered the structure of SiC and formed a new source of cracks or attacked the carbon on fibre surface resulting in properties degeneration of the KD-I fibre. The effect of residual stress. The different thermal expansion coefficient between KD-I SiC fibre and CVD SiC coating, which are 3 × 10-6 K-1 (RT ˜ 1000 °C) and 4.6 × 10-6 K-1 (RT ˜ 1000 °C), respectively, could cause residual stress

  15. Surface coatings deposited by CVD and PVD

    International Nuclear Information System (INIS)

    Gabriel, H.M.

    1982-01-01

    The demand for wear and corrosion protective coatings is increasing due to economic facts. Deposition processes in gas atmospheres like the CVD and PVD processes attained a tremendous importance especially in the field of the deposition of thin hard refractory and ceramic coatings. CVD and PVD processes are reviewed in detail. Some examples of coating installations are shown and numerous applications are given to demonstrate the present state of the art. (orig.) [de

  16. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  17. Process-property relationships of SiC chemical vapor deposition in the Si/H/C/O system

    International Nuclear Information System (INIS)

    Richardson, C.; Takoudis, C.G.

    1999-01-01

    The thermal, chemical, and physical properties of SiC make it an attractive material for a wide range of applications from wear resistant coatings on tools to high temperature microelectronics operations. A comprehensive thermodynamic analysis has been performed for the Si/H/C/O system from which a priori process-property relationships of the chemical vapor deposition (CVD) of silicon carbide (SiC) are obtained. The parameter space for pure silicon carbide growth is reported for five orders of magnitude of the system water vapor level (1 ppb--100 ppm), four orders of magnitude of system pressure (0.1--760 Torr), and two orders of magnitude of C/Si feed ratio (0.25--20) and H 2 /Si feed ratio (50--10,000). Lower growth temperatures for pure SiC are predicted in clean systems with low system water vapor levels, at stoichiometric to near carbon excess conditions (C/Si ≅ 1 to C/Si > 1), at high carrier gas flow rates (large H 2 /Si feed ratios), and at low operating pressures. Because relative C/Si and H 2 /Si feed ratios have been considered, the predictions in this study are applicable to both multiple and single precursor systems. Further, these results are valid for the CVD of α-SiC as well as β-SiC. Experimental data reported on the growth of α-SiC and β-SiC are found to be in satisfactory agreement with the theoretical predictions, for numerous systems that include multiple and single source, silicon and carbon, species

  18. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  19. SiC epitaxy growth using chloride-based CVD

    International Nuclear Information System (INIS)

    Henry, Anne; Leone, Stefano; Beyer, Franziska C.; Pedersen, Henrik; Kordina, Olof; Andersson, Sven; Janzén, Erik

    2012-01-01

    The growth of thick epitaxial SiC layers needed for high-voltage, high-power devices is investigated with the chloride-based chemical vapor deposition. High growth rates exceeding 100 μm/h can be obtained, however to obtain device quality epilayers adjustments of the process parameters should be carried out appropriately for the chemistry used. Two different chemistry approaches are compared: addition of hydrogen chloride to the standard precursors or using methyltrichlorosilane, a molecule that contains silicon, carbon and chlorine. Optical and electrical techniques are used to characterize the layers.

  20. Electroplating chromium on CVD SiC and SiCf-SiC advanced cladding via PyC compatibility coating

    Science.gov (United States)

    Ang, Caen; Kemery, Craig; Katoh, Yutai

    2018-05-01

    Electroplating Cr on SiC using a pyrolytic carbon (PyC) bond coat is demonstrated as an innovative concept for coating of advanced fuel cladding. The quantification of coating stress, SEM morphology, XRD phase analysis, and debonding test of the coating on CVD SiC and SiCf-SiC is shown. The residual tensile stress (by ASTM B975) of electroplated Cr is > 1 GPa prior to stress relaxation by microcracking. The stress can remove the PyC/Cr layer from SiC. Surface etching of ∼20 μm and roughening to Ra > 2 μm (by SEM observation) was necessary for successful adhesion. The debonding strength (by ASTM D4541) of the coating on SiC slightly improved from 3.6 ± 1.4 MPa to 5.9 ± 0.8 MPa after surface etching or machining. However, this improvement is limited due to the absence of an interphase, and integrated CVI processing may be required for further advancement.

  1. Fabrication of Multi-Layerd SiC Composite Tube for LWR Applications

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Daejong; Jung, Choonghwan; Kim, Weonju; Park, Jiyeon [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of); Lee, Jongmin [Chungnam National Univ., Daejeon (Korea, Republic of)

    2013-05-15

    In this study, the chemical vapor deposition (CVD) and chemical vapor infiltration (CVI) methods were employed for the fabrication of the composite tubes. SiC ceramics and SiC-based composites have recently been studied for LWR fuel cladding applications because of good mechanical/physical properties, neutron irradiation resistance and excellent compatibility with coolant under severe accident. A multi-layered SiC composite tube as the nuclear fuel cladding is composed of the monolith SiC inner layer, SiC/SiC composite intermediate layer, and monolith SiC outer layer. Since all constituents should be highly pure, stoichiometric to achieve the good properties, it has been considered that the chemical process is a well-suited technique for the fabrication of the SiC phases.

  2. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  3. SiC fibre by chemical vapour deposition on tungsten filament

    Indian Academy of Sciences (India)

    Unknown

    SiC fibre by chemical vapour deposition on tungsten filament ... CMCs), in defence and industrial applications. SiC has attractive ... porosity along with chemical purity. This is lacking .... reactor. Since mercury is very toxic it should be removed.

  4. Deposition of SiC thin films by PECVD

    CERN Document Server

    Cho, N I; Kim, C K

    1999-01-01

    The SiC films were deposited on Si substrate by the decomposition of CH sub 3 SiCl sub 3 (methylthrichlorosilane) molecules in a high frequency discharge field. From the Raman spectra, it is conjectured that the deposited film are formed into the polycrystalline structure. The photon absorption measurement reveal that the band gap of the electron energy state are to be 2.4 eV for SiC, and 2.6 eV for Si sub 0 sub . sub 4 C sub 0 sub . sub 6 , respectively. In the high power density regime, methyl-radicals decompose easily and increases the carbon concentration in plasma and result in the growing films.

  5. Enhancing the oxidation resistance of graphite by applying an SiC coat with crack healing at an elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jae-Won, E-mail: pjw@kaeri.re.kr [Korea Atomic Energy Research Institute, 1045 Daedeok-Daero, Yuseong-Gu, Daejeon-City (Korea, Republic of); Kim, Eung-Seon; Kim, Jae-Un [Korea Atomic Energy Research Institute, 1045 Daedeok-Daero, Yuseong-Gu, Daejeon-City (Korea, Republic of); Kim, Yootaek [Dept. of Materials Engineering, Kyonggi Universtiy, Suwon (Korea, Republic of); Windes, William E. [Idaho National Laboratory, Idaho Falls, ID 83415 (United States)

    2016-08-15

    Highlights: • Ion beam mixed SiC coating was performed on the graphite for the enhanced adhesion. • The SiC coated was cracked at the elevated temperature, confirming the strong bonding, and then was vigorously oxidized leaving only the SiC layer. • For crack healing, CVD crack healing increased by ∼4 times in 20% weight reduction in air at 900 °C as compared to PVD crack healing. - Abstract: The potential of reducing the oxidation of the supporting graphite components during normal and/or accident conditions in the Very High Temperature Reactor (VHTR) design has been studied. In this work efforts have been made to slow the oxidation process of the graphite with a thin SiC coating (∼ 10 μm). Upon heating at ≥ 1173 K in air, the spallations and cracks were formed in the dense columnar structured SiC coating layer grown on the graphite with a functionally gradient electron beam physical vapor deposition (EB-PVD. In accordance with the formations of these defects, the sample was vigorously oxidized, leaving only the SiC coating layer. Then, efforts were made to heal the surface defects using additional EB-PVD with ion beam bombardment and chemical vapor deposition (CVD). The EB-PVD did not effectively heal the cracks. But, the CVD was more appropriate for crack healing, likely due to its excellent crack line filling capability with a high density and high aspect ratio. It took ∼ 34 min for the 20% weight loss of the CVD crack healed sample in the oxidation test with annealing at 1173 K, while it took ∼ 8 min for the EB-PVD coated sample, which means it took ∼4 times longer at 1173 K for the same weight reduction in this experimental set-up.

  6. Enhancing the oxidation resistance of graphite by applying an SiC coat with crack healing at an elevated temperature

    International Nuclear Information System (INIS)

    Park, Jae-Won; Kim, Eung-Seon; Kim, Jae-Un; Kim, Yootaek; Windes, William E.

    2016-01-01

    Highlights: • Ion beam mixed SiC coating was performed on the graphite for the enhanced adhesion. • The SiC coated was cracked at the elevated temperature, confirming the strong bonding, and then was vigorously oxidized leaving only the SiC layer. • For crack healing, CVD crack healing increased by ∼4 times in 20% weight reduction in air at 900 °C as compared to PVD crack healing. - Abstract: The potential of reducing the oxidation of the supporting graphite components during normal and/or accident conditions in the Very High Temperature Reactor (VHTR) design has been studied. In this work efforts have been made to slow the oxidation process of the graphite with a thin SiC coating (∼ 10 μm). Upon heating at ≥ 1173 K in air, the spallations and cracks were formed in the dense columnar structured SiC coating layer grown on the graphite with a functionally gradient electron beam physical vapor deposition (EB-PVD. In accordance with the formations of these defects, the sample was vigorously oxidized, leaving only the SiC coating layer. Then, efforts were made to heal the surface defects using additional EB-PVD with ion beam bombardment and chemical vapor deposition (CVD). The EB-PVD did not effectively heal the cracks. But, the CVD was more appropriate for crack healing, likely due to its excellent crack line filling capability with a high density and high aspect ratio. It took ∼ 34 min for the 20% weight loss of the CVD crack healed sample in the oxidation test with annealing at 1173 K, while it took ∼ 8 min for the EB-PVD coated sample, which means it took ∼4 times longer at 1173 K for the same weight reduction in this experimental set-up.

  7. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  8. A microstructure study of C + SiC coating materials for first wall of fusion reactor

    International Nuclear Information System (INIS)

    Pan Ying; Gao Dihua; Lu Huaichang; Yao Yiming

    1995-03-01

    By means of OM, SEM, XRD, WDS and EDAX, a microstructure study has been made of: (1) the dependence of microstructure and crystal structure of C + SiC coating and content and distribution of SiC in it on technological process, the coating was deposited on graphite substrate by chemical vapour deposition (CVD) with C 3 H 6 , CH 3 SiCl 3 and Ar mixture gases; (2) the influence of chemical sputtering by hydrogen ions and thermal shock by electron beams with high energy on microstructure and performance of the coating. The results show that the C + SiC coating deposited at 1600 degree C has good adherence and is resistant to damage from chemical sputtering by hydrogen ions and resistant to thermal shock by electron beams. (9 refs., 16 figs., 1 tab.)

  9. Deposition of thin ultrafiltration membranes on commercial SiC microfiltration tubes

    DEFF Research Database (Denmark)

    Facciotti, Marco; Boffa, Vittorio; Magnacca, Giuliana

    2014-01-01

    Porous SiC based materials present high mechanical, chemical and thermal robustness, and thus have been largely applied to water-filtration technologies. In this study, commercial SiC microfiltration tubes with nominal pore size of 0.04 m were used as carrier for depositing thin aluminium oxide....... After 5 times coating, a 5.6 µm thick γ-Al2O3 layer was obtained. This membrane shows retention of ~75% for polyethylene glycol molecules with Mn of 8 and 35 kDa, indicating that, despite their intrinsic surface roughness, commercial SiC microfiltration tubes can be applied as carrier for thin...... ultrafiltration membranes. This work also indicates that an improvement of the commercial SiC support surface smoothness may greatly enhance permeance and selectivity of Υ-Al2O3 ultrafiltration membranes by allowing the deposition of thinner defect-free layers....

  10. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  11. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  12. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  13. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  14. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  15. Low temperature CVD deposition of silicon carbide

    International Nuclear Information System (INIS)

    Dariel, M.; Yeheskel, J.; Agam, S.; Edelstein, D.; Lebovits, O.; Ron, Y.

    1991-04-01

    The coating of graphite on silicon carbide from the gaseous phase in a hot-well, open flow reactor at 1150degC is described. This study constitutes the first part of an investigation of the process for the coating of nuclear fuel by chemical vapor deposition (CVD)

  16. Enhancing the oxidation resistance of graphite by applying an SiC coat with crack healing at an elevated temperature

    Science.gov (United States)

    Park, Jae-Won; Kim, Eung-Seon; Kim, Jae-Un; Kim, Yootaek; Windes, William E.

    2016-08-01

    The potential of reducing the oxidation of the supporting graphite components during normal and/or accident conditions in the Very High Temperature Reactor (VHTR) design has been studied. In this work efforts have been made to slow the oxidation process of the graphite with a thin SiC coating (∼ 10 μm). Upon heating at ≥ 1173 K in air, the spallations and cracks were formed in the dense columnar structured SiC coating layer grown on the graphite with a functionally gradient electron beam physical vapor deposition (EB-PVD. In accordance with the formations of these defects, the sample was vigorously oxidized, leaving only the SiC coating layer. Then, efforts were made to heal the surface defects using additional EB-PVD with ion beam bombardment and chemical vapor deposition (CVD). The EB-PVD did not effectively heal the cracks. But, the CVD was more appropriate for crack healing, likely due to its excellent crack line filling capability with a high density and high aspect ratio. It took ∼ 34 min for the 20% weight loss of the CVD crack healed sample in the oxidation test with annealing at 1173 K, while it took ∼ 8 min for the EB-PVD coated sample, which means it took ∼4 times longer at 1173 K for the same weight reduction in this experimental set-up.

  17. Synthesis of tubular SiC thick CVD coatings for thermo-structural applications

    International Nuclear Information System (INIS)

    Drieux, P.

    2013-01-01

    The goal of this study was to synthesize monolithic SiC tubes to improve sealing of the SiC/SiC composite of a nuclear fuel cladding structure. Tubes of 8 mm inner diameter and several hundred micrometers in thickness have been produced by atmospheric pressure chemical vapor deposition (APCVD) from a mixture CH 3 SiHCl 2 /H 2 . The method has been developed so as to produce continuous SiC tubes of up to thirty centimeters long. The chemical composition and microstructure of the tubes were determined by microprobe, Raman spectroscopy, XRD and electron microscopy (SEM, TEM). The mechanical properties of the tubes were characterized by nano-indentation tests and through compression C-ring. The thermomechanical behavior was also studied. The method includes consideration of a thermo-kinetic study, followed by a gas phase analysis by IRTF and 2D modeling of the reactor. (author) [fr

  18. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  19. Synthesis of nanostructured SiC using the pulsed laser deposition technique

    International Nuclear Information System (INIS)

    Zhang, H.X.; Feng, P.X.; Makarov, V.; Weiner, B.R.; Morell, G.

    2009-01-01

    We report the new results on the direct synthesis of nanostructured silicon carbide (SiC) materials using the pulsed laser deposition technique. Scanning electron microscopy images revealed that SiC nanoholes, nanosprouts, nanowires, and nanoneedles were obtained. The crystallographic structure, chemical composition, and bond structure of the nanoscale SiC materials were investigated using X-ray diffraction, energy dispersive X-ray spectroscopy, X-ray photoelectron spectroscopy, and Raman scattering spectroscopy. The transverse optical mode and longitudinal optical mode in Raman spectra were found to become sharper as the substrate temperature was increased, while the material structure evolved from amorphous to crystalline

  20. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  1. SiC Composite for Fuel Structure Applications

    Energy Technology Data Exchange (ETDEWEB)

    Yueh, Ken [Electric Power Research Inst. (EPRI), Charlotte, NC (United States)

    2017-12-22

    Extensive evaluation was performed to determine the suitability of using SiC composite as a boiling water reactor (BWR) fuel channel material. A thin walled SiC composite box, 10 cm in dimension by approximately 1.5 mm wall thickness was fabricated using chemical vapor deposition (CVD) for testing. Mechanical test results and performance evaluations indicate the material could meet BWR channel mechanical design requirement. However, large mass loss of up to 21% was measured in in-pile corrosion test under BWR-like conditions in under 3 months of irradiation. A fresh sister sample irradiated in a follow-up cycle under PWR conditions showed no measureable weight loss and thus supports the hypothesis that the oxidizing condition of the BWR-like coolant chemistry was responsible for the high corrosion rate. A thermodynamic evaluation showed SiC is not stable and the material may oxidize to form SiO2 and CO2. Silica has demonstrated stability in high temperature steam environment and form a protective oxide layer under severe accident conditions. However, it does not form a protective layer in water under normal BWR operational conditions due to its high solubility. Corrosion product stabilization by modifying the SiC CVD surface is an approach evaluated in this study to mitigate the high corrosion rate. Titanium and zirconium have been selected as stabilizing elements since both TiSiO4 and ZrSiO4 are insoluble in water. Corrosion test results in oxygenated water autoclave indicate TiSiO4 does not form a protective layer. However, zirconium doped test samples appear to form a stable continuous layer of ZrSiO4 during the corrosion process. Additional process development is needed to produce a good ZrSiC coating to verify functionality of the mitigation concept.

  2. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  3. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  4. Deposition and Characterization of TRISO Coating Layers

    International Nuclear Information System (INIS)

    Kim, Do Kyung; Kim, Min Woo; Lee, Hyeon Keun; Choi, Doo Jin; Kim, Jun Kyu; Cho, Sung Hyuk

    2008-03-01

    Both ZrC and SiC layers are crucial layers in TRISO coated fuel particles since they prevent diffusion of fission products and provide mechanical strength for the fuel particle. However, each layer has its own defects, so the purpose of this study is to complement such defects of these layers. In this study, we carried out thermodynamic simulations before actual experiments. With these simulation results, we deposited the ZrC layers on SiC/graphite substrates through CVD process. SiC films on graphite have different microstructures which are a hemispherical angular, domed top and faceted structure at different deposition temperature, respectively. According to the microstructures of SiC, preferred orientation, hardness and elastic modules of deposited ZrC layer were changed. TRISO particles. The fracture the SiC coating layer occurred by the tensile stress due to the traditional pressure vessel failure criteria. It is important to find fracture stress of SiC coating layer by the internal pressurization test method. The finite-element analysis was carried out to obtain the empirical equation of strength evaluation. By using this empirical equation, the mechanical properties of several types of SiC coating film with different microstructure and thicknesses will discussed

  5. Deposition and Characterization of TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do Kyung; Kim, Min Woo; Lee, Hyeon Keun [KAIST, Daejeon (Korea, Republic of); Choi, Doo Jin; Kim, Jun Kyu; Cho, Sung Hyuk [Younsei University, Seoul (Korea, Republic of)

    2008-03-15

    Both ZrC and SiC layers are crucial layers in TRISO coated fuel particles since they prevent diffusion of fission products and provide mechanical strength for the fuel particle. However, each layer has its own defects, so the purpose of this study is to complement such defects of these layers. In this study, we carried out thermodynamic simulations before actual experiments. With these simulation results, we deposited the ZrC layers on SiC/graphite substrates through CVD process. SiC films on graphite have different microstructures which are a hemispherical angular, domed top and faceted structure at different deposition temperature, respectively. According to the microstructures of SiC, preferred orientation, hardness and elastic modules of deposited ZrC layer were changed. TRISO particles. The fracture the SiC coating layer occurred by the tensile stress due to the traditional pressure vessel failure criteria. It is important to find fracture stress of SiC coating layer by the internal pressurization test method. The finite-element analysis was carried out to obtain the empirical equation of strength evaluation. By using this empirical equation, the mechanical properties of several types of SiC coating film with different microstructure and thicknesses will discussed.

  6. Ion beam figuring of CVD silicon carbide mirrors

    Science.gov (United States)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  7. Effect of high temperature annealing on the grain size of CVD-grown SiC and experimental PBMR TRISO coated particles

    CSIR Research Space (South Africa)

    Mokoduwe, SM

    2010-10-01

    Full Text Available in the PBMR fuel SiC layer. square samples were cut from the original sample received from ORNL and prepared for grain size Prague, Czech Republic, October 18 – 2000 °C. These no significant ion of how the 8] also ge is also of tal THODS -Si... for grain size determination Fig. 5: Influence of high temperature annealing on the CVD ORNL polycrystalline 3 C-SiC. Fig. 6: Influence of high temperature annealing on the polycrystalline 3 C-SiC layer of PBMR TRISO CP batches D and E...

  8. Evaluation of Fracture Stress for the SiC Layer of TRISO-Coated Fuel Particles by A Modified Crush Testing

    International Nuclear Information System (INIS)

    Byun, Thak Sang; Kim, Jin Weon; Miller, James Henry; Snead, Lance Lewis; Hunn, John D.

    2010-01-01

    Fracture stress data for the chemical vapor deposition (CVD) SiC coatings of tri-isotropic (TRISO) carbon/silicon carbide coated fuel particles were obtained using a newly developed testing and evaluation method, and their relationship with microstructure investigated. A crush testing technique using a blanket foil at load-transferring contact has been developed for hemispherical shell SiC specimens based on finite element (FE) analysis results. Mean fracture stress varied with test material in the range of 330-650 MPa, and was connected to the combined characteristics of inner surface roughness and porosity.

  9. Effect of process conditions and chemical composition on the microstructure and properties of chemically vapor deposited SiC, Si, ZnSe, ZnS and ZnS(x)Se(1-x)

    Science.gov (United States)

    Pickering, Michael A.; Taylor, Raymond L.; Goela, Jitendra S.; Desai, Hemant D.

    1992-01-01

    Subatmospheric pressure CVD processes have been developed to produce theoretically dense, highly pure, void-free and large area bulk materials, SiC, Si, ZnSe, ZnS and ZnS(x)Se(1-x). These materials are used for optical elements, such as mirrors, lenses and windows, over a wide spectral range from the VUV to the IR. We discuss the effect of CVD process conditions on the microstructure and properties of these materials, with emphasis on optical performance. In addition, we discuss the effect of chemical composition on the properties of the composite material ZnS(x)Se(1-x). We first present a general overview of the bulk CVD process and the relationship between process conditions, such as temperature, pressure, reactant gas concentration and growth rate, and the microstructure, morphology and properties of CVD-grown materials. Then we discuss specific results for CVD-grown SiC, Si, ZnSe, ZnS and ZnS(x)Se(1-x).

  10. Enhanced oxidation resistance of SiC coating on Graphite by crack healing at the elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jae-Won; Kim, Eung-Seon; Kim, Jae-Un; Kim, Yoo-Taek [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of); Windes, William E. [Idaho National Laboratory, Idaho (United States)

    2015-10-15

    An oxidation protective SiC coating on the graphite components could assist in slowing the oxidation down. However, the irradiation induced dimensional changes in the graphite (shrinkage followed by swelling) can occur, while the SiC CVD coating has been reported to swell even at a low dose neutron irradiation. In this work, functionally gradient electron beam evaporative coating with an ion beam processing was firstly conducted and then SiC coating on the FG coating to the desired thickness is followed. For the crack healing, both the repeated EB-PVD and CVD were performed. Oxidation and thermal cycling tests of the coated specimens were performed and reflected in the process development. In this work, efforts have been paid to heal the cracks in the SiC coated layer on graphite with both EB-PVD and CVD. CVD seems to be more appropriate coating method for crack healing probably due to its excellent crack-line filling capability for high density and high aspect ratio.

  11. A measure of the interfacial shear strength between SiC(CVD)/B(CVD) filament--aluminum matrix by fragmentation method

    International Nuclear Information System (INIS)

    Jiang, Y.Q.; Chen, X.J.; Yang, D.M.; Fei, X.; Pan, J.

    1993-01-01

    The tensile specimens used are of dog-bone shape and consist of single axial SiC (CVD) /B (CVD) filament processed by CVD and embedded in a LD-2 aluminum alloy. Model composite specimens have been fabricated by a high pressure squeeze casting technique. This paper describes the application of an Acoustic Emission Technique for locating the position of fiber breaks and thus determining the length distribution of fiber fragments resulting when a composite specimen containing a single fiber is loaded to failure. The critical lengths (minimal lengths) are checked by Corrosion Method

  12. Deposition of titanium coating on SiC fiber by chemical vapor deposition with Ti-I{sub 2} system

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2017-06-01

    Highlights: • The transformation paths of (Ti + I{sub 2}) powder to Ti coating is: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}) → Ti. • Uniform coating was obtained on SiC fiber, but it contained Si and C elements. • Deposition rate of the coating increased with the increase of temperature. • Deposition thickness increased with time and achieved the maximum at 90 min. - Abstract: Titanium coating was prepared on SiC fiber using titanium-iodine (Ti-I{sub 2}) mixture by hot-wall chemical vapor deposition. Thermodynamic analysis and experimental observation were carried out in this work. The thermodynamic analysis of the reactions in the Ti-I{sub 2} system indicates that Ti and I{sub 2} raw powder materials transform to titanium coating as follows: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}), and (TiI{sub 2}, TiI{sub 3}) → Ti. In theory, the conversions of TiI{sub 3} and TiI{sub 2} reach the maximum when Ti:I{sub 2} is 1:1.5, while in actual experiment that reached the maximum when Ti:I{sub 2} was 1:2, as there existed the waste of I{sub 2} due to sublimation. Typical deposited coating is relatively flat and uniform. However, as SiC is prone to react with Ti at high temperatures, the obtained coating contained some Si and C elements except for Ti. So the coating was not a pure Ti coating but contained some carbides and silicides. Deposition rate of the coating increased with the increase of temperature. The deposited thickness increased with the increase of heat preservation time, and achieved the maximum thickness at 90 min.

  13. Chemical-vapor-infiltrated silicon nitride, boron nitride, and silicon carbide matrix composites

    International Nuclear Information System (INIS)

    Ventri, R.D.; Galasso, F.S.

    1990-01-01

    This paper reports composites of carbon/chemical-vapor-deposited (CVD) Si 3 N 4 , carbon/CVD BN, mullite/CVD SiC, and SiC yarn/CVD SiC prepared to determine if there were inherent toughness in these systems. The matrices were deposited at high enough temperatures to ensure that they were crystalline, which should make them more stable at high temperatures. The fiber-matrix bonding in the C/Si 3 N 4 composite appeared to be too strong; the layers of BN in the matrix of the C/BN were too weakly bonded; and the mullite/SiC composite was not as tough as the SiC/SiC composites. Only the SiC yarn/CVD SiC composite exhibited both strength and toughness

  14. Low dose irradiation performance of SiC interphase SiC/SiC composites

    International Nuclear Information System (INIS)

    Snead, L.L.; Lowden, R.A.; Strizak, J.; More, K.L.; Eatherly, W.S.; Bailey, J.; Williams, A.M.; Osborne, M.C.; Shinavski, R.J.

    1998-01-01

    Reduced oxygen Hi-Nicalon fiber reinforced composite SiC materials were densified with a chemically vapor infiltrated (CVI) silicon carbide (SiC) matrix and interphases of either 'porous' SiC or multilayer SiC and irradiated to a neutron fluence of 1.1 x 10 25 n m -2 (E>0.1 MeV) in the temperature range of 260 to 1060 C. The unirradiated properties of these composites are superior to previously studied ceramic grade Nicalon fiber reinforced/carbon interphase materials. Negligible reduction in the macroscopic matrix microcracking stress was observed after irradiation for the multilayer SiC interphase material and a slight reduction in matrix microcracking stress was observed for the composite with porous SiC interphase. The reduction in strength for the porous SiC interfacial material is greatest for the highest irradiation temperature. The ultimate fracture stress (in four point bending) following irradiation for the multilayer SiC and porous SiC interphase materials was reduced by 15% and 30%, respectively, which is an improvement over the 40% reduction suffered by irradiated ceramic grade Nicalon fiber materials fabricated in a similar fashion, though with a carbon interphase. The degradation of the mechanical properties of these composites is analyzed by comparison with the irradiation behavior of bare Hi-Nicalon fiber and Morton chemically vapor deposited (CVD) SiC. It is concluded that the degradation of these composites, as with the previous generation ceramic grade Nicalon fiber materials, is dominated by interfacial effects, though the overall degradation of fiber and hence composite is reduced for the newer low-oxygen fiber. (orig.)

  15. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  16. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  17. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  18. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    Science.gov (United States)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  19. Low-pressure c-BN deposition - is a CVD process possible?

    International Nuclear Information System (INIS)

    Haubner, R.; Tang, X.

    2001-01-01

    Since the low-pressure diamond deposition was discovered in 1982 there is a high interest to find a similar process for the c-BN synthesis. A review about the c-BN deposition process as well as its characterization is given. Experiments with a simple chemical vapor deposition(CVD) reactor using tris(dimethylamino)borane as precursor were carried out. In a cold-wall reactor substrates were heated up by high-frequency. Argon was used as protecting and carrying the precursor, it was saturated with tris(dimethylamino)borane (precursor) according to its vapor pressure and transports the pressure to the hot substrate, where deposition occurs. WC-Co hardmetal plates containing 6 wt. % Co, Mo and Si were used as substrates. Various BN layers were deposited and characterized. X-ray diffraction, IR-spectroscopy and SIMS indicate that BN-coatings containing c-BN were deposited. However a final verification of c-BN crystallites by TEM investigations was not possible till now. (nevyjel)

  20. Electrophoretic Deposition for the Fabrication of High-Performance Metal-Ceramic Hybrid Cladding

    International Nuclear Information System (INIS)

    Park, Junghwan; Jung, Yangil; Park, Dongjun; Kim, Hyungil; Park, Jeongyong; Koo, Yanghyun

    2014-01-01

    Metal-ceramic hybrid cladding consisting of a Zr liner and SiC f /SiC composite is one of the candidate systems. To achieve a high-performance metal-ceramic hybrid cladding, it is important to synthesize the SiC f /SiC composites with high flexural strength. The most common interphases, such as pyrolytic carbon (PyC) and boron nitride (BN) coating, have been applied on the surface of SiC fibers by chemical vapor deposition (CVD) or chemical vapor infiltration (CVI). In addition, the SiC matrix phase for SiC f /SiC composites has been commonly formed by CVI and polymer infiltration and pyrolysis (PIP), which are very costly and complicated processes. For this reason, the fabrication process of SiC f /SiC composites that is low-cost and simple has been strongly needed. In this study, weak phase coating using a commercial colloidal carbon black suspension was performed on SiC fibers through electrophoretic deposition (EPD), and carbon-coated SiC f /SiC composites were fabricated by EPD. The mechanical properties at room temperature were evaluated to investigate the effect of the carbon interfacial layer on the mechanical properties of carbon-coated SiC f /SiC composites. In this study, it was concluded that the EPD method is effective for homogeneous carbon black coating on SiC fibers, and that the carbon coating layer on SiC fibers plays an important role in optimizing the interface between fibers and the matrix, and enhances the toughness of carbon-coated SiC f /SiC composites during fracture

  1. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  2. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rongzheng; Liu, Malin, E-mail: liumalin@tsinghua.edu.cn; Chang, Jiaxing [Tsinghua University, Institute of Nuclear and New Energy Technology, Collaborative Innovation Center of Advanced Nuclear Energy Technology (China)

    2017-02-15

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H{sub 2} system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  3. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu, Rongzheng; Liu, Malin; Chang, Jiaxing

    2017-01-01

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H_2 system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  4. Phenomenological inelastic constitutive equations for SiC and SiC fibers under irradiation

    International Nuclear Information System (INIS)

    El-Azab, A.; Ghoniem, N.M.

    1994-01-01

    Experimental data on irradiation-induced dimensional changes and creep in β-SiC and SiC fibers is analyzed, with the objective of studying the constitutive behavior of these materials under high-temperature irradiation. The data analysis includes empirical representation of irradiation-induced dimensional changes in SiC matrix and SiC fibers as function of time and irradiation temperature. The analysis also includes formulation of simple scaling laws to extrapolate the existing data to fusion conditions on the basis of the physical mechanisms of radiation effects on crystalline solids. Inelastic constitutive equations are then developed for SCS-6 SiC fibers, Nicalon fibers and CVD SiC. The effects of applied stress, temperature, and irradiation fields on the deformation behavior of this class of materials are simultaneously represented. Numerical results are presented for the relevant creep functions under the conditions of the fusion reactor (ARIES IV) first wall. The developed equations can be used in estimating the macro mechanical properties of SiC-SiC composite systems as well as in performing time-dependent micro mechanical analysis that is relevant to slow crack growth and fiber pull-out under fusion conditions

  5. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  6. Residual stress in thick low-pressure chemical-vapor deposited polycrystalline SiC coatings on Si substrates

    Science.gov (United States)

    Choi, D.; Shinavski, R. J.; Steffier, W. S.; Spearing, S. M.

    2005-04-01

    Residual stress in thick coatings of polycrystalline chemical-vapor deposited SiC on Si substrates is a key variable that must be controlled if SiC is to be used in microelectromechanical systems. Studies have been conducted to characterize the residual stress level as a function of deposition temperature, Si wafer and SiC coating thickness, and the ratios of methyltrichlorosilane to hydrogen and hydrogen chloride. Wafer curvature was used to monitor residual stress in combination with a laminated plate analysis. Compressive intrinsic (growth) stresses were measured with magnitudes in the range of 200-300MPa; however, these can be balanced with the tensile stress due to the thermal-expansion mismatch to leave near-zero stress at room temperature. The magnitude of the compressive intrinsic stress is consistent with previously reported values of surface stress in combination with the competition between grain-boundary energy and elastic strain energy.

  7. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  8. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  9. An economic CVD technique for pure SnO2 thin films deposition ...

    Indian Academy of Sciences (India)

    An economic CVD technique for pure SnO2 thin films deposition: Temperature effects ..... C are depicted in figure 7. It is observed that the cut-off wave- ... cating that the energy gap of the SnO2 films varies among. 3·54, 3·35 and 1·8 eV.

  10. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  11. Effect of PbI2 deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    International Nuclear Information System (INIS)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos

    2016-01-01

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI 2 and chemical vapour deposition (CVD) of CH 3 NH 3 I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI 2 film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH 3 NH 3 I is fostered for PbI 2 films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI 2 on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH 3 NCH 3 molecules been fostered by increasing the PVD rate of PbI 2 and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI 2 (001) crystallite planes. • CH 3 NH 3 I intercalation process fostered by increased PbI 2 PVD rate. • Stoichiometric CH 3 NH 3 PbI 3 suitable as absorber in photovoltaic applications • Reduced PbI 2 residue at the bottom of CH 3 NH 3 PbI 3 improves device performance.

  12. Distribution of the grain limit character in SiC and its effect on the diffusion of fission products in the TRISO fuel particles; Distribucion del caracter de limite de grano en SiC y su efecto sobre la difusion de los productos de fision en las particulas de combustible TRISO

    Energy Technology Data Exchange (ETDEWEB)

    Cancino T, F.; Lopez H, E., E-mail: Eddie.lopez@cinvestav.edu.mx [IPN, Centro de Investigacion y de Estudios Avanzados, Unidad Saltillo, Av. Industria Metalurgica 1062, Zona Industrial, 25900 Ramos Arizpe, Coahuila (Mexico)

    2017-09-15

    At present is accepted that silver diffuses through silicium carbide (SiC) by diffusion in grain boundaries, although little is known about the characteristics of grain boundaries in SiC, and how these change depending on the type of sample. In this work, was observed that there are small but important differences between the SiC in the tri-structural isotropic (TRISO) particles and that of the monoliths, which could explain some of the differences observed in experiments on diffusion in the literature. Five different types (coatings and monoliths) of SiC produced by chemical vapor deposition (CVD) were characterized by electron backscatter diffraction (EBSD). In all the samples the SiC was mainly composed of high-angle grain boundaries (∼ 65%), with a small fraction of grain boundaries of low-angle (about 15%) and 20% of the coincidence site lattice (CSL). The morphology of the monoliths is constituted by large grains, surrounded by smaller grains; in the particles of the TRISO fuel, both columnar and equi axial grains were observed, with a more uniform distribution over the surface of the coating. (Author)

  13. Effect of surface irradiation during the photo-CVD deposition of a-Si:H thin films. Hikari CVD ho ni yoru amorphous silicon sakuseiji no kiban hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Tasaka, K.; Doering, H.; Hashimoto, K.; Fujishima, A. (The University of Tokyo, Tokyo (Japan))

    1990-12-06

    This paper shows the impact of the irradiation from an additional light source during the deposition of hydrogenated amorphous silicon by photo-CVD deposition. Using a mercury sensitized photo-CVD process from Disilan (Si {sub 2} H {sub 6}) and hydrogen, silicon was deposited. A 40W low pressure mercury lamp was applied as the light source. A portion of the substrate was in addition irradiated using an Xg-He lamp through a thermal filter. Irradiation of the substrate using only Xg-He lamp produced no deposition, since this light has a wavelength which is too long to produce the SiH {sub 3}-radicals needed for Si deposition. The additional Xg-He light source was discovered to cause an increased thickness of deposited a-Si:H film and a transmission of the band structure. The reasons of these are considered that the influence of irradiation is not limited to film thickness, but that irradiation also impacts the composition of the a-Si:H film so as to cause a reduction in the hydrogen content. 10 figs., 1 tab.

  14. Re-evaluation of SiC permeation coefficients at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, Yasushi, E-mail: yama3707@kansai-u.ac.jp [Faculty of Engineering Science, Kansai Univ., Yamate-cho, Suita, Osaka 564-8680 (Japan); Murakami, Yuichiro; Yamaguchi, Hirosato; Yamamoto, Takehiro; Yonetsu, Daigo [Faculty of Engineering Science, Kansai Univ., Yamate-cho, Suita, Osaka 564-8680 (Japan); Noborio, Kazuyuki [Hydrogen Isotope Research Center, Univ. of Toyama, Toyama, Toyama 930-8555 (Japan); Konishi, Satoshi [Institute of Advanced Energy, Kyoto Univ., Gokasho, Uji, Kyoto 611-0011 (Japan)

    2016-11-01

    Highlights: • The deuterium permeation coefficients of CVD-SiC at 600–950 °C were evaluated. • The wraparound flow was reduced to less than 1/100th of the permeation flow. • CVD-SiC materials are very effective as hydrogen isotope permeation barriers. - Abstract: Since 2007, our group has studied the deuterium permeation and diffusion coefficients for SiC materials at temperatures above 600 °C as a means of evaluating the tritium inventory and permeation in fusion blankets. During such measurements, control and evaluation of the wraparound flow through the sample holder are important, and so the heated sample holder is enclosed by a glass tube and kept under vacuum during experimental trials. However, detailed studies regarding the required degree of vacuum based on model calculations have shown that the wraparound flow is much larger than expected, and so can affect measurements at high temperatures. We therefore modified the measurement apparatus based on calculations involving reduced pressure in the glass tube, and are now confident that the measurement error is only several percent, even at 950 °C. In this paper, recent experimental results obtained with a chemical vapor deposition (CVD)-SiC sample over the temperature range of 600–950 °C are presented, showing that the permeation coefficient for CVD-SiC is more than three orders of magnitude smaller than that for stainless steel (SS316) at 600 °C, and that at 950 °C, the coefficient for CVD-SiC is almost equal to that for SUS316 at 550 °C.

  15. TRISO coated fuel particles with enhanced SiC properties

    International Nuclear Information System (INIS)

    Lopez-Honorato, E.; Tan, J.; Meadows, P.J.; Marsh, G.; Xiao, P.

    2009-01-01

    The silicon carbide (SiC) layer used for the formation of TRISO coated fuel particles is normally produced at 1500-1650 deg. C via fluidized bed chemical vapor deposition from methyltrichlorosilane in a hydrogen environment. In this work, we show the deposition of SiC coatings with uniform grain size throughout the coating thickness, as opposed to standard coatings which have larger grain sizes in the outer sections of the coating. Furthermore, the use of argon as the fluidizing gas and propylene as a carbon precursor, in addition to hydrogen and methyltrichlorosilane, allowed the deposition of stoichiometric SiC coatings with refined microstructure at 1400 and 1300 deg. C. The deposition of SiC at lower deposition temperatures was also advantageous since the reduced heat treatment was not detrimental to the properties of the inner pyrolytic carbon which generally occurs when SiC is deposited at 1500 deg. C. The use of a chemical vapor deposition coater with four spouts allowed the deposition of uniform and spherical coatings.

  16. Distribution of the grain limit character in SiC and its effect on the diffusion of fission products in the TRISO fuel particles

    International Nuclear Information System (INIS)

    Cancino T, F.; Lopez H, E.

    2017-09-01

    At present is accepted that silver diffuses through silicium carbide (SiC) by diffusion in grain boundaries, although little is known about the characteristics of grain boundaries in SiC, and how these change depending on the type of sample. In this work, was observed that there are small but important differences between the SiC in the tri-structural isotropic (TRISO) particles and that of the monoliths, which could explain some of the differences observed in experiments on diffusion in the literature. Five different types (coatings and monoliths) of SiC produced by chemical vapor deposition (CVD) were characterized by electron backscatter diffraction (EBSD). In all the samples the SiC was mainly composed of high-angle grain boundaries (∼ 65%), with a small fraction of grain boundaries of low-angle (about 15%) and 20% of the coincidence site lattice (CSL). The morphology of the monoliths is constituted by large grains, surrounded by smaller grains; in the particles of the TRISO fuel, both columnar and equi axial grains were observed, with a more uniform distribution over the surface of the coating. (Author)

  17. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  18. Preparation of SiC and Ag/SiC coatings on TRISO surrogate particles by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Lustfeld, Martin; Reinecke, Anne-Maria; Lippman, Wolfgang; Hurtado, Antonio; Ruiz-Moreno, Ana

    2014-01-01

    Recently published research results suggest significant advantages of using nanocrystalline instead of coarse grained SiC for nuclear applications. In this work it was attempted to prepare nanocrystalline SiC coatings on TRISO surrogate kernels using the pulsed laser deposition (PLD) process. As a plasma-based physical vapor deposition process, PLD allows the synthesis of dense and stoichiometric coatings in the amorphous or nanocrystalline phase. Two different types of TRISO surrogate kernels were used with outer diameters of 500 pm and 800 μm, respectively: plain Al_2O_3 kernels and ZrO_2 kernels coated with TRISO-like buffer and pyrolytic carbon (PyC) layers. In a second step, the PLD process was used for the preparation of multilayer coatings consisting of a Ag layer buried with a SiC layer. The samples were analyzed regarding their morphology, microstructure, crystalline phase and chemical composition using scanning electron microscopy (SEM), laser scanning microscopy (LSM), x-ray diffraction (XRD) and energy- dispersive x-ray spectroscopy (EDX). The samples will be used in future work for out-of-pile investigations of both thermal stability and Ag retention capability of nanocrystalline SiC layers. X-ray diflraction measurements did not confirm nano crystallinity of the SiC coatings, but rather indicated that the coatings were mainly amorphous possibly with a little fraction of the nanocrystalline phase. Further analyses showed that some of the SiC coatings had an adequate stoichiometric composition and that Ag/SiC multilayer coatings were successfully produced by PLD. Coatings on TRISO- like buffer and PyC layers exhibited good adhesion to the substrate while coatings on Al_2O_3 kernels were susceptible to delamination. The results suggest that PLD is generally suitable for SiC coating of TRISO particles. However, further optimization of the process parameters such as the coating temperature is needed to obtain fine- grained non-columnar SiC layers that are

  19. Selective tungsten deposition in a batch cold wall CVD system

    International Nuclear Information System (INIS)

    Chow, R.; Kang, S.; Harshbarger, W.R.; Susoeff, M.

    1987-01-01

    Selective deposition of tungsten offers many advantages for VLSI technology. The process can be used as a planarization technique for multilevel interconnect technology, it can be used to fill contacts and to provide a barrier layer between Al and Si materials, and the selective W process might be used as a self-aligned technology to provide low resistance layers on source/drain and gate conductors. Recent publications have indicate that cold wall CVD systems provide advantages for development of selective W process. Genus has investigated selective W deposition processing, and we have developed a selective W deposition process for the Genus 8402 multifilm deposition system. This paper describes the Genus 8402 system and the selective W process developed in this reactor. To further develop selective W technology, Genus has signed an agreement with General Electric establishing a joint development program. As a part of this program, the authors characterized the selective W process for encroachment, Si consumption and degrees of selectivity on various dielectrics. The status of this development activity and process characterization is reviewed in this paper

  20. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  1. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  2. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  3. Effect of PbI{sub 2} deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    Energy Technology Data Exchange (ETDEWEB)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos, E-mail: fostiropoulos@helmholtz-berlin.de

    2016-12-15

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI{sub 2} and chemical vapour deposition (CVD) of CH{sub 3}NH{sub 3}I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI{sub 2} film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH{sub 3}NH{sub 3}I is fostered for PbI{sub 2} films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI{sub 2} on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH{sub 3}NCH{sub 3} molecules been fostered by increasing the PVD rate of PbI{sub 2} and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI{sub 2} (001) crystallite planes. • CH{sub 3}NH{sub 3}I intercalation process fostered by increased PbI{sub 2} PVD rate. • Stoichiometric CH{sub 3}NH{sub 3}PbI{sub 3} suitable as absorber in photovoltaic applications • Reduced PbI{sub 2} residue at the bottom of CH{sub 3}NH{sub 3}PbI{sub 3} improves device performance.

  4. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  5. Convection and chemistry effects in CVD: A 3-D analysis for silicon deposition

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.; Tsui, P.; Chait, A.

    1989-01-01

    The computational fluid dynamics code FLUENT has been adopted to simulate the entire rectangular-channel-like (3-D) geometry of an experimental CVD reactor designed for Si deposition. The code incorporated the effects of both homogeneous (gas phase) and heterogeneous (surface) chemistry with finite reaction rates of important species existing in silane dissociation. The experiments were designed to elucidate the effects of gravitationally-induced buoyancy-driven convection flows on the quality of the grown Si films. This goal is accomplished by contrasting the results obtained from a carrier gas mixture of H2/Ar with the ones obtained from the same molar mixture ratio of H2/He, without any accompanying change in the chemistry. Computationally, these cases are simulated in the terrestrial gravitational field and in the absence of gravity. The numerical results compare favorably with experiments. Powerful computational tools provide invaluable insights into the complex physicochemical phenomena taking place in CVD reactors. Such information is essential for the improved design and optimization of future CVD reactors.

  6. The Effect of Annealing at 15000C on Migration and Release of Ion Implanted Silver in CVD Silicon Carbide

    International Nuclear Information System (INIS)

    HJ MacLean; RG Ballinger; LE Kolaya; SA Simonson; N Lewis; M Hanson

    2004-01-01

    The transport of silver in CVD β-SiC has been studied using ion implantation. Silver ions were implanted in β-SiC using the ATLAS accelerator facility at the Argonne National Laboratory. Ion beams with energies of 93 and 161 MeV were used to achieve deposition with peak concentrations at depths of approximately 9 and 13 (micro)m, respectively. As-implanted samples were then annealed at 1500 C for 210 or 480 hours. XPS, SEM, TEM, STEM, and optical methods were used to analyze the material before and after annealing. Silver concentration profiles were determined using XPS before and after annealing. STEM and SEM equipped with quantitative chemical analysis capability were used to more fully characterize the location and morphology of the silver before and after annealing. The results show that, within the uncertainty of measurement techniques, there is no silver migration, via either inter- or intragrannular paths, for the times and temperature studied. Additionally, the silver was observed to phase separate within the SiC after annealing. The irradiation damage from the implantation process resulted in a three-layer morphology in the as-implanted condition: (1) a layer of unaltered SiC, followed by (2) a layer of crystallized SiC, followed by (3) an amorphized layer which contained essentially all of the implanted silver. After annealing the layer structure changed. Layer 1 was unaltered. The grains in layer 2 recrystallized to form an epitaxial (columnar) layer. Layer 3 recrystallized to form a fine grain equiaxed layer. The results of this work do not support the long held assumption that silver release from CVD SiC, used for gas-reactor coated particle fuel, is dominated by grain boundary diffusion

  7. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    International Nuclear Information System (INIS)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulating films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating

  8. Surface passivation of nano-textured fluorescent SiC by atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Lu, Weifang; Ou, Yiyu; Jokubavicius, Valdas

    2016-01-01

    Nano-textured surfaces have played a key role in optoelectronic materials to enhance the light extraction efficiency. In this work, morphology and optical properties of nano-textured SiC covered with atomic layer deposited (ALD) TiO2 were investigated. In order to obtain a high quality surface fo...

  9. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  10. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  11. Oxidation kinetics of CVD silicon carbide and silicon nitride

    Science.gov (United States)

    Fox, Dennis S.

    1992-01-01

    The long-term oxidation behavior of pure, monolithic CVD SiC and Si3N4 is studied, and the isothermal oxidation kinetics of these two materials are obtained for the case of 100 hrs at 1200-1500 C in flowing oxygen. Estimates are made of lifetimes at the various temperatures investigated. Parabolic rate constants for SiC are within an order of magnitude of shorter exposure time values reported in the literature. The resulting silica scales are in the form of cristobalite, with cracks visible after exposure. The oxidation protection afforded by silica for these materials is adequate for long service times under isothermal conditions in 1-atm dry oxygen.

  12. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  13. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  14. Room-Temperature Growth of SiC Thin Films by Dual-Ion-Beam Sputtering Deposition

    Directory of Open Access Journals (Sweden)

    C. G. Jin

    2008-01-01

    Full Text Available Silicon carbide (SiC films were prepared by single and dual-ion-beamsputtering deposition at room temperature. An assisted Ar+ ion beam (ion energy Ei = 150 eV was directed to bombard the substrate surface to be helpful for forming SiC films. The microstructure and optical properties of nonirradicated and assisted ion-beam irradicated films have been characterized by transmission electron microscopy (TEM, scanning electron microscopy (SEM, Fourier transform infrared spectroscopy (FTIR, and Raman spectra. TEM result shows that the films are amorphous. The films exposed to a low-energy assisted ion-beam irradicated during sputtering from a-SiC target have exhibited smoother and compacter surface topography than which deposited with nonirradicated. The ion-beam irradicated improves the adhesion between film and substrate and releases the stress between film and substrate. With assisted ion-beam irradicated, the density of the Si–C bond in the film has increased. At the same time, the excess C atoms or the size of the sp2 bonded clusters reduces, and the a-Si phase decreases. These results indicate that the composition of the film is mainly Si–C bond.

  15. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  16. CVD mechanism of pyrolytic boron nitride

    International Nuclear Information System (INIS)

    Tanji, H.; Monden, K.; Ide, M.

    1987-01-01

    Pyrolytic boron nitride (P-BN) has become a essential material for III-V compound semiconductor manufacturing process. As the demand from electronics industry for larger single crystals increases, the demand for larger and more economical P-BN components is growing rapidly. P-BN is manufactured by low pressure CVD using boron-trihalides and ammonia as the reactants. In spite that P-BN has been in the market for quite a long time, limited number of fundamental studies regarding the kinetics and the formation mechanism of P-BN have been reported. As it has been demonstrated in CVD of Si, knowledge and both theoretical and empirical modeling of CVD process can be applied to improve the deposition technology and to give more uniform deposition with higher efficiency, and it should also apply to the deposition of P-BN

  17. Testing of porous SiC with dense coating under relevant conditions for Flow Channel Insert application

    Energy Technology Data Exchange (ETDEWEB)

    Ordás, N., E-mail: nordas@ceit.es [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain); Bereciartu, A.; García-Rosales, C. [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain); Moroño, A.; Malo, M.; Hodgson, E.R. [CIEMAT, Avenida Complutense 22, 28040 Madrid (Spain); Abellà, J.; Colominas, S. [Institut Químic de Sarrià, University Ramon Llull, Via Augusta 390, 08017 Barcelona (Spain); Sedano, L. [CIEMAT, Avenida Complutense 22, 28040 Madrid (Spain)

    2014-10-15

    Highlights: • Porous SiC coated by CVD with a dense coating was developed for Flow Channel Inserts (FCI) in dual-coolant blanket concept. • Porous SiC was obtained following the sacrificial template technique, using Al{sub 2}O{sub 3} and Y{sub 2}O{sub 3} as sintering additives. • Flexural strength, thermal and electrical conductivity, and microstructure of uncoated and coated porous SiC are presented. • Adhesion of coating to porous SiC and its corrosion behavior under Pb-17.5Li at 700 °C are shown. - Abstract: Thermally and electrically insulating porous SiC ceramics are attractive candidates for Flow Channel Inserts (FCI) in dual-coolant blanket concepts thanks to its relatively inexpensive manufacturing route. To prevent tritium permeation and corrosion by Pb-15.7 a dense coating has to be applied on the porous SiC. Despite not having structural function, FCI must exhibit sufficient mechanical strength to withstand strong thermal gradients and thermo-electrical stresses during operation. This work summarizes the results on the development of coated porous SiC for FCI. Porous SiC was obtained following the sacrificial template technique, using Al{sub 2}O{sub 3} and Y{sub 2}O{sub 3} as sintering additives and a carbonaceous phase as pore former. Sintering was performed in inert gas at 1850–1950 °C during 15 min to 3 h, followed by oxidation at 650 °C to eliminate the carbonaceous phase. The most promising bulk materials were coated with a ∼30 μm thick dense SiC by CVD. Results on porosity, bending tests, thermal and electrical conductivity are presented. The microstructure of the coating, its adhesion to the porous SiC and its corrosion behavior under Pb-17.5Li are also shown.

  18. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  19. Deposition uniformity, particle nucleation and the optimum conditions for CVD in multi-wafer furnaces

    Energy Technology Data Exchange (ETDEWEB)

    Griffiths, S.K.; Nilson, R.H.

    1996-06-01

    A second-order perturbation solution describing the radial transport of a reactive species and concurrent deposition on wafer surfaces is derived for use in optimizing CVD process conditions. The result is applicable to a variety of deposition reactions and accounts for both diffusive and advective transport, as well as both ordinary and Knudsen diffusion. Based on the first-order approximation, the deposition rate is maximized subject to a constraint on the radial uniformity of the deposition rate. For a fixed reactant mole fraction, the optimum pressure and optimum temperature are obtained using the method of Lagrange multipliers. This yields a weak one-sided maximum; deposition rates fall as pressures are reduced but remain nearly constant at all pressures above the optimum value. The deposition rate is also maximized subject to dual constraints on the uniformity and particle nucleation rate. In this case, the optimum pressure, optimum temperature and optimum reactant fraction are similarly obtained, and the resulting maximum deposition rate is well defined. These results are also applicable to CVI processes used in composites manufacturing.

  20. Environmental effects on the tensile strength of chemically vapor deposited silicon carbide fibers

    Science.gov (United States)

    Bhatt, R. T.; Kraitchman, M. D.

    1985-01-01

    The room temperature and elevated temperature tensile strengths of commercially available chemically vapor-deposited (CVD) silicon carbide fibers were measured after 15 min heat treatment to 1600 C in various environments. These environments included oxygen, air, argon and nitrogen at one atmosphere and vacuum at 10/9 atmosphere. Two types of fibers were examined which differed in the SiC content of their carbon-rich coatings. Threshold temperature for fiber strength degradation was observed to be dependent on the as-received fiber-flaw structure, on the environment and on the coating. Fractographic analyses and flexural strength measurements indicate that tensile strength losses were caused by surface degradation. Oxidation of the surface coating is suggested as one possible degradation mechanism. The SiC fibers containing the higher percentage of SiC near the surface of the carbon-rich coating show better strength retention and higher elevated temperature strength.

  1. Role of SiC substrate surface on local tarnishing of deposited silver mirror stacks

    Science.gov (United States)

    Limam, Emna; Maurice, Vincent; Seyeux, Antoine; Zanna, Sandrine; Klein, Lorena H.; Chauveau, Grégory; Grèzes-Besset, Catherine; Savin De Larclause, Isabelle; Marcus, Philippe

    2018-04-01

    The role of the SiC substrate surface on the resistance to the local initiation of tarnishing of thin-layered silver stacks for demanding space mirror applications was studied by combined surface and interface analysis on model stack samples deposited by cathodic magnetron sputtering and submitted to accelerated aging in gaseous H2S. It is shown that suppressing the surface pores resulting from the bulk SiC material production process by surface pretreatment eliminates the high aspect ratio surface sites that are imperfectly protected by the SiO2 overcoat after the deposition of silver. The formation of channels connecting the silver layer to its environment through the failing protection layer at the surface pores and locally enabling H2S entry and Ag2S growth as columns until emergence at the stack surface is suppressed, which markedly delays tarnishing initiation and thereby preserves the optical performance. The results revealed that residual tarnishing initiation proceeds by a mechanism essentially identical in nature but involving different pathways short circuiting the protection layer and enabling H2S ingress until the silver layer. These permeation pathways are suggested to be of microstructural origin and could correspond to the incompletely coalesced intergranular boundaries of the SiO2 layer.

  2. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  3. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    Science.gov (United States)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  4. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  5. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  6. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  7. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  8. Nanoscale multilayered and porous carbide interphases prepared by pressure-pulsed reactive chemical vapor deposition for ceramic matrix composites

    International Nuclear Information System (INIS)

    Jacques, S.; Jouanny, I.; Ledain, O.; Maillé, L.; Weisbecker, P.

    2013-01-01

    In Ceramic Matrix Composites (CMCs) reinforced by continuous fibers, a good toughness is achieved by adding a thin film called “interphase” between the fiber and the brittle matrix, which acts as a mechanical fuse by deflecting the matrix cracks. Pyrocarbon (PyC), with or without carbide sub-layers, is typically the material of choice to fulfill this role. The aim of this work was to study PyC-free nanoscale multilayered carbide coatings as interphases for CMCs. Nanoscale multilayered (SiC–TiC) n interphases were deposited by pressure-Pulsed Chemical Vapor Deposition (P-CVD) on single filament Hi-Nicalon fibers and embedded in a SiC matrix sheath. The thicknesses of the carbide interphase sub-layers could be made as low as a few nanometers as evidenced by scanning and transmission electron microscopy. By using the P-ReactiveCVD method (P-RCVD), in which the TiC growth involves consumption of SiC, it was not only possible to obtain multilayered (SiC–TiC) n films but also TiC films with a porous multilayered microstructure as a result of the Kirkendall effect. The porosity in the TiC sequences was found to be enhanced when some PyC was added to SiC prior to total RCVD consumption. Because the porosity volume fraction was still not high enough, the role of mechanical fuse of the interphases could not be evidenced from the tensile curves, which remained fully linear even when chemical attack of the fiber surface was avoided.

  9. Nanoscale multilayered and porous carbide interphases prepared by pressure-pulsed reactive chemical vapor deposition for ceramic matrix composites

    Science.gov (United States)

    Jacques, S.; Jouanny, I.; Ledain, O.; Maillé, L.; Weisbecker, P.

    2013-06-01

    In Ceramic Matrix Composites (CMCs) reinforced by continuous fibers, a good toughness is achieved by adding a thin film called "interphase" between the fiber and the brittle matrix, which acts as a mechanical fuse by deflecting the matrix cracks. Pyrocarbon (PyC), with or without carbide sub-layers, is typically the material of choice to fulfill this role. The aim of this work was to study PyC-free nanoscale multilayered carbide coatings as interphases for CMCs. Nanoscale multilayered (SiC-TiC)n interphases were deposited by pressure-Pulsed Chemical Vapor Deposition (P-CVD) on single filament Hi-Nicalon fibers and embedded in a SiC matrix sheath. The thicknesses of the carbide interphase sub-layers could be made as low as a few nanometers as evidenced by scanning and transmission electron microscopy. By using the P-ReactiveCVD method (P-RCVD), in which the TiC growth involves consumption of SiC, it was not only possible to obtain multilayered (SiC-TiC)n films but also TiC films with a porous multilayered microstructure as a result of the Kirkendall effect. The porosity in the TiC sequences was found to be enhanced when some PyC was added to SiC prior to total RCVD consumption. Because the porosity volume fraction was still not high enough, the role of mechanical fuse of the interphases could not be evidenced from the tensile curves, which remained fully linear even when chemical attack of the fiber surface was avoided.

  10. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  11. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  12. Qualification of SiC materials for fusion and fission reactors

    International Nuclear Information System (INIS)

    Ryazanov, Alexander

    2009-01-01

    Ceramic materials such as silicon carbide (SiC) and SiC/SiC composites are both considered, due to their high-temperature strength, pseudo-ductile fracture behavior and low-induced radioactivity, as candidate materials for fusion reactor (test blanket module for ITER) and high temperature gas-cooled reactors (HTGR). The radiation swelling and creep of SiC are very important physical phenomena that determine the radiation resistance of them in these reactors. Other important problem which exists especially in fusion reactor is an effect of accumulation of high concentrations of helium atoms in SiC (up to 15000-20000 at.ppm) due to (n,α) nuclear reaction on physical mechanical properties. An understanding of the physical mechanism of this phenomenon is very important for the investigations of helium atom effect on radiation swelling in SiC. In this report a compilation of non-irradiated and irradiated properties of SiC are provided and analyzed in terms of their application to fusion and high temperature gas cooled reactors. Special topic of this report is oriented on the micro structural changes in chemically vapor-deposited (CVD) high-purity beta-SiC during neutron and ion irradiations at elevated temperatures. The evolutions of various radiation induced defects including dislocation loops, network dislocations and cavities are presented here as a function of irradiation temperature and fluencies. These observations are discussed in relation with such irradiation phenomena in SiC as low temperature swelling and cavity swelling. One of the main difficulties in the radiation damage studies of SiC materials lies in the absence of theoretical models and interpretation of many physical mechanisms of radiation phenomena including the radiation swelling and creep. The point defects in ceramic materials are characterized by the charge states and they can have an effective charge. The internal effective electrical field is formed due to the accumulation of charged point

  13. The Oxidation Rate of SiC in High Pressure Water Vapor Environments

    Science.gov (United States)

    Opila, Elizabeth J.; Robinson, R. Craig

    1999-01-01

    CVD SiC and sintered alpha-SiC samples were exposed at 1316 C in a high pressure burner rig at total pressures of 5.7, 15, and 25 atm for times up to 100h. Variations in sample emittance for the first nine hours of exposure were used to determine the thickness of the silica scale as a function of time. After accounting for volatility of silica in water vapor, the parabolic rate constants for Sic in water vapor pressures of 0.7, 1.8 and 3.1 atm were determined. The dependence of the parabolic rate constant on the water vapor pressure yielded a power law exponent of one. Silica growth on Sic is therefore limited by transport of molecular water vapor through the silica scale.

  14. Defects in silicon carbide grown by fluorinated chemical vapor deposition chemistry

    Science.gov (United States)

    Stenberg, Pontus; Booker, Ian D.; Karhu, Robin; Pedersen, Henrik; Janzén, Erik; Ivanov, Ivan G.

    2018-04-01

    Point defects in n- and p-type 4H-SiC grown by fluorinated chemical vapor deposition (CVD) have been characterized optically by photoluminescence (PL) and electrically by deep-level transient spectroscopy (DLTS) and minority carrier transient spectroscopy (MCTS). The results are considered in comparison with defects observed in non-fluorinated CVD growth (e.g., using SiH4 instead of SiF4 as silicon precursor), in order to investigate whether specific fluorine-related defects form during the fluorinated CVD growth, which might prohibit the use of fluorinated chemistry for device-manufacturing purposes. Several new peaks identifying new defects appear in the PL of fluorinated-grown samples, which are not commonly observed neither in other halogenated chemistries, nor in the standard CVD chemistry using silane (SiH4). However, further investigation is needed in order to determine their origin and whether they are related to incorporation of F in the SiC lattice, or not. The electric characterization does not find any new electrically-active defects that can be related to F incorporation. Thus, we find no point defects prohibiting the use of fluorinated chemistry for device-making purposes.

  15. CVD in nuclear energy

    International Nuclear Information System (INIS)

    Nickel, H.

    1981-08-01

    CVD-deposited pyrocarbon, especially the coatings of nuclear fuel kernels show a structure depending on many parameters such as deposition temperature, nature and pressure of the pyrolysis gas, nature of the substrate, geometry of the deposition system, etc. Because of the variety of pyrocarbon different characterization methods have been developed or qualified for this new application. Additionally classical characterization procedures are available. Beside theoretical aspects concerning the formation and deposition mechanism of pyrocarbon from the gas phase the behaviour of such coatings under irradiation with fast neutrons is discussed. (orig.) [de

  16. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  17. Influence of microstructure on hydrothermal corrosion of chemically vapor processed SiC composite tubes

    Science.gov (United States)

    Kim, Daejong; Lee, Ho Jung; Jang, Changheui; Lee, Hyeon-Geun; Park, Ji Yeon; Kim, Weon-Ju

    2017-08-01

    Multi-layered SiC composites consisting of monolithic SiC and a SiCf/SiC composite are one of the accident tolerant fuel cladding concepts in pressurized light water reactors. To evaluate the integrity of the SiC fuel cladding under normal operating conditions of a pressurized light water reactor, the hydrothermal corrosion behavior of multi-layered SiC composite tubes was investigated in the simulated primary water environment of a pressurized water reactor without neutron fluence. The results showed that SiC phases with good crystallinity such as Tyranno SA3 SiC fiber and monolithic SiC deposited at 1200 °C had good corrosion resistance. However, the SiC phase deposited at 1000 °C had less crystallinity and severely dissolved in water, particularly the amorphous SiC phase formed along grain boundaries. Dissolved hydrogen did not play a significant role in improving the hydrothermal corrosion resistance of the CVI-processed SiC phases containing amorphous SiC, resulting in a significant weight loss and reduction of hoop strength of the multi-layered SiC composite tubes after corrosion.

  18. The nanostructure and microstructure of SiC surface layers deposited by MWCVD and ECRCVD

    Science.gov (United States)

    Dul, K.; Jonas, S.; Handke, B.

    2017-12-01

    Scanning electron microscopy (SEM) and Atomic force microscopy (AFM) have been used to investigate ex-situ the surface topography of SiC layers deposited on Si(100) by Microwave Chemical Vapour Deposition (MWCVD) -S1,S2 layers and Electron Cyclotron Resonance Chemical Vapor Deposition (ECRCVD) - layers S3,S4, using silane, methane, and hydrogen. The effects of sample temperature and gas flow on the nanostructure and microstructure have been investigated. The nanostructure was described by three-dimensional surface roughness analysis based on digital image processing, which gives a tool to quantify different aspects of surface features. A total of 13 different numerical parameters used to describe the surface topography were used. The scanning electron image (SEM) of the microstructure of layers S1, S2, and S4 was similar, however, layer S3 was completely different; appearing like grains. Nonetheless, it can be seen that no grain boundary structure is present in the AFM images.

  19. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  20. Multilayered and composite PVD-CVD coatings in cemented carbides manufacture

    International Nuclear Information System (INIS)

    Glushkov, V.N.; Anikeev, A.I.; Anikin, V.N.; Vereshchaka, A.S.

    2001-01-01

    Carbide cutting tools with wear-resistant coatings deposited by CVD process are widely employed in mechanical engineering to ensure a substantially longer service life of tool systems. However, the relatively high temperature and long time of the process make the substrate decarburise and, as a result, the bend strength and performance characteristics of a tool decrease. The present study suggests the problem of deteriorated strength of CVD-coated carbide tools be solved by the development of a technology that combines arc-PVD and CVD processes to deposit multilayered coatings of titanium and aluminium compounds. (author)

  1. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  2. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)

    2010-12-17

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.

  3. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    International Nuclear Information System (INIS)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung

    2010-01-01

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.

  4. Electrophoretic Deposition for the Fabrication of High-Performance Metal-Ceramic Hybrid Cladding

    Energy Technology Data Exchange (ETDEWEB)

    Park, Junghwan; Jung, Yangil; Park, Dongjun; Kim, Hyungil; Park, Jeongyong; Koo, Yanghyun [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2014-05-15

    Metal-ceramic hybrid cladding consisting of a Zr liner and SiC{sub f}/SiC composite is one of the candidate systems. To achieve a high-performance metal-ceramic hybrid cladding, it is important to synthesize the SiC{sub f}/SiC composites with high flexural strength. The most common interphases, such as pyrolytic carbon (PyC) and boron nitride (BN) coating, have been applied on the surface of SiC fibers by chemical vapor deposition (CVD) or chemical vapor infiltration (CVI). In addition, the SiC matrix phase for SiC{sub f}/SiC composites has been commonly formed by CVI and polymer infiltration and pyrolysis (PIP), which are very costly and complicated processes. For this reason, the fabrication process of SiC{sub f}/SiC composites that is low-cost and simple has been strongly needed. In this study, weak phase coating using a commercial colloidal carbon black suspension was performed on SiC fibers through electrophoretic deposition (EPD), and carbon-coated SiC{sub f}/SiC composites were fabricated by EPD. The mechanical properties at room temperature were evaluated to investigate the effect of the carbon interfacial layer on the mechanical properties of carbon-coated SiC{sub f}/SiC composites. In this study, it was concluded that the EPD method is effective for homogeneous carbon black coating on SiC fibers, and that the carbon coating layer on SiC fibers plays an important role in optimizing the interface between fibers and the matrix, and enhances the toughness of carbon-coated SiC{sub f}/SiC composites during fracture.

  5. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  6. Formation of patterned arrays of Au nanoparticles on SiC surface by template confined dewetting of normal and oblique deposited nanoscale films

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, F., E-mail: francesco.ruffino@ct.infn.it; Grimaldi, M.G.

    2013-06-01

    We report on the formation of patterned arrays of Au nanoparticles (NPs) on 6H SiC surface. To this end, we exploit the thermal-induced dewetting properties of a template confined deposited nanoscale Au film. In this approach, the Au surface pattern order, on the SiC substrate, is established by a template confined deposition using a micrometric template. Then, a dewetting process of the patterned Au film is induced by thermal processes. We compare the results, about the patterns formation, obtained for normal and oblique deposited Au films. We show that the normal and oblique depositions, through the same template, originate different patterns of the Au film. As a consequence of these different starting patterns, after the thermal processes, different patterns for the arrays of NPs originating from the dewetting mechanisms are obtained. For each fixed deposition angle α, the pattern evolution is analyzed, by scanning electron microscopy, as a function of the annealing time at 1173 K (900 °C). From these analyses, quantitative evaluations on the NPs size evolution are drawn. - Highlights: • Micrometric template-confined nanoscale gold films are deposited on silicon carbide. • The dewetting process of template-confined gold films on silicon carbide is studied. • Comparison of dewetting process of normal and oblique deposited gold films is drawn. • Patterned arrays of gold nanoparticles on silicon carbide surface are produced.

  7. Formation of patterned arrays of Au nanoparticles on SiC surface by template confined dewetting of normal and oblique deposited nanoscale films

    International Nuclear Information System (INIS)

    Ruffino, F.; Grimaldi, M.G.

    2013-01-01

    We report on the formation of patterned arrays of Au nanoparticles (NPs) on 6H SiC surface. To this end, we exploit the thermal-induced dewetting properties of a template confined deposited nanoscale Au film. In this approach, the Au surface pattern order, on the SiC substrate, is established by a template confined deposition using a micrometric template. Then, a dewetting process of the patterned Au film is induced by thermal processes. We compare the results, about the patterns formation, obtained for normal and oblique deposited Au films. We show that the normal and oblique depositions, through the same template, originate different patterns of the Au film. As a consequence of these different starting patterns, after the thermal processes, different patterns for the arrays of NPs originating from the dewetting mechanisms are obtained. For each fixed deposition angle α, the pattern evolution is analyzed, by scanning electron microscopy, as a function of the annealing time at 1173 K (900 °C). From these analyses, quantitative evaluations on the NPs size evolution are drawn. - Highlights: • Micrometric template-confined nanoscale gold films are deposited on silicon carbide. • The dewetting process of template-confined gold films on silicon carbide is studied. • Comparison of dewetting process of normal and oblique deposited gold films is drawn. • Patterned arrays of gold nanoparticles on silicon carbide surface are produced

  8. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  9. Fabrication and characterization of SiC and ZrC composite coating on TRISO coated particle

    Energy Technology Data Exchange (ETDEWEB)

    Lee, H. G.; Lee, S. H.; Kim, D. J.; Park, J. Y.; Kim, W. J. [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2016-10-15

    SiC coating is widely suggested as structural materials for nuclear application due to its excellent high irradiation resistance properties and high temperature mechanical properties. SiC coating on TRistructural-ISOtropic (TRISO) coated fuel particles plays an important role as a protective layer from radioactive fission gas and a mechanical structural layer. TRISO coating layer was deposited on a spherical particle by a FBCVD method. The ZrO{sub 2} spherical particles were used as a simulant kernel. TRISO coating layers consisting of a porous buffer layer, an inner PyC layer were sequentially deposited before depositing SiC or ZrC coating layer. In order investigate the phase of each composite coating layer, Raman analysis was conducted. SiC, ZrC coating and SiC/ZrC composite coating on spherical particle were successfully deposited via FBCVD method by adjusting source gas flow rate. In the SiC and ZrC composite coating, SiC phase and ZrC phase were observed by XRD and SEM analysis. In the condition of 100 sccm of ZrCl{sub 4}, 25 sccm of CH{sub 4}, and 30 sccm of MTS, only two phases of SiC and ZrC were observed and two phases are located with clean grain boundary.

  10. Aluminum-silicon co-deposition by FB-CVD on austenitic stainless steel AISI 316

    International Nuclear Information System (INIS)

    Marulanda, J L; Perez, F J; Remolina-Millán, A

    2013-01-01

    Aluminum-silicon coatings were deposited on stainless steel AISI 316 in the temperature range of 540 to 560°C by CVD-FBR. It was used a fluidized bed with 2.5% silicon and 7.5% aluminum powder and 90% inert (alumina). This bed was fluidized with Ar and as an activator a mixture of HCl/H2 in ratios of 1/10 to 1/16. Furthermore, the deposition time of the coatings was varied between 45 minutes to 1.5 hours, with a 50% active gas, neutral gases 50%. Thermodynamic simulation was conducted with the Thermocalc software to get the possible compositions and amount of material deposited for the chosen conditions. The coatings presented the follow compounds FeAl 2 Si, FeAl 2 and Fe 2 Al 5 . Aluminum-silicon coatings were heat treated to improve its mechanical properties and its behavior against oxidation for the inter diffusion of the alloying elements. The heat treatment causes the aluminum diffuse into the substrate and the iron diffuse into coating surface. This leads to the transformation of the above compounds in FeAl, Al 2 FeSi, Cr 3 Si, AlFeNi and AlCrFe

  11. Passivation of surface-nanostructured f-SiC and porous SiC

    DEFF Research Database (Denmark)

    Ou, Haiyan; Lu, Weifang; Ou, Yiyu

    The further enhancement of photoluminescence from nanostructured fluorescent silicon carbide (f-SiC) and porous SiC by using atomic layer deposited (ALD) Al2O3 is studied in this paper.......The further enhancement of photoluminescence from nanostructured fluorescent silicon carbide (f-SiC) and porous SiC by using atomic layer deposited (ALD) Al2O3 is studied in this paper....

  12. High-efficient photo-electron transport channel in SiC constructed by depositing cocatalysts selectively on specific surface sites for visible-light H{sub 2} production

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Da; Peng, Yuan; Wang, Qi; Pan, Nanyan; Guo, Zhongnan; Yuan, Wenxia, E-mail: wxyuanwz@163.com [Department of Chemistry, School of Chemistry and Biological Engineering, University of Science and Technology Beijing, Beijing 100083 (China)

    2016-04-18

    Control cocatalyst location on a metal-free semiconductor to promote surface charge transfer for decreasing the electron-hole recombination is crucial for enhancing solar energy conversion. Based on the findings that some metals have an affinity for bonding with the specific atoms of polar semiconductors at a heterostructure interface, we herein control Pt deposition selectively on the Si sites of a micro-SiC photocatalyst surface via in-situ photo-depositing. The Pt-Si bond forming on the interface constructs an excellent channel, which is responsible for accelerating photo-electron transfer from SiC to Pt and then reducing water under visible-light. The hydrogen production is enhanced by two orders of magnitude higher than that of bare SiC, and 2.5 times higher than that of random-depositing nano-Pt with the same loading amount.

  13. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  14. A study on the basic CVD process technology for TRISO coated particle fuel

    International Nuclear Information System (INIS)

    Choi, D. J.; Cheon, J. H.; Keum, I. S.; Lee, H. S.; Kim, J. G.

    2006-03-01

    Hydrogen energy has many advantages and is suitable as alternative energy of fossil fuel. The study of nuclear hydrogen production has performed at present. For nuclear hydrogen production, it is needed the study of VHTR(Very High Temperature Reactor) and TRISO(TRI-iSOtropic) coated fuel. TRISO coated fuel particle deposited by FBCVD(Fludized Bed CVD) method is composed of three isotropic layers: Inner Pyrolytic Carbon (IPyC), Silicon Carbide (SiC), Outer Pyrolytic Carbon (OPyC) layers. Silicon carbide was chemically vapor deposed on graphite substrate using methyltrichlorosilane (CH 3 SiCl 3 ) as a source in hydrogen atmosphere. The effect of deposition temperature and input gas ratios ( α=Q H2 /Q MTS =P H2 /P MTS ) was investigated in order to find out characteristics of silicon carbide layer. From results of those, SiC-TRISO coating deposition was conducted and achieved. Zirconium carbide layer as an advanced material of silicon carbide layer has studied. In order to find out basic properties and characteristics, studies have conducted using various methods. Zirconium carbide is chemically vapor deposed subliming zirconium tetrachloride(ZrCl 4 ) and using methan(CH 4 ) as a source in hydrogen atmosphere. Many experiments were conducted on graphite substrate about many deposition conditions such as ZrCl 4 heating temperatures and variables of H2 and CH 4 flow rate. but carbon graphite was deposited. For deposition of zirconium carbide, several different methods were approached. so zirconium carbide deposed on ZrO 2 substrate. In this experiments. source subliming type and equipment are no problems. But deposition of zirconium carbide will be continuously studied on graphite substrate approaching views of experimental way and equipment structure

  15. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  16. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  17. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  18. Verification of thermo-fluidic CVD reactor model

    International Nuclear Information System (INIS)

    Lisik, Z; Turczynski, M; Ruta, L; Raj, E

    2014-01-01

    Presented paper describes the numerical model of CVD (Chemical Vapour Deposition) reactor created in ANSYS CFX, whose main purpose is the evaluation of numerical approaches used to modelling of heat and mass transfer inside the reactor chamber. Verification of the worked out CVD model has been conducted with measurements under various thermal, pressure and gas flow rate conditions. Good agreement between experimental and numerical results confirms correctness of the elaborated model.

  19. Synthesis and characterization of porous crystalline SiC thin films prepared by radio frequency reactive magnetron sputtering technique

    Energy Technology Data Exchange (ETDEWEB)

    Qamar, Afzaal, E-mail: afzaalqamar@gmail.com [Department of Physics and Applied Mathematics, PIEAS, Nilore, Islamabad, Punjab 42600 (Pakistan); Mahmood, Arshad [National Institute of Laser and Optronics, Nilore, Islamabad (Pakistan); Sarwar, Tuba; Ahmed, Nadeem [Department of Physics and Applied Mathematics, PIEAS, Nilore, Islamabad, Punjab 42600 (Pakistan)

    2011-05-15

    Hexagonal SiC thin films have been deposited using radio frequency reactive magnetron sputtering technique by varying the substrate temperature and other deposition conditions. Prior to deposition surface modification of the substrate Si(1 0 0) played an important role in deposition of the hexagonal SiC structure. The effect of substrate temperature during deposition on structure, composition and surface morphology of the SiC films has been analyzed using atomic force microscopy, Fourier transform infrared spectroscopy and spectroscopic ellipsometry. X-ray diffraction in conventional {theta}-2{theta} mode and omega scan mode revealed that the deposited films were crystalline having 8H-SiC structure and crystallinity improved with increase of deposition temperature. The bonding order and Si-C composition within the films showed improvement with the increase of deposition temperature. The surface of thin films grew in the shape of globes and columns depending upon deposition temperature. The optical properties also showed improvement with increase of deposition temperature and the results obtained by ellipsometry reinforced the results of other techniques.

  20. Ternary Precursors for Depositing I-III-VI2 Thin Films for Solar Cells via Spray CVD

    Science.gov (United States)

    Banger, K. K.; Hollingsworth, J. A.; Jin, M. H.-C.; Harris, J. D.; Duraj, S. A.; Smith, M.; Scheiman, D.; Bohannan, E. W.; Switzer, J. A.; Buhro, W. E.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors (SSP's) can be used in either a hot or cold-wall spray chemical vapour deposition (CVD) reactor, for depositing CuInS2, CuGaS2, and CuGaInS2 at reduced temperatures (400 to 450 C), which display good electrical and optical properties suitable for photovoltaic (PV) devices. X-ray diffraction studies, energy dispersive spectroscopy (EDS), and scanning electron microscopy (SEM) confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  1. Synthesis of micro-sized interconnected Si-C composites

    Science.gov (United States)

    Wang, Donghai; Yi, Ran; Dai, Fang

    2016-02-23

    Embodiments provide a method of producing micro-sized Si--C composites or doped Si--C and Si alloy-C with interconnected nanoscle Si and C building blocks through converting commercially available SiO.sub.x (0deposition of gas containing organic molecules that have carbon atoms.

  2. Influence of surface morphology and microstructure on performance of CVD tungsten coating under fusion transient thermal loads

    Energy Technology Data Exchange (ETDEWEB)

    Lian, Youyun, E-mail: lianyy@swip.ac.cn [Southwestern Institute of Physics, Chengdu (China); Liu, Xiang; Wang, Jianbao; Feng, Fan [Southwestern Institute of Physics, Chengdu (China); Lv, Yanwei; Song, Jiupeng [China National R& D Center for Tungsten Technology, Xiamen Tungsten Co. Ltd, 361026 Xiamen (China); Chen, Jiming [Southwestern Institute of Physics, Chengdu (China)

    2016-12-30

    Highlights: • Thick CVD-W coatingswere deposited at a rapid growth rate. • The polished CVD-W coatings have highly textured structure and exhibited a very strong preferred orientation. • The polished CVD tungsten coatings show superior thermal shock resistance as compared with that of the as-deposited coatings. • The crack formation of the polished CVD-W was almost suppressed at an elevated temperature. - Abstract: Thick tungsten coatings have been deposited by chemical vapor deposition (CVD) at a rapid growth rate. A series of tungsten coatings with different thickness and surface morphology were prepared. The surface morphology, microstructure and preferred orientation of the CVD tungsten coatings were investigated. Thermal shock analyses were performed by using an electron beam facility to study the influence of the surface morphology and the microstructure on the thermal shock resistance of the CVD tungsten coatings. Repetitive (100 pulses) ELMs-like thermal shock loads were applied at various temperatures between room temperature and 600 °C with pulse duration of 1 ms and an absorbed power density of up to 1 GW/m{sup 2}. The results of the tests demonstrated that the specific surface morphology and columnar crystal structure of the CVD tungsten have significant influence on the surface cracking threshold and crack propagation of the materials. The CVD tungsten coatings with a polished surface show superior thermal shock resistance as compared with that of the as-deposited coatings with a rough surface.

  3. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.

  4. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  5. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  6. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  7. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  8. SiC substrate defects and III-N heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B D [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Koga, T S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hsing, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Khan, A [Department of Electrical Engineering, University of South Carolina, Columbia, SC (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2003-05-21

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuK{alpha} radiation ({lambda} = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10{sup -7}. The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from {approx}100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were {approx}20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established.

  9. SiC substrate defects and III-N heteroepitaxy

    International Nuclear Information System (INIS)

    Poust, B D; Koga, T S; Sandhu, R; Heying, B; Hsing, R; Wojtowicz, M; Khan, A; Goorsky, M S

    2003-01-01

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuKα radiation (λ = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10 -7 . The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from ∼100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were ∼20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established

  10. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  11. Fiber/matrix interfaces for SiC/SiC composites: Multilayer SiC coatings

    Energy Technology Data Exchange (ETDEWEB)

    Halverson, H.; Curtin, W.A. [Virginia Polytechnic Institute and State Univ., Blacksburg, VA (United States)

    1996-08-01

    Tensile tests have been performed on composites of CVI SiC matrix reinforced with 2-d Nicalon fiber cloth, with either pyrolitic carbon or multilayer CVD SiC coatings [Hypertherm High-Temperature Composites Inc., Huntington Beach, CA.] on the fibers. To investigate the role played by the different interfaces, several types of measurements are made on each sample: (i) unload-reload hysteresis loops, and (ii) acoustic emission. The pyrolitic carbon and multilayer SiC coated materials are remarkably similar in overall mechanical responses. These results demonstrate that low-modulus, or compliant, interface coatings are not necessary for good composite performance, and that complex, hierarchical coating structures may possibly yield enhanced high-temperature performance. Analysis of the unload/reload hysteresis loops also indicates that the usual {open_quotes}proportional limit{close_quotes} stress is actually slightly below the stress at which the 0{degrees} load-bearing fibers/matrix interfaces slide and are exposed to atmosphere.

  12. Heteroepitaxy of zinc-blende SiC nano-dots on Si substrate by organometallic ion beam

    International Nuclear Information System (INIS)

    Matsumoto, T.; Kiuchi, M.; Sugimoto, S.; Goto, S.

    2006-01-01

    The self-assembled SiC nano-dots were fabricated on Si(111) substrate at low-temperatures using the organometallic ion beam deposition technique. The single precursor of methylsilicenium ions (SiCH 3 + ) with the energy of 100 eV was deposited on Si(111) substrate at 500, 550 and 600 deg. C. The characteristics of the self-assembled SiC nano-dots were analyzed by reflection high-energy electron diffraction (RHEED), Raman spectroscopy and atomic force microscope (AFM). The RHEED patterns showed that the crystal structure of the SiC nano-dots formed on Si(111) substrate was zinc-blende SiC (3C-SiC) and it was heteroepitaxy. The self-assembled SiC nano-dots were like a dome in shape, and their sizes were the length of 200-300 nm and the height of 10-15 nm. Despite the low-temperature of 500 deg. C as SiC crystallization the heteroepitaxial SiC nano-dots were fabricated on Si(111) substrate using the organometallic ion beam

  13. Diodes of nanocrystalline SiC on n-/n+-type epitaxial crystalline 6H-SiC

    Science.gov (United States)

    Zheng, Junding; Wei, Wensheng; Zhang, Chunxi; He, Mingchang; Li, Chang

    2018-03-01

    The diodes of nanocrystalline SiC on epitaxial crystalline (n-/n+)6H-SiC wafers were investigated, where the (n+)6H-SiC layer was treated as cathode. For the first unit, a heavily boron doped SiC film as anode was directly deposited by plasma enhanced chemical vapor deposition method on the wafer. As to the second one, an intrinsic SiC film was fabricated to insert between the wafer and the SiC anode. The third one included the SiC anode, an intrinsic SiC layer and a lightly phosphorus doped SiC film besides the wafer. Nanocrystallization in the yielded films was illustrated by means of X-ray diffraction, transmission electronic microscope and Raman spectrum respectively. Current vs. voltage traces of the obtained devices were checked to show as rectifying behaviors of semiconductor diodes, the conduction mechanisms were studied. Reverse recovery current waveforms were detected to analyze the recovery performance. The nanocrystalline SiC films in base region of the fabricated diodes are demonstrated as local regions for lifetime control of minority carriers to improve the reverse recovery properties.

  14. Modelling and analysis of CVD processes for ceramic membrane preparation

    NARCIS (Netherlands)

    Brinkman, H.W.; Cao, G.Z.; Meijerink, J.; de Vries, Karel Jan; Burggraaf, Anthonie

    1993-01-01

    A mathematical model is presented that describes the modified chemical vapour deposition (CVD) process (which takes place in advance of the electrochemical vapour deposition (EVD) process) to deposit ZrO2 inside porous media for the preparation and modification of ceramic membranes. The isobaric

  15. Nanocrystalline SiC film thermistors for cryogenic applications

    Science.gov (United States)

    Mitin, V. F.; Kholevchuk, V. V.; Semenov, A. V.; Kozlovskii, A. A.; Boltovets, N. S.; Krivutsa, V. A.; Slepova, A. S.; Novitskii, S. V.

    2018-02-01

    We developed a heat-sensitive material based on nanocrystalline SiC films obtained by direct deposition of carbon and silicon ions onto sapphire substrates. These SiC films can be used for resistance thermometers operating in the 2 K-300 K temperature range. Having high heat sensitivity, they are relatively low sensitive to the magnetic field. The designs of the sensors are presented together with a discussion of their thermometric characteristics and sensitivity to magnetic fields.

  16. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  17. High-speed deposition of titanium carbide coatings by laser-assisted metal–organic CVD

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Yansheng [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tu, Rong, E-mail: turong@whut.edu.cn [State Key Laboratory of Advanced Technology for Material Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); Goto, Takashi [Institute for Materials Research, Tohoku University, Aoba-ku, 2-1-1 Katahira, Sendai 980-8577 (Japan)

    2013-08-01

    Graphical abstract: - Highlights: • A semiconductor laser was first used to prepare wide-area LCVD-TiC{sub x} coatings. • The effect of laser power for the deposition of TiC{sub x} coatings was discussed. • TiC{sub x} coatings showed a columnar cross section and a dense surface texture. • TiC{sub x} coatings had a 1–4 order lower laser density than those of previous reports. • This study gives the possibility of LCVD applying on the preparation of TiC{sub x} coating. - Abstract: A semiconductor laser-assisted chemical vapor deposition (LCVD) of titanium carbide (TiC{sub x}) coatings on Al{sub 2}O{sub 3} substrate using tetrakis (diethylamido) titanium (TDEAT) and C{sub 2}H{sub 2} as source materials were investigated. The influences of laser power (P{sub L}) and pre-heating temperature (T{sub pre}) on the microstructure and deposition rate of TiC{sub x} coatings were examined. Single phase of TiC{sub x} coatings were obtained at P{sub L} = 100–200 W. TiC{sub x} coatings had a cauliflower-like surface and columnar cross section. TiC{sub x} coatings in the present study had the highest R{sub dep} (54 μm/h) at a relative low T{sub dep} than those of conventional CVD-TiC{sub x} coatings. The highest volume deposition rate (V{sub dep}) of TiC{sub x} coatings was about 4.7 × 10{sup −12} m{sup 3} s{sup −1}, which had 3–10{sup 5} times larger deposition area and 1–4 order lower laser density than those of previous LCVD using CO{sub 2}, Nd:YAG and argon ion laser.

  18. Thermodynamic study of CVD-ZrO2 phase diagrams

    International Nuclear Information System (INIS)

    Torres-Huerta, A.M.; Vargas-Garcia, J.R.; Dominguez-Crespo, M.A.; Romero-Serrano, J.A.

    2009-01-01

    Chemical vapor deposition (CVD) of zirconium oxide (ZrO 2 ) from zirconium acetylacetonate Zr(acac) 4 has been thermodynamically investigated using the Gibbs' free energy minimization method and the FACTSAGE program. Thermodynamic data Cp o , ΔH o and S o for Zr(acac) 4 have been estimated using the Meghreblian-Crawford-Parr and Benson methods because they are not available in the literature. The effect of deposition parameters, such as temperature and pressure, on the extension of the region where pure ZrO 2 can be deposited was analyzed. The results are presented as calculated CVD stability diagrams. The phase diagrams showed two zones, one of them corresponds to pure monoclinic phase of ZrO 2 and the other one corresponds to a mix of monoclinic phase of ZrO 2 and graphite carbon.

  19. Physical and optical characterisation of carbon-silicon layers produced by rapid thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    McBride, G.M.

    1994-04-01

    The Quplas II reactor is a novel chemical vapour deposition (CVD) system, which was recently designed and built at The Queen's University of Belfast. The system was intended to produce layers of Silicon (Si) for application in advanced bipolar transistor manufacture. It became clear that the system was capable of depositing novel materials such as Silicon-Carbon (Si-C) films which could have application as the emitter material in heterojunction bipolar transistors (HBT's) formed on silicon substrates. This work focuses mainly on the development of analytical techniques to allow characterisation of the deposited layers of Si-C and permit optimisation of both the process conditions and the deposition system. The techniques that were developed to characterise the Si-C films in terms of their physical and optical properties included: Secondary Ion Mass Spectroscopy (SIMS), X-Ray Diffractometry (XRD), Transmission and Scanning Electron Microscopy (TEM and SEM), Near Infrared (NIR) and Ultraviolet/Visible/Near Infrared (UV/VIS/NIR) Spectroscopy. From assessing the data obtained from the analysis of the samples using the techniques mentioned above, it was possible to characterise the Si-C films in terms of: stoichiometry, crystallinity, degree of oxygen contamination, thickness, optical roughness of the film/air and film/substrate interfaces, and energy bandgap. In the fabrication of Si-C films it was found to be necessary to use low process pressures in order to ensure that the film deposition was slow enough to allow for a more ordered growth process. This led to the formation of polycrystalline Si-C films which had greatly reduced levels of oxygen compared to earlier amorphous films. In addition the polycrystalline Si-C films tended to have optically rough film/air and film/substrate interfaces. For most samples it was possible to obtain the thickness of their Si-C films from their SIMS profiles. Based on the method of interferometry, the thickness of the Si-C films

  20. The Effect of High Temperature Annealing on the Grain Characteristics of a Thin Chemical Vapor Deposition Silicon Carbide Layer.

    Energy Technology Data Exchange (ETDEWEB)

    Isabella J van Rooyen; Philippus M van Rooyen; Mary Lou Dunzik-Gougar

    2013-08-01

    The unique combination of thermo-mechanical and physiochemical properties of silicon carbide (SiC) provides interest and opportunity for its use in nuclear applications. One of the applications of SiC is as a very thin layer in the TRi-ISOtropic (TRISO) coated fuel particles for high temperature gas reactors (HTGRs). This SiC layer, produced by chemical vapor deposition (CVD), is designed to withstand the pressures of fission and transmutation product gases in a high temperature, radiation environment. Various researchers have demonstrated that macroscopic properties can be affected by changes in the distribution of grain boundary plane orientations and misorientations [1 - 3]. Additionally, various researchers have attributed the release behavior of Ag through the SiC layer as a grain boundary diffusion phenomenon [4 - 6]; further highlighting the importance of understanding the actual grain characteristics of the SiC layer. Both historic HTGR fission product release studies and recent experiments at Idaho National Laboratory (INL) [7] have shown that the release of Ag-110m is strongly temperature dependent. Although the maximum normal operating fuel temperature of a HTGR design is in the range of 1000-1250°C, the temperature may reach 1600°C under postulated accident conditions. The aim of this specific study is therefore to determine the magnitude of temperature dependence on SiC grain characteristics, expanding upon initial studies by Van Rooyen et al, [8; 9].

  1. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  2. Influence of electrodes on the photon energy deposition in CVD-diamond dosimeters studied with the Monte Carlo code PENELOPE

    International Nuclear Information System (INIS)

    Gorka, B; Nilsson, B; Fernandez-Varea, J M; Svensson, R; Brahme, A

    2006-01-01

    A new dosimeter, based on chemical vapour deposited (CVD) diamond as the active detector material, is being developed for dosimetry in radiotherapeutic beams. CVD-diamond is a very interesting material, since its atomic composition is close to that of human tissue and in principle it can be designed to introduce negligible perturbations to the radiation field and the dose distribution in the phantom due to its small size. However, non-tissue-equivalent structural components, such as electrodes, wires and encapsulation, need to be carefully selected as they may induce severe fluence perturbation and angular dependence, resulting in erroneous dose readings. By introducing metallic electrodes on the diamond crystals, interface phenomena between high- and low-atomic-number materials are created. Depending on the direction of the radiation field, an increased or decreased detector signal may be obtained. The small dimensions of the CVD-diamond layer and electrodes (around 100 μm and smaller) imply a higher sensitivity to the lack of charged-particle equilibrium and may cause severe interface phenomena. In the present study, we investigate the variation of energy deposition in the diamond detector for different photon-beam qualities, electrode materials and geometric configurations using the Monte Carlo code PENELOPE. The prototype detector was produced from a 50 μm thick CVD-diamond layer with 0.2 μm thick silver electrodes on both sides. The mean absorbed dose to the detector's active volume was modified in the presence of the electrodes by 1.7%, 2.1%, 1.5%, 0.6% and 0.9% for 1.25 MeV monoenergetic photons, a complete (i.e. shielded) 60 Co photon source spectrum and 6, 18 and 50 MV bremsstrahlung spectra, respectively. The shift in mean absorbed dose increases with increasing atomic number and thickness of the electrodes, and diminishes with increasing thickness of the diamond layer. From a dosimetric point of view, graphite would be an almost perfect electrode

  3. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  4. Development of a CVD silica coating for UK advanced gas-cooled nuclear reactor fuel pins

    International Nuclear Information System (INIS)

    Bennett, M.J.; Houlton, M.R.; Moore, D.A.; Foster, A.I.; Swidzinski, M.A.M.

    1983-04-01

    Vapour deposited silica coatings could extend the life of the 20% Cr/25% Ni niobium stabilised (20/25/Nb) stainless steel fuel cladding of the UK advanced gas cooled reactors. A CVD coating process developed originally to be undertaken at atmospheric pressure has now been adapted for operation at reduced pressure. Trials on the LP CVD process have been pursued to the production scale using commercial equipment. The effectiveness of the LP CVD silica coatings in providing protection to 20/25/Nb steel surfaces against oxidation and carbonaceous deposition has been evaluated. (author)

  5. Diffusion of Ag, Au and Cs implants in MAX phase Ti3SiC2

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin; Henager, Charles H.; Varga, Tamas; Jung, Hee Joon; Overman, Nicole R.; Zhang, Chonghong; Gou, Jie

    2015-05-16

    MAX phases (M: early transition metal; A: elements in group 13 or 14; X: C or N), such as titanium silicon carbide (Ti3SiC2), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti3SiC2 has been considered as a possible fuel cladding material. This study reports on the diffusivities of fission product surrogates (Ag and Cs) and a noble metal Au (with diffusion behavior similar to Ag) in this ternary compound at elevated temperatures, as well as in dual-phase nanocomposite of Ti3SiC2/3C-SiC and polycrystalline CVD 3C-SiC for behavior comparisons. Samples were implanted with Ag, Au or Cs ions and characterized with various methods, including x-ray diffraction, electron backscatter diffraction, energy dispersive x-ray spectroscopy, Rutherford backscattering spectrometry, helium ion microscopy, and transmission electron microscopy. The results show that in contrast to immobile Ag in 3C-SiC, there is a significant outward diffusion of Ag in Ti3SiC2 within the dual-phase nanocomposite during Ag ion implantation at 873 K. Similar behavior of Au in polycrystalline Ti3SiC2 was also observed. Cs out-diffusion and release from Ti3SiC2 occurred during post-implantation thermal annealing at 973 K. This study suggests caution and further studies in consideration of Ti3SiC2 as a fuel cladding material for advanced nuclear reactors operating at very high temperatures.

  6. Functional materials - Study of process for CVD SiC/C composite material

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Doo Jin; Wang, Chae Chyun; Lee, Young Jin; Oh, Byung Jun [Yonsei University, Seoul (Korea)

    2000-04-01

    The CVD SiC coating techniques are the one of high functional material manufactures that improve the thermal, wear, oxidization and infiltration resistance of the surface of raw materials and extend the life of material. Silicon carbide films have been grown onto graphite substrates by low pressure chemical vapor deposition using MTS(CH{sub 3}SiCl{sub 3}) as a source precursor and H{sub 2} or N{sub 2} as a diluent gas. The experiments for temperature and diluent gas addition changes were performed. The effect of temperature from 900 deg. C to 1350 deg. C and the alteration of diluent gas species on the growth rate and structure of deposits have been studied. The experimental results showed that the deposition rate increased with increasing deposition temperature irrespective of diluent gases and reactant depletion effect increased especially at H{sub 2} diluent gas ambient. As the diluent gas added, the growth rate decreased parabolically. For N{sub 2} addition, surface morphology of leaf-like structure appeared, and for H{sub 2}, faceted structure at 1350 deg. C. The observed features were involved by crystalline phase of {beta}-SiC and surface composition with different gas ambient. We also compared the experimental results of the effect of partial pressure on the growth rate with the results of theoretical approach based on the Langmuir-Hinshelwood model. C/SiC composites were prepared by isothermal chemical vapor infiltration (ICVI). In order to fabricate the more dense C/SiC composites, a novel process of the in-situ whisker growing and filling during ICVI was devised, which was manipulated by alternating dilute gas species. The denser C/SiC composites were successfully prepared by the novel process comparing with the conventional ICVI process. 64 refs., 36 figs., 5 tabs. (Author)

  7. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  8. Large area SiC coating technology of RBSC for semiconductor processing component

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described

  9. Large area SiC coating technology of RBSC for semiconductor processing component

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described.

  10. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  11. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  12. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  13. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  14. Fabrication and characterization of 2.5D and 3D SiC{sub f}/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Shuang, E-mail: zhsh6007@126.co [Key Laboratory of Advanced Ceramic Fibers and Composites, National University of Defense Technology, Changsha 410073 (China); School of Mechanical, Aerospace, and Civil Engineering, University of Manchester, Manchester M13 9PL (United Kingdom); Zhou, Xingui; Yu, Jinshan [Key Laboratory of Advanced Ceramic Fibers and Composites, National University of Defense Technology, Changsha 410073 (China); Mummery, Paul [School of Mechanical, Aerospace, and Civil Engineering, University of Manchester, Manchester M13 9PL (United Kingdom)

    2013-10-15

    Highlights: • 2.5D and 3D KD-I SiC fiber fabrics were used as the reinforcement. • Closed porosity was investigated by X-ray tomographic techniques. • The properties of the composites were improved by the CVD process. -- Abstract: SiC{sub f}/SiC composites are considered promising candidate materials for fusion applications. 2.5D and 3D KD-I SiC fiber fabrics were used as the reinforcement and SiC{sub f}/SiC composites were fabricated via polymer impregnation and pyrolysis (PIP) process and coated with chemical vapor deposited (CVD) SiC. The porosity, thermal conductivity and mechanical property of the composites were characterized. The results indicated that 2.5D and 3D SiC{sub f}/SiC composites fabricated via PIP process exhibited high porosity, and hence low thermal conductivity. After the CVD process, the density, thermal conductivity and mechanical properties of the composites were increased.

  15. CVD of solid oxides in porous substrates for ceramic membrane modification

    NARCIS (Netherlands)

    Lin, Y.S.; Lin, Y.S.; Burggraaf, Anthonie; Burggraaf, A.J.

    1992-01-01

    The deposition of yttria-doped zirconia has been experimented systematically in various types of porous ceramic substrates by a modified chemical vapor deposition (CVD) process operating in an opposing reactant geometry using water vapor and corresponding metal chloride vapors as reactants. The

  16. Thermodynamic and experimental studies of the CVD of A-15 superconductors. I

    International Nuclear Information System (INIS)

    Madar, R.; Weiss, F.; Fruchart, R.; Bernard, C.

    1978-01-01

    This paper deals with the experimental and thermodynamic study of the chemical vapor deposition (CVD) synthesis of Nb 3 Ga layers on various metallic and insulating substrates using the coreduction of mixed halides by hydrogen. Thermodynamic equilibrium in the seven-component system Nb-Ga-H-Cl-Si-O-Ar has been calculated using the method of minimization of the system Gibbs free energy as a function of the variables directly available in the CVD system. The chosen variables were the chloride ratio, the reduction and dilution parameters and the temperature of the deposition zone. The equilibrium compositions were calculated for the two composition limits of the A-15 phase: NbGasub(0.15) and Nb 3 Ga. They are presented in the form of CVD phase diagrams. A CVD reactor has been set up and more than one hundred measurements have been made in order to check the validity of the equilibrium calculations. The comparisons between equilibrium and experimental results show a good agreement and lead to a better understanding of the chemistry and thermodynamics of the system. (Auth.)

  17. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  18. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  19. Comparative studies of monoclinic and orthorhombic WO3 films used for hydrogen sensor fabrication on SiC crystal

    International Nuclear Information System (INIS)

    Zuev, V V; Romanov, R I; Fominski, V Y; Grigoriev, S N; Volosova, M A; Demin, M V

    2016-01-01

    Amorphous WO x films were prepared on the SiC crystal by using two different methods, namely, reactive pulsed laser deposition (RPLD) and reactive deposition by ion sputtering (RDIS). After deposition, the WO x films were annealed in an air. The RISD film possessed a m-WO 3 structure and consisted of closely packed microcrystals. Localized swelling of the films and micro-hills growth did not destroy dense crystal packing. RPLD film had layered β-WO 3 structure with relatively smooth surface. Smoothness of the films were destroyed by localized swelling and the micro-openings formation was observed. Comparative study of m-WO 3 /SiC, Pt/m-WO 3 /SiC, and P-WO 3 /SiC samples shows that structural characteristics of the WO 3 films strongly influence on the voltage/current response as well as on the rate of current growth during H 2 detection at elevated temperatures. (paper)

  20. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  1. Thermodynamic study of CVD-ZrO{sub 2} phase diagrams

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Huerta, A.M., E-mail: atorresh@ipn.m [Research Center for Applied Science and Advanced Technology, Altamira-IPN, Altamira C.P.89600 Tamaulipas (Mexico); Vargas-Garcia, J.R. [Dept of Metallurgical Eng., ESIQIE-IPN, Mexico 07300 D.F. (Mexico); Dominguez-Crespo, M.A. [Research Center for Applied Science and Advanced Technology, Altamira-IPN, Altamira C.P.89600 Tamaulipas (Mexico); Romero-Serrano, J.A. [Dept of Metallurgical Eng., ESIQIE-IPN, Mexico 07300 D.F. (Mexico)

    2009-08-26

    Chemical vapor deposition (CVD) of zirconium oxide (ZrO{sub 2}) from zirconium acetylacetonate Zr(acac){sub 4} has been thermodynamically investigated using the Gibbs' free energy minimization method and the FACTSAGE program. Thermodynamic data Cp{sup o}, DELTAH{sup o} and S{sup o} for Zr(acac){sub 4} have been estimated using the Meghreblian-Crawford-Parr and Benson methods because they are not available in the literature. The effect of deposition parameters, such as temperature and pressure, on the extension of the region where pure ZrO{sub 2} can be deposited was analyzed. The results are presented as calculated CVD stability diagrams. The phase diagrams showed two zones, one of them corresponds to pure monoclinic phase of ZrO{sub 2} and the other one corresponds to a mix of monoclinic phase of ZrO{sub 2} and graphite carbon.

  2. Preparation and characterization of the electrodeposited Cr-Al{sub 2}O{sub 3}/SiC composite coating

    Energy Technology Data Exchange (ETDEWEB)

    Gao Jifeng, E-mail: readlot@tom.com [State Key Laboratory of Mould Technology, Institute of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Suo Jinping, E-mail: jpsuo@yahoo.com.cn [State Key Laboratory of Mould Technology, Institute of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2011-09-01

    To increase the SiC content in Cr-based coatings, Cr-Al{sub 2}O{sub 3}/SiC composite coatings were plated in Cr(VI) baths which contained Al{sub 2}O{sub 3}-coated SiC powders. The Al{sub 2}O{sub 3}-coated SiC composite particles were synthesized by calcining the precursor prepared by heterogeneous deposition method. The transmission electron microscopy analysis of the particles showed that the nano-SiC particle was packaged by alumina. The zeta potential of the particles collected from the bath was up to +23 mV, a favorable condition for the co-deposition of the particles and chromium. Pulse current was used during the electrodeposition. Scanning Electron Microscopy (SEM) indicated that the coating was compact and combined well with the substrate. Energy dispersive X-ray analysis of Cr-Al{sub 2}O{sub 3}/SiC coatings demonstrated that the concentration of SiC in the coating reached about 2.5 wt.%. The corrosion behavior of the composite coating was studied by potentiodynamic polarization and electrochemical impedance spectroscopy techniques. The data obtained suggested that the Al{sub 2}O{sub 3}/SiC particles significantly enhanced the corrosion resistance of the composite coating in 0.05 M HCl solution.

  3. Future prospect of remote Cat-CVD on the basis of the production, transportation and detection of H atoms

    International Nuclear Information System (INIS)

    Umemoto, Hironobu; Matsumura, Hideki

    2008-01-01

    The future prospect of remote Cat-CVD, in which the decomposition and the deposition chambers are separated, is discussed on the basis of the absolute density measurements of H atoms. It is now well recognized that uniform deposition is possible on a large area without plasma damages by Cat-CVD. However, we may not overlook the demerits in Cat-CVD. One of the demerits is the poisoning of the catalyzer surfaces by the material gases, both temporary and permanent. One technique to overcome this problem is remote Cat-CVD. The question is how to separate the decomposition and deposition areas. If the separation is not enough, there should be back diffusion of the material gases, which will poison the catalyzers. If the separation is too tight, radicals may not effuse out from the decomposition chamber. These problems are discussed and it is shown that SiO 2 coating to reduce the radical recombination rates on walls is promising. The possibility of the polytetrafluoroethene coating by Cat-CVD is also discussed

  4. Ballistic Josephson junctions based on CVD graphene

    Science.gov (United States)

    Li, Tianyi; Gallop, John; Hao, Ling; Romans, Edward

    2018-04-01

    Josephson junctions with graphene as the weak link between superconductors have been intensely studied in recent years, with respect to both fundamental physics and potential applications. However, most of the previous work was based on mechanically exfoliated graphene, which is not compatible with wafer-scale production. To overcome this limitation, we have used graphene grown by chemical vapour deposition (CVD) as the weak link of Josephson junctions. We demonstrate that very short, wide CVD-graphene-based Josephson junctions with Nb electrodes can work without any undesirable hysteresis in their electrical characteristics from 1.5 K down to a base temperature of 320 mK, and their gate-tuneable critical current shows an ideal Fraunhofer-like interference pattern in a perpendicular magnetic field. Furthermore, for our shortest junctions (50 nm in length), we find that the normal state resistance oscillates with the gate voltage, consistent with the junctions being in the ballistic regime, a feature not previously observed in CVD-graphene-based Josephson junctions.

  5. Temperature Dependence of Mechanical Properties of TRISO SiC Coatings

    International Nuclear Information System (INIS)

    Kim, Do Kyung; Park, Kwi Il; Lee, Hyeon Keun; Seong, Young Hoon; Lee, Seung Jun

    2009-04-01

    SiC coating layer has been introduced as protective layer in TRISO nuclear fuel particle of high temperature gas cooled reactor (HTGR) due to excellent mechanical stability at high temperature. It is important to study for high temperature stability in SiC coating layers, because TRISO fuel particles were operating at high temperature around 1000 .deg. C. In this study, the nanoindentation test and micro tensile test were conducted in order to measure the mechanical properties of SiC coating layers at elevated temperature. SiC coating film was fabricated on the carbon substrate using chemical vapor deposition process with different microstructures and thicknesses. Nanoindentation test was performed for the analysis of the hardness, modulus and creep properties up to 500 .deg. C. Impression creep method applied to nanoindentation and creep properties of SiC coating layers were characterized by nanoindentation creep test. The fracture strength of SiC coating layers was measured by the micro tensile method at room temperature and 500 .deg. C. From the results, we can conclude that the hardness and fracture strength are decreased with temperature and no significant change in the modulus is observed with increase in temperature. The deformation mechanism for indentation creep and creep rate changes as the testing temperature increased

  6. Thermally induced formation of SiC nanoparticles from Si/C/Si multilayers deposited by ultra-high-vacuum ion beam sputtering

    International Nuclear Information System (INIS)

    Chung, C-K; Wu, B-H

    2006-01-01

    A novel approach for the formation of SiC nanoparticles (np-SiC) is reported. Deposition of Si/C/Si multilayers on Si(100) wafers by ultra-high-vacuum ion beam sputtering was followed by thermal annealing in vacuum for conversion into SiC nanoparticles. The annealing temperature significantly affected the size, density, and distribution of np-SiC. No nanoparticles were formed for multilayers annealed at 500 0 C, while a few particles started to appear when the annealing temperature was increased to 700 0 C. At an annealing temperature of 900 0 C, many small SiC nanoparticles, of several tens of nanometres, surrounding larger submicron ones appeared with a particle density approximately 16 times higher than that observed at 700 0 C. The higher the annealing temperature was, the larger the nanoparticle size, and the higher the density. The higher superheating at 900 0 C increased the amount of stable nuclei, and resulted in a higher particle density compared to that at 700 0 C. These particles grew larger at 900 0 C to reduce the total surface energy of smaller particles due to the higher atomic mobility and growth rate. The increased free energy of stacking defects during particle growth will limit the size of large particles, leaving many smaller particles surrounding the large ones. A mechanism for the np-SiC formation is proposed in this paper

  7. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    International Nuclear Information System (INIS)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya; Kanda, Kazuhiro; Matsui, Shinji; Kometani, Reo

    2007-01-01

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transition of 1s→σ* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s→π* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from ≅2.2% of the as-deposited FIB-CVD DLC to ≅1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C

  8. The effect of alkaline doped catalysts on the CVD synthesis of carbon nanotubes

    DEFF Research Database (Denmark)

    Nemeth, Krisztian; Nemeth, Zoltan; Fejes, Dora

    2011-01-01

    The aim of this work was to develop new doped catalysts for chemical vapour deposition (CVD) synthesis in order to increase the quantity and quality of carbon nanotubes (CNTs). Doping compounds such as CsBr, CsCl, KBr and KCl were used to reach higher carbon deposit and carbon yield. The amount o...... of the dopant alkali compounds varied from 1 to 5%. As prepared CNTs were characterized by transmission electron microscopy (TEM), X‐ray diffraction (XRD) and Raman microscopy. Results revealed that both carbon yield and deposit could be increased over doped catalysts.......The aim of this work was to develop new doped catalysts for chemical vapour deposition (CVD) synthesis in order to increase the quantity and quality of carbon nanotubes (CNTs). Doping compounds such as CsBr, CsCl, KBr and KCl were used to reach higher carbon deposit and carbon yield. The amount...

  9. Growth of boron doped hydrogenated nanocrystalline cubic silicon carbide (3C-SiC) films by Hot Wire-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Pawbake, Amit [School of Energy Studies, Savitribai Phule Pune University, Pune 411 007 (India); Tata Institute of Fundamental Research, Colaba, Mumbai 400 005 (India); Mayabadi, Azam; Waykar, Ravindra; Kulkarni, Rupali; Jadhavar, Ashok [School of Energy Studies, Savitribai Phule Pune University, Pune 411 007 (India); Waman, Vaishali [Modern College of Arts, Science and Commerce, Shivajinagar, Pune 411 005 (India); Parmar, Jayesh [Tata Institute of Fundamental Research, Colaba, Mumbai 400 005 (India); Bhattacharyya, Somnath [Department of Metallurgical and Materials Engineering, IIT Madras, Chennai 600 036 (India); Ma, Yuan‐Ron [Department of Physics, National Dong Hwa University, Hualien 97401, Taiwan (China); Devan, Rupesh; Pathan, Habib [Department of Physics, Savitribai Phule Pune University, Pune 411007 (India); Jadkar, Sandesh, E-mail: sandesh@physics.unipune.ac.in [Department of Physics, Savitribai Phule Pune University, Pune 411007 (India)

    2016-04-15

    Highlights: • Boron doped nc-3C-SiC films prepared by HW-CVD using SiH{sub 4}/CH{sub 4}/B{sub 2}H{sub 6}. • 3C-Si-C films have preferred orientation in (1 1 1) direction. • Introduction of boron into SiC matrix retard the crystallanity in the film structure. • Film large number of SiC nanocrystallites embedded in the a-Si matrix. • Band gap values, E{sub Tauc} and E{sub 04} (E{sub 04} > E{sub Tauc}) decreases with increase in B{sub 2}H{sub 6} flow rate. - Abstract: Boron doped nanocrystalline cubic silicon carbide (3C-SiC) films have been prepared by HW-CVD using silane (SiH{sub 4})/methane (CH{sub 4})/diborane (B{sub 2}H{sub 6}) gas mixture. The influence of boron doping on structural, optical, morphological and electrical properties have been investigated. The formation of 3C-SiC films have been confirmed by low angle XRD, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy and high resolution-transmission electron microscopy (HR-TEM) analysis whereas effective boron doping in nc-3C-SiC have been confirmed by conductivity, charge carrier activation energy, and Hall measurements. Raman spectroscopy and HR-TEM analysis revealed that introduction of boron into the SiC matrix retards the crystallanity in the film structure. The field emission scanning electron microscopy (FE-SEM) and non contact atomic force microscopy (NC-AFM) results signify that 3C-SiC film contain well resolved, large number of silicon carbide (SiC) nanocrystallites embedded in the a-Si matrix having rms surface roughness ∼1.64 nm. Hydrogen content in doped films are found smaller than that of un-doped films. Optical band gap values, E{sub Tauc} and E{sub 04} decreases with increase in B{sub 2}H{sub 6} flow rate.

  10. A possibility of enhancing Jc in MgB2 film grown on metallic hastelloy tape with the use of SiC buffer layer

    International Nuclear Information System (INIS)

    Putri, W. B. K.; Kang, B.; Ranot, M.; Lee, J. H.; Kang, W. N.

    2014-01-01

    We have grown MgB 2 on SiC buffer layer by using metallic Hastelloy tape as the substrate. Hastelloy tape was chosen for its potential practical applications, mainly in the power cable industry. SiC buffer layers were deposited on Hastelloy tapes at 400, 500, and 600 degrees C by using a pulsed laser deposition method, and then by using a hybrid physical-chemical vapor deposition technique, MgB 2 films were grown on the three different SiC buffer layers. An enhancement of critical current density values were noticed in the MgB 2 films on SiC/Hastelloy deposited at 500 and 600 degrees C. From the surface analysis, smaller and denser grains of MgB 2 tapes are likely to cause this enhancement. This result infers that the addition of SiC buffer layers may contribute to the improvement of superconducting properties of MgB 2 tapes.

  11. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  12. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  13. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  14. Hydrodynamic cavitation characteristics of an orifice system and its effects on CRUD-like SiC deposition

    International Nuclear Information System (INIS)

    Kim, Seong Man; Bang, In Cheol

    2016-01-01

    Highlights: • CRUD-like SiC deposition was prepared for examining the erosion test in the cavitation field. • We investigated the comparison between swirl flow and common flow on cavitation. • Magnitude of shock pressure was investigated at low cavitation number. - Abstract: In a nuclear power plant, chalk river unidentified deposit (CRUD) is known as a deposit that is composed of corrosion and oxidation materials. It has a porous structure, which combines with boron that is injected into the coolant for controlling power levels. The buildup of corrosion products on the fuel cladding surface has proven to be particularly significant for both BWRs and PWRs. The high temperature of the cladding surface attracts impurities and chemical additives in the reactor coolant that deposit on the fuel rod surface in a process. The deposits on a fuel rod, known as CRUD, can be tenacious, insulative compounds capable of increasing the local clad temperature and accelerating clad corrosion—sometimes to the point of fuel failure. The deposition of CRUD on fuel cladding surfaces causes uneven heating of the reactor core. The situation is exacerbated by boron, which is added to the coolant to control power levels. However, boron becomes concentrated and is deposited within thick CRUD deposits. Ultrasonic mechanisms were developed but they have limitations for decontamination. In this experiment, a decontamination test was conducted using a sample sheet that was composed of SiC/water nanofluids. In addition, it was exposed to swirl flow and common flow for checking enhanced cavitation. It is measured by a pressure film, as shock pressure is associated with cavitation number. As a pressure film is wetted easily in water, it was injected into a holder. In the experiment, the maximum shock pressure was obtained during swirl flow at a low cavitation number. This indicates that pressure was concentrated on the pressure film. Consequently, cavitation can get rid of CRUD layers

  15. Polishing, coating and integration of SiC mirrors for space telescopes

    Science.gov (United States)

    Rodolfo, Jacques

    2017-11-01

    In the last years, the technology of SiC mirrors took an increasingly significant part in the field of space telescopes. Sagem is involved in the JWST program to manufacture and test the optical components of the NIRSpec instrument. The instrument is made of 3 TMAs and 4 plane mirrors made of SiC. Sagem is in charge of the CVD cladding, the polishing, the coating of the mirrors and the integration and testing of the TMAs. The qualification of the process has been performed through the manufacturing and testing of the qualification model of the FOR TMA. This TMA has shown very good performances both at ambient and during the cryo test. The polishing process has been improved for the manufacturing of the flight model. This improvement has been driven by the BRDF performance of the mirror. This parameter has been deeply analysed and a model has been built to predict the performance of the mirrors. The existing Dittman model have been analysed and found to be optimistic.

  16. Cold-walled UHV/CVD batch reactor for the growth of Si1_x/Gex layers

    DEFF Research Database (Denmark)

    Thomsen, Erik Vilain; Christensen, Carsten; Andersen, C.R.

    1997-01-01

    A novel cold-walled, lamp-heated, ultrahigh vacuum chemical vapor deposition (UHV/CVD) batch system for the growth of SiGe layers is presented. This system combines the batch capability of the standard UHV/CVD furnace with the temperature processing available in rapid thermal processing (Rm...

  17. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  18. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  19. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  20. Nitrogen and hydrogen related infrared absorption in CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Titus, E. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal)]. E-mail: elby@mec.ua.pt; Ali, N. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Cabral, G. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Madaleno, J.C. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Neto, V.F. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Gracio, J. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Ramesh Babu, P [Materials Ireland, Polymer research Centre, School of Physics, Dublin (Ireland); Sikder, A.K. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India); Okpalugo, T.I. [Northern Ireland Bio-Engineering Centre, NIBEC, University of Ulster (United Kingdom); Misra, D.S. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India)

    2006-09-25

    In this paper, we investigate on the presence of hydrogen and nitrogen related infrared absorptions in chemical vapour deposited (CVD) diamond films. Investigations were carried out in cross sections of diamond windows, deposited using hot filament CVD (HFCVD). The results of Scanning Electron Microscopy (SEM), Fourier Transform Infrared (FTIR) and Raman spectroscopy carried out in a cross section of self-standing diamond sheets are presented. The FTIR spectra showed several features that have not been reported before. In order to confirm the frequency of nitrogen related vibrations, ab-initio calculations were carried out using GAMESS program. The investigations showed the presence of several C-N related peaks in one-phonon (1000-1333 cm{sup -1}). The deconvolution of the spectra in the three-phonon region (2700-3150 cm{sup -1}) also showed a number of vibration modes corresponding to sp {sup m}CH {sub n} phase of carbon. Elastic recoil detection analysis (ERDA) was employed to compare the H content measured using FTIR technique. Using these measurements we point out that the oscillator strength of the different IR modes varies depending upon the structure and H content of CVD diamond sheets.

  1. Merging Standard CVD Techniques for GaAs and Si Epitaxial Growth

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Van den Bogaard, A.; Nanver, L.K.

    2010-01-01

    A commercial Chemical Vapor Deposition (CVD) system, the ASMI Epsilon 2000 designed for Si and SiGe epitaxy, has, for the first time, been equipped for the growth of GaAs compounds in a manner that does not exclude the use of the system also for Si-based depositions. With the new system, intrinsic,

  2. Fluorescent SiC with pseudo-periodic moth-eye structures

    DEFF Research Database (Denmark)

    Ou, Yiyu; Aijaz, Imran; Ou, Haiyan

    2012-01-01

    White light-emitting diodes (LEDs) consisting of a nitride-based blue LED chip and phosphor are very promising candidates for the general lighting applications as energy-saving sources. Recently, donor-acceptor doped fluorescent SiC has been proven as a highly efficient wavelength converter...... to enhance the extraction efficiency, we present a simple method to fabricate the pseudo-periodic moth-eye structures on the surface of the fluorescent SiC. A thin gold layer is deposited on the fluorescent SiC first. Then the thin gold layer is treated by rapid thermal processing. After annealing, the thin...... gold layer turns into discontinuous nano-islands. The average size of the islands is dependent on the annealing condition which could be well controlled. By using the reactive-ion etching, pseudo-periodic moth-eye structures would be obtained using the gold nano-islands as a mask layer. Reactive...

  3. Adhesion of non-selective CVD tungsten to silicon dioxide

    International Nuclear Information System (INIS)

    Woodruff, D.W.; Wilson, R.H.; Sanchez-Martinez, R.A.

    1986-01-01

    Adhesion of non-selective, CVD tungsten to silicon dioxide is a critical issue in the development of tungsten as a metalization for VLSI circuitry. Without special adhesion promoters, tungsten deposited from WF/sub 6/ and H/sub 2/ has typically failed a standard tape test over all types of silicon oxides and nitrides. The reasons for failure of thin films, and CVD tungsten in particular are explored along with standard techniques for improving adhesion of thin films. Experiments are reported which include a number of sputtered metals as adhesion promoters, as well as chemical and plasma treatment of the oxide surface. Sputtered molybdenum is clearly the superior adhesion promoting layer from these tests. Traditional adhesion layers such as chromium or titanium failed as adhesion layers for CVD tungsten possibly due to chemical reactions between the WF/sub 6/ and Cr or Ti

  4. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  5. Switching Performance Evaluation of Commercial SiC Power Devices (SiC JFET and SiC MOSFET) in Relation to the Gate Driver Complexity

    DEFF Research Database (Denmark)

    Pittini, Riccardo; Zhang, Zhe; Andersen, Michael A. E.

    2013-01-01

    and JFETs. The recent introduction of SiC MOSFET has proved that it is possible to have highly performing SiC devices with a minimum gate driver complexity; this made SiC power devices even more attractive despite their device cost. This paper presents an analysis based on experimental results...... of the switching losses of various commercially available Si and SiC power devices rated at 1200 V (Si IGBTs, SiC JFETs and SiC MOSFETs). The comparison evaluates the reduction of the switching losses which is achievable with the introduction of SiC power devices; this includes analysis and considerations...

  6. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  7. Non-classical crystallization of thin films and nanostructures in CVD and PVD processes

    CERN Document Server

    Hwang, Nong Moon

    2016-01-01

    This book provides a comprehensive introduction to a recently-developed approach to the growth mechanism of thin films and nanostructures via chemical vapour deposition (CVD). Starting from the underlying principles of the low pressure synthesis of diamond films, it is shown that diamond growth occurs not by individual atoms but by charged nanoparticles. This newly-discovered growth mechanism turns out to be general to many CVD and some physical vapor deposition (PVD) processes. This non-classical crystallization is a new paradigm of crystal growth, with active research taking place on growth in solution, especially in biomineralization processes. Established understanding of the growth of thin films and nanostructures is based around processes involving individual atoms or molecules. According to the author’s research over the last two decades, however, the generation of charged gas phase nuclei is shown to be the rule rather than the exception in the CVD process, and charged gas phase nuclei are actively ...

  8. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  9. Feasibility study on the application of carbide (ZrC, SiC) for VHTR

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju; Jung, Choong Hwan; Ryu, Woo Seog; Kim, Si Hyeong; Jang, Moon Hee; Lee, Young Woo

    2006-08-15

    A feasibility study on the coating process of ZrC for the TRISO nuclear fuel and applications of SiC as high temperature materials for the core components has performed to develop the fabrication process for the advanced ZrC TRISO fuels and the high temperature structural components for VHTR, respectively. In the case of ZrC coating, studies were focused on the comparisons of the developed coating processes for screening of our technology, the evaluations of the reactions parameters for a ZrC deposition by the thermodynamic calculations and the preliminary coating experiments by the chloride process. With relate to SiC ceramics, our interesting items are as followings; an analysis of applications and specifications of the SiC components and collections of the SiC properties and establishments of data base. For these purposes, applications of SiC ceramics for the GEN-IV related components as well as the fusion reactor related ones were reviewed. Additionally, the on-going activities with related to the ZrC clad and the SiC composites discussed in the VHTR GIF-PMB, were reviewed to make the further research plans at the section 1 in chapter 3.

  10. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    Science.gov (United States)

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  11. Palladium transport in SiC

    International Nuclear Information System (INIS)

    Olivier, E.J.; Neethling, J.H.

    2012-01-01

    Highlights: ► We investigate the reaction of Pd with SiC at typical HTGR operating temperatures. ► The high temperature mobility of palladium silicides within polycrystalline SiC was studied. ► Corrosion of SiC by Pd was seen in all cases. ► The preferential corrosion and penetration of Pd along grain boundaries in SiC was found. ► The penetration and transport of palladium silicides in SiC along grain boundaries was found. - Abstract: This paper reports on a transmission electron microscopy (TEM) and scanning electron microscopy (SEM) study of Pd corroded SiC. The reaction of Pd with different types of SiC at typical HTGR operating temperatures was examined. In addition the high temperature mobility of palladium silicides within polycrystalline SiC was investigated. The results indicated corrosion of the SiC by Pd in all cases studied. The corrosion leads to the formation of palladium silicides within the SiC, with the predominant phase found being Pd 2 Si. Evidence for the preferential corrosion and penetration of Pd along grain boundaries in polycrystalline SiC was found. The penetration and transport, without significant corrosion, of palladium silicides into polycrystalline SiC along grain boundaries was also observed. Implications of the findings with reference to the use of Tri Isotropic particles in HTGRs will be discussed.

  12. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication.

    Science.gov (United States)

    Coclite, Anna Maria; Howden, Rachel M; Borrelli, David C; Petruczok, Christy D; Yang, Rong; Yagüe, Jose Luis; Ugur, Asli; Chen, Nan; Lee, Sunghwan; Jo, Won Jun; Liu, Andong; Wang, Xiaoxue; Gleason, Karen K

    2013-10-11

    Well-adhered, conformal, thin (polymers can be achieved on virtually any substrate: organic, inorganic, rigid, flexible, planar, three-dimensional, dense, or porous. In CVD polymerization, the monomer(s) are delivered to the surface through the vapor phase and then undergo simultaneous polymerization and thin film formation. By eliminating the need to dissolve macromolecules, CVD enables insoluble polymers to be coated and prevents solvent damage to the substrate. CVD film growth proceeds from the substrate up, allowing for interfacial engineering, real-time monitoring, and thickness control. Initiated-CVD shows successful results in terms of rationally designed micro- and nanoengineered materials to control molecular interactions at material surfaces. The success of oxidative-CVD is mainly demonstrated for the deposition of organic conducting and semiconducting polymers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  14. Palladium transport in SiC

    Energy Technology Data Exchange (ETDEWEB)

    Olivier, E.J., E-mail: jolivier@nmmu.ac.za [Centre for High Resolution Transmission Electron Microscopy, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Neethling, J.H. [Centre for High Resolution Transmission Electron Microscopy, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2012-03-15

    Highlights: Black-Right-Pointing-Pointer We investigate the reaction of Pd with SiC at typical HTGR operating temperatures. Black-Right-Pointing-Pointer The high temperature mobility of palladium silicides within polycrystalline SiC was studied. Black-Right-Pointing-Pointer Corrosion of SiC by Pd was seen in all cases. Black-Right-Pointing-Pointer The preferential corrosion and penetration of Pd along grain boundaries in SiC was found. Black-Right-Pointing-Pointer The penetration and transport of palladium silicides in SiC along grain boundaries was found. - Abstract: This paper reports on a transmission electron microscopy (TEM) and scanning electron microscopy (SEM) study of Pd corroded SiC. The reaction of Pd with different types of SiC at typical HTGR operating temperatures was examined. In addition the high temperature mobility of palladium silicides within polycrystalline SiC was investigated. The results indicated corrosion of the SiC by Pd in all cases studied. The corrosion leads to the formation of palladium silicides within the SiC, with the predominant phase found being Pd{sub 2}Si. Evidence for the preferential corrosion and penetration of Pd along grain boundaries in polycrystalline SiC was found. The penetration and transport, without significant corrosion, of palladium silicides into polycrystalline SiC along grain boundaries was also observed. Implications of the findings with reference to the use of Tri Isotropic particles in HTGRs will be discussed.

  15. Stress in ion-implanted CVD Si3N4 films

    International Nuclear Information System (INIS)

    EerNisse, E.P.

    1977-01-01

    The compressive stress buildup caused in chemical-vapor-deposited (CVD) Si 3 N 4 films by ion implantation is shown to be caused entirely by atomic collision effects, ionization effects being unimportant. The stress introduction rate is shown to be independent of CVD processing variables and O content of the film. The maximum attainable compressive stress change is 3.5 x 10 10 dyn/cm 2 , resulting in a maximum net compressive stress of 2 x 10 10 dyn/cm 2 for films on Si where the as-deposited films inherently have 1.5 x 10 10 dyn/cm 2 tensile stress before ion implantation. Results are presented which show that O in the films inhibits thermal annealing of the ion-implantation-induced compressive stress. Results for introduction rate and annealing effects are presented in normalized form so that workers can use the effects for intentional stress level adjustment in the films to reduce probability of cracking and detachment

  16. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  17. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Kalam, Amir Abul; Bae, Joon Ho [Dept. of Nano-physics, Gachon University, Seongnam (Korea, Republic of); Park, Soo Bin; Seo, Yong Ho [Nanotechnology and Advanced Material Engineering, HMC, and GRI, Sejong University, Seoul (Korea, Republic of)

    2015-08-15

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs.

  18. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Kalam, Amir Abul; Bae, Joon Ho; Park, Soo Bin; Seo, Yong Ho

    2015-01-01

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs

  19. Development of Fe-AI CVD coatings as tritium permeation barrier

    International Nuclear Information System (INIS)

    Chabrol, C.; Schuster, F.; Le Marois, G.; Serra, E.

    1998-01-01

    A specific method of pack-cementation has been developed in order to perform a CVD deposition of Fe-Al alloys on a martensitic steel at a temperature which respects its mechanical properties ( 2 Al 5 intermetallic phases thanks to a low pressure deposition and using a special cement containing Fe and Al. These coatings coated with an Al 2 O 3 top layer drastically reduce the permeation rate of deuterium with regards to the uncoated substrate. (authors)

  20. Electrical measurement of radiation effect in SiC

    Energy Technology Data Exchange (ETDEWEB)

    Kanazawa, Satoshi; Kamiya, Koji; Kanno, Ikuo [Kyoto Univ. (Japan). Faculty of Engineering] [and others

    1996-04-01

    For aiming to limited resources and environmental conservations on the Earth, development of controlling element workable under high temperature environment was investigated so as to establish a high grade and optimum controlling system. In order to observe changes of electrical properties before and after irradiation and after annealing, and to investigate changes of carrier concentration and movability after irradiating neutron from reactor and accelerator for the SiC single crystal wafer, elucidation on neutron irradiation effect of SiC as well as finding an optimum method on nuclear conversion injection were investigated. For this reason, SiC surface was purified by its etching and was treated thermally at 1000degC for about 30 min. under argon gas atmosphere after vacuum depositing nickel on it. And then, it was irradiated neutron using Kyoto University reactor (LTL), Linac and University of Tokyo reactor (YAYOI) to measure changes of resistivity using van der Pauw. As a result, it was found that LTL irradiation data was under investigation of measuring method, that in Linac no meaning change was observed because of low irradiation, and that only YAYOI data showed increase of resistivity. (G.K.)

  1. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  2. Hydrogen intercalation of single and multiple layer graphene synthesized on Si-terminated SiC(0001) surface

    International Nuclear Information System (INIS)

    Sołtys, Jakub; Piechota, Jacek; Ptasinska, Maria; Krukowski, Stanisław

    2014-01-01

    Ab initio density functional theory simulations were used to investigate the influence of hydrogen intercalation on the electronic properties of single and multiple graphene layers deposited on the SiC(0001) surface (Si-face). It is shown that single carbon layer, known as a buffer layer, covalently bound to the SiC substrate, is liberated after hydrogen intercalation, showing characteristic Dirac cones in the band structure. This is in agreement with the results of angle resolved photoelectron spectroscopy measurements of hydrogen intercalation of SiC-graphene samples. In contrast to that hydrogen intercalation has limited impact on the multiple sheet graphene, deposited on Si-terminated SiC surface. The covalently bound buffer layer is liberated attaining its graphene like structure and dispersion relation typical for multilayer graphene. Nevertheless, before and after intercalation, the four layer graphene preserved the following dispersion relations in the vicinity of K point: linear for (AAAA) stacking, direct parabolic for Bernal (ABAB) stacking and “wizard hat” parabolic for rhombohedral (ABCA) stacking

  3. Synergistic effect of displacement damage, helium and hydrogen on microstructural change of SiC/SiC composites fabricated by reaction bonding process

    Energy Technology Data Exchange (ETDEWEB)

    Taguchi, T.; Igawa, N.; Wakai, E.; Jitsukawa, S. [Japan Atomic Energy Agency, Naga-gun, Ibaraki-ken (Japan); Hasegawa, A. [Tohoku Univ., Dept. of Quantum Science and Energy Engr., Sendai (Japan)

    2007-07-01

    Full text of publication follows: Continuous silicon carbide (SiC) fiber reinforced SiC matrix (SiC/SiC) composites are known to be attractive candidate materials for first wall and blanket components in fusion reactors. In the fusion environment, helium and hydrogen are produced and helium bubbles can be formed in the SiC by irradiation of 14-MeV neutrons. Authors reported the synergistic effect of helium and hydrogen as transmutation products on swelling behavior and microstructural change of the SiC/SiC composites fabricated by chemical vapor infiltration (CVI) process. Authors also reported about the fabrication of high thermal conductive SiC/SiC composites by reaction bonding (RB) process. The matrix fabricated by RB process has different microstructures such as bigger grain size of SiC and including Si phase as second phase from that by CVI process. It is, therefore, investigated the synergistic effect of displacement damage, helium and hydrogen as transmutation products on the microstructure of SiC/SiC composite by RB process in this study. The SiC/SiC composites by RB process were irradiated by the simultaneous triple ion irradiation (Si{sup 2+}, He{sup +} and H{sup +}) at 800 and 1000 deg. C. The displacement damage was induced by 6.0 MeV Si{sup 2+} ion irradiation up to 10 dpa. The microstructures of irradiated SiC/SiC composites by RB process were observed by TEM. The double layer of carbon and SiC as interphase between fiber and matrix by a chemical vapor deposition (CVD) was coated on SiC fibers in the SiC/SiC composites by RB process. The TEM observation revealed that He bubbles were formed both in the matrix by RB and SiC interphase by CVD process. Almost all He bubbles were formed at the grain boundary in SiC interphase by CVD process. On the other hand, He bubbles were formed both at the grain boundary and in Si grain of the matrix by RB process. The average size of He bubbles in the matrix by RB was smaller than that in SiC interphase by CVD

  4. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  5. Effects of SiC amount on phase compositions and properties of Ti3SiC2-based composites

    Institute of Scientific and Technical Information of China (English)

    蔡艳芝; 殷小玮; 尹洪峰

    2015-01-01

    The phase compositions and properties of Ti3SiC2-based composites with SiC addition of 5%−30% in mass fraction fabricated by in-situ reaction and hot pressing sintering were studied. SiC addition effectively prevented TiC synthesis but facilitated SiC synthesis. The Ti3SiC2/TiC−SiC composite had better oxidation resistance when SiC added quantity reached 20% but poorer oxidation resistance with SiC addition under 15%than Ti3SiC2/TiC composite at higher temperatures. There were more than half of the original SiC and a few Ti3SiC2 remaining in Ti3SiC2/TiC−SiC with 20% SiC addition, but all constituents in Ti3Si2/TiC composite were oxidized after 12 h in air at 1500 °C. The oxidation scale thickness of TS30, 1505.78μm, was near a half of that of T, 2715μm, at 1500 °C for 20 h. Ti3SiC2/TiC composite had a flexural strength of 474 MPa, which was surpassed by Ti3SiC2/TiC−SiC composites when SiC added amount reached 15%. The strength reached the peak of 518 MPa at 20%SiC added amount.

  6. Feasibility study of a SiC sandwich neutron spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Jian, E-mail: caepwujian@163.com [Institute of Nuclear Physics and Chemistry, China Academy of Engineering Physics, Mianyang 621900, Sichuan Province (China); Lei, Jiarong, E-mail: jiarong_lei@163.com [Institute of Nuclear Physics and Chemistry, China Academy of Engineering Physics, Mianyang 621900, Sichuan Province (China); Jiang, Yong; Chen, Yu; Rong, Ru; Zou, Dehui; Fan, Xiaoqiang [Institute of Nuclear Physics and Chemistry, China Academy of Engineering Physics, Mianyang 621900, Sichuan Province (China); Chen, Gang; Li, Li; Bai, Song [Nanjing Electronic Devices Institute, Nanjing 210016 (China)

    2013-04-21

    Semiconductor sandwich neutron spectrometers are suitable for in-pile measurements of fast reactor spectra thanks to their compact and relatively simple design. We have assembled and tested a sandwich neutron spectrometer based on 4H-silicon carbide (4H-SiC) Schottky diodes. The SiC diodes detect neutrons via neutron-induced charged particles (tritons and alpha particles) produced by {sup 6}Li(n,α){sup 3}H reaction. {sup 6}LiF neutron converter layers are deposited on the front surface of Schottky diodes by magnetron sputtering. The responses of SiC diodes to charged particles were investigated with an {sup 241}Am alpha source. A sandwich neutron spectrometer was assembled with two SiC Schottky diodes selected based on the charged-particle-response experimental results. The low-energy neutron response of the sandwich spectrometer was measured in the neutron field of the Chinese Fast Burst Reactor-II (CFBR-II). Spectra of alpha particles and tritons from {sup 6}Li(n,α){sup 3}H reaction were obtained with two well-resolved peaks. The energy resolution of the sum spectrum was 8.8%. The primary experimental results confirmed the 4H-SiC sandwich neutron spectrometer's feasibility. -- Highlights: ► Sandwich neutron spectrometer employing 4H-SiC as a detecting material has been developed for the first time. ► {sup 6}LiF neutron converter has been deposited on the surface of 4H-SiC Schottky diode. ► Preliminary testing results obtained with the 4H-SiC sandwich neutron spectrometer are presented.

  7. Thermal detection mechanism of SiC based hydrogen resistive gas sensors

    Science.gov (United States)

    Fawcett, Timothy J.; Wolan, John T.; Lloyd Spetz, Anita; Reyes, Meralys; Saddow, Stephen E.

    2006-10-01

    Silicon carbide (SiC) resistive hydrogen gas sensors have been fabricated and tested. Planar NiCr contacts were deposited on a thin 3C-SiC epitaxial film grown on thin Si wafers bonded to polycrystalline SiC substrates. At 673K, up to a 51.75±0.04% change in sensor output current and a change in the device temperature of up to 163.1±0.4K were demonstrated in response to 100% H2 in N2. Changes in device temperature are shown to be driven by the transfer of heat from the device to the gas, giving rise to a thermal detection mechanism.

  8. Spin transport in two-layer-CVD-hBN/graphene/hBN heterostructures

    Science.gov (United States)

    Gurram, M.; Omar, S.; Zihlmann, S.; Makk, P.; Li, Q. C.; Zhang, Y. F.; Schönenberger, C.; van Wees, B. J.

    2018-01-01

    We study room-temperature spin transport in graphene devices encapsulated between a layer-by-layer-stacked two-layer-thick chemical vapor deposition (CVD) grown hexagonal boron nitride (hBN) tunnel barrier, and a few-layer-thick exfoliated-hBN substrate. We find mobilities and spin-relaxation times comparable to that of SiO2 substrate-based graphene devices, and we obtain a similar order of magnitude of spin relaxation rates for both the Elliott-Yafet and D'Yakonov-Perel' mechanisms. The behavior of ferromagnet/two-layer-CVD-hBN/graphene/hBN contacts ranges from transparent to tunneling due to inhomogeneities in the CVD-hBN barriers. Surprisingly, we find both positive and negative spin polarizations for high-resistance two-layer-CVD-hBN barrier contacts with respect to the low-resistance contacts. Furthermore, we find that the differential spin-injection polarization of the high-resistance contacts can be modulated by dc bias from -0.3 to +0.3 V with no change in its sign, while its magnitude increases at higher negative bias. These features point to the distinctive spin-injection nature of the two-layer-CVD-hBN compared to the bilayer-exfoliated-hBN tunnel barriers.

  9. Fabrication of cerium-doped yttrium aluminum garnet thin films by a mist CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Murai, Shunsuke, E-mail: murai@dipole7.kuic.kyoto-u.ac.jp; Sato, Takafumi; Yao, Situ; Kamakura, Ryosuke; Fujita, Koji; Tanaka, Katsuhisa

    2016-02-15

    We synthesized thin films, consisting of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce), using the mist chemical vapor deposition (CVD) method, which allows the fabrication of high-quality thin films under atmospheric conditions without the use of vacuum equipment. Under a deposition rate of approximately 1 μm/h, the obtained thin films had a typical thickness of 2 μm. The XRD analysis indicated that the thin films consisted of single-phase YAG:Ce. The Rutherford backscattering confirmed the stoichiometry; the composition of the film was determined to be (Y, Ce){sub 3}Al{sub 5}O{sub 12}, with a Ce content of Ce/(Y+Ce)=2.5%. The YAG:Ce thin films exhibited fluorescence due to the 5d–4f electronic transitions characteristic of the Ce ions occupying the eight-coordinated dodecahedral sites in the YAG lattice. - Highlights: • We have synthesized thin films of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce) by using a mist chemical vapor deposition (CVD) method for the first time. • The thickness of the single-phase and stoichiometric thin film obtained by 2 h deposition and following heat treatments is 2 μm. • The thin film is porous but optically transparent, and shows yellow fluorescence upon irradiation with a blue light. • Mist-CVD is a green and sustainable technique that allows fabrication of high-quality thin films at atmospheric conditions without vacuum equipment.

  10. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  11. CVD diamonds as thermoluminescent detectors for medical applications

    International Nuclear Information System (INIS)

    Marczewska, B.; Olko, P.; Nesladek, M.; Waligorski, M.P.R.; Kerremans, Y.

    2002-01-01

    Diamond is believed to be a promising material for medical dosimetry due to its tissue equivalence, mechanical and radiation hardness, and lack of solubility in water or in disinfecting agents. A number of diamond samples, obtained under different growth conditions at Limburg University, using the chemical vapour deposition (CVD) technique, was tested as thermoluminescence dosemeters. Their TL glow curve, TL response after doses of gamma rays, fading, and so on were studied at dose levels and for radiation modalities typical for radiotherapy. The investigated CVD diamonds displayed sensitivity comparable with that of MTS-N (Li:Mg,Ti) detectors, signal stability (reproducibility after several readouts) below 10% (1 SD) and no fading was found four days after irradiation. A dedicated CVD diamond plate was grown, cut into 20 detector chips (3x3x0.5 mm) and used for measuring the dose-depth distribution at different depths in a water phantom, for 60 Co and six MV X ray radiotherapy beams. Due to the sensitivity of diamond to ambient light, it was difficult to achieve reproducibility comparable with that of standard LiF detectors. (author)

  12. Delaminated Transfer of CVD Graphene

    Science.gov (United States)

    Clavijo, Alexis; Mao, Jinhai; Tilak, Nikhil; Altvater, Michael; Andrei, Eva

    Single layer graphene is commonly synthesized by dissociation of a carbonaceous gas at high temperatures in the presence of a metallic catalyst in a process known as Chemical Vapor Deposition or CVD. Although it is possible to achieve high quality graphene by CVD, the standard transfer technique of etching away the metallic catalyst is wasteful and jeopardizes the quality of the graphene film by contamination from etchants. Thus, development of a clean transfer technique and preservation of the parent substrate remain prominent hurdles to overcome. In this study, we employ a copper pretreatment technique and optimized parameters for growth of high quality single layer graphene at atmospheric pressure. We address the transfer challenge by utilizing the adhesive properties between a polymer film and graphene to achieve etchant-free transfer of graphene films from a copper substrate. Based on this concept we developed a technique for dry delamination and transferring of graphene to hexagonal boron nitride substrates, which produced high quality graphene films while at the same time preserving the integrity of the copper catalyst for reuse. DOE-FG02-99ER45742, Ronald E. McNair Postbaccalaureate Achievement Program.

  13. Characterization of commercial grade Tyranno SA/CVI-SiC composites

    International Nuclear Information System (INIS)

    Riccardi, B.; Trentini, E.; Labanti, M.; Leuchs, M.; Roccella, S.; Visca, E.

    2007-01-01

    The objective of the present work was to characterize commercial-grade Tyranno SA SiC fiber reinforced chemically vapour infiltrated (CVI) SiC matrix composites (SiC f /SiC) with chemically vapour deposited (CVD) SiC coating. The characterization includes the assessment of the monotonic mechanical properties. Low cycle flexural fatigue behaviour has been investigated at room temperature (RT) and 1000 o C by means of 4-point bending tests. The creep behaviour at 1000 o C was preliminary investigated by means of constant bending stress rupture test. The material showed a pronounced degradation of monotonic mechanical properties at high temperature. Low cycle flexural fatigue behaviour showed excellent and satisfactory results at RT and 1000 o C, respectively. The creep resistance at 1000 o C is significant only at low load level

  14. Investigation of reactivity between SiC and Nb-1Zr in planned irradiation creep experiments

    Energy Technology Data Exchange (ETDEWEB)

    Lewinsohn, C.A.; Hamilton, M.L.; Jones, R.H.

    1997-08-01

    Thermodynamic calculations and diffusion couple experiments showed that SiC and Nb-1Zr were reactive at the upper range of temperatures anticipated in the planned irradiation creep experiment. Sputter-deposited aluminum oxide (Al{sub 2}O{sub 3}) was selected as a diffusion barrier coating. Experiments showed that although the coating coarsened at high temperature it was an effective barrier for diffusion of silicon from SiC into Nb-1Zr. Therefore, to avoid detrimental reactions between the SiC composite and the Nb-1Zr pressurized bladder during the planned irradiation creep experiment, a coating of Al{sub 2}O{sub 3} will be required on the Nb-1Zr bladder.

  15. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  16. AFM Morphology Study of Si1-Y GeY:H Films Deposited by LF PE CVD from Silane-Germane with Different

    International Nuclear Information System (INIS)

    Sanchez, L; Kosarev, A

    2005-01-01

    The morphology of Si 1-Y Ge Y :H films in the range of Y=0.23 to 0.9 has been studied by AFM. The films were deposited by Low Frequency (LF) PE CVD at substrate temperature T s =300 C and discharge frequency f=110 kHz from silane+germane mixture with and without, Ar and H 2 dilution. The films were deposited on silicon and glass substrates. AFM images were taken and analyzed for 2 x 2 mm 2 area. All the images demonstrated ''grain'' like structure, which was characterized by the height distribution function F(H) average roughness , standard height deviation Rq, lateral correlation length L c area distribution function F(s), mean grain area , diameter distribution function F(d), and mean grain diameter . The roughness of the films monotonically increases with Y for all dilutions, but more significantly in the films deposited without dilution. L c continuously grows with Y in the films deposited without dilution, while more complex behavior L c (Y) is observed in the films deposited with H- or Ar dilution. The sharpness of F(H) characterized by curtosis γ depends on dilution and the sharpest F(H) are for the films deposited with Ar (γ=5.30,Y=0.23) and without dilution (γ=4.3, Y=0.45). Isothermal annealing caused increase of , L c in the films deposited with H- and Ar dilutions, while in the films prepared without dilution the behavior was more complex, depending on the substrates. Significant narrowing of the height distribution was observed in the films deposited with H dilution or without dilution

  17. CVD Graphene/Ni Interface Evolution in Sulfuric Electrolyte

    DEFF Research Database (Denmark)

    Yivlialin, Rossella; Bussetti, Gianlorenzo; Duò, Lamberto

    2018-01-01

    Systems comprising single and multilayer graphene deposited on metals and immersed in acid environments have been investigated, with the aim of elucidating the mechanisms involved, for instance, in hydrogen production or metal protection from corrosion. In this work, a relevant system, namely...... chemical vapor deposited (CVD) multilayer graphene/Ni (MLGr/Ni), is studied when immersed in a diluted sulfuric electrolyte. The MLGr/Ni electrochemical and morphological properties are studied in situ and interpreted in light of the highly oriented pyrolytic graphite (HOPG) electrode behavior, when...... immersed in the same electrolyte. Following this interpretative framework, the dominant role of the Ni substrate in hydrogen production is clarified....

  18. An economic CVD technique for pure SnO 2 thin films deposition

    Indian Academy of Sciences (India)

    A modified new method of CVD for formation of pure layers of tin oxide films was developed. This method is very simple and inexpensive and produces films with good electrical properties. The effect of substrate temperature on the sheet resistance, resistivity, mobility, carrier concentration and transparency of the films has ...

  19. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  20. Influence of duration time of CVD process on emissive properties of carbon nanotubes films

    Directory of Open Access Journals (Sweden)

    Stępinska Izabela

    2015-03-01

    Full Text Available In this paper various types of films made of carbon nanotubes (CNTs are presented. These films were prepared on different substrates (Al2O3, Si n-type by the two-step method. The two-step method consists of physical vapor deposition step, followed by chemical vapor deposition step (PVD/CVD. Parameters of PVD process were the same for all initial films, while the duration times of the second step - the CVD process, were different (15, 30 min.. Prepared films were characterized by scanning electron microscopy (SEM, transmission electron microscopy (TEM and field emission (FE measurements. The I-E and F-N characteristics of electron emission were discussed in terms of various forms of CNT films. The value of threshold electric field ranged from few V/μm (for CNT dispersed rarely on the surface of the film deposited on Si up to ~20 V/μm (for Al2O3 substrate.

  1. Optimization of solar cell performance using atmospheric pressure chemical vapour deposition deposited TCOs

    Czech Academy of Sciences Publication Activity Database

    Yates, H.M.; Evans, P.; Sheel, D.W.; Hodgkinson, J.L.; Sheel, P.; Dagkaldiran, U.; Gordijn, A.; Finger, F.; Remeš, Zdeněk; Vaněček, Milan

    2009-01-01

    Roč. 25, č. 8 (2009), s. 789-796 ISSN 1938-5862. [International Chemical Vapor Deposition Symposium (CVD-XVII) /17./. Wien, 04.10.2009-09.10.2009] Grant - others:European Community(XE) Project (STREP) of the 6. FP Institutional research plan: CEZ:AV0Z10100521 Keywords : solar cells * TCO * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism

  2. High temperature structural ceramic materials manufactured by the CNTD process

    International Nuclear Information System (INIS)

    Stiglich, J.J. Jr.; Bhat, D.G.; Holzl, R.A.

    1980-01-01

    Controlled Nucleation Thermochemical Deposition (CNTD) has emerged from classical chemical deposition (CVD) technology. This paper describes the techniques of thermochemical grain refinement. The effects of such refinement on mechanical properties of materials at room temperature and at elevated temperatures are outlined. Emphasis is given to high temperature structural ceramic materials such as SiC, Si 3 N 4 , AlN, and TiB 2 and ZrB 2 . An example of grain refinement accompanied by improvements in mechanical properties is SiC. Grain sizes of 500 to 1000 A have been observed in CNTD SiC with room temperature MOR of 1380 to 2070 MPa (4 pt bending) and MOR of 3450 to 4140 MPa (4 pt bending) at 1350 0 C. Various applications of these materials to the solution of high temperature structural problems are described. (author)

  3. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  4. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  5. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  6. Diagramas de fase CVD para la preparación de películas de iridio

    Directory of Open Access Journals (Sweden)

    Hernández-Pérez, M. A.

    2002-02-01

    Full Text Available Chemical vapor deposition (CVD phase diagrams for the preparation of iridium films were calculated using Gibbs free energy minimization method. Iridium acetylacetonate (Ir(acac3 was used as the precursor compound. Two gaseous mixtures were analyzed: Ir(acac3-O2-Ar and Ir(acac3-Ar. The deposition temperatures were explored from 300 to 800 °C, total pressures from 13.3 to 13.332 Pa and partial pressures of Ir(acac3 gas and O2 gas from 0.001 to 1.000 Pa. The Ir-CVD diagrams predicted that without Oj gas in the gaseous mixture, the solid films consist of two solid phases: Ir+C. In contrast, with addition of O2 to the gaseous mixture, the Ir-CVD diagrams revealed different domains of condensed phases which include IrO2, IrO2+Ir, Ir and Ir+C. These diagrams allow one to establish the total pressures and temperatures required to obtain a given film composition. The results predicted by the Ir-CVD diagrams are in good agreement with those experimentally obtained.

    Se calcularon los diagramas de fase CVD (Chemical Vapor Deposition para la preparación de películas de iridio empleando el método de minimización de la energía libre de Gibbs. Como precursor se utilizó acetilacetonato de iridio (Ir(acac3. Se analizaron las mezclas gaseosas Ir(acac3-O2Ar e Ir(acac3-Ar. Las temperaturas de depósito se exploraron desde 300 hasta 800 °C, las presiones totales de 13,3 a 13.332 Pa y las presiones parciales de los gases Ir(acac3 y O2 desde 0,001 hasta 1.000 Pa. Los diagramas Ir-CVD predicen que sin O2 en la mezcla gaseosa, las películas constan de las fases sólidas Ir+C. En contraste, con adición de O2 los diagramas Ir-CVD revelan diferentes dominios de fases sólidas que incluyen IrO2, IrO2+Ir, Ir e Ir+C. Estos diagramas permiten establecer

  7. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  8. Laser alloying of Al with mixed Ni, Ti and SiC powders

    CSIR Research Space (South Africa)

    Mabhali, Luyolo AB

    2010-11-01

    Full Text Available Laser alloying of aluminium AA1200 was performed with a 4.4kW Rofin Sinar Nd:YAG laser to improve the surface hardness. Alloying was carried out by depositing Ni, Ti and SiC powders of different weight ratios on the aluminium substrate. The aim...

  9. AFM Morphology Study of Si1-Y GeY:H Films Deposited by LF PE CVD from Silane-Germane with Different

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez, L; Kosarev, A

    2005-03-28

    The morphology of Si{sub 1-Y} Ge{sub Y}:H films in the range of Y=0.23 to 0.9 has been studied by AFM. The films were deposited by Low Frequency (LF) PE CVD at substrate temperature T{sub s}=300 C and discharge frequency f=110 kHz from silane+germane mixture with and without, Ar and H{sub 2} dilution. The films were deposited on silicon and glass substrates. AFM images were taken and analyzed for 2 x 2 mm{sup 2} area. All the images demonstrated ''grain'' like structure, which was characterized by the height distribution function F(H) average roughness , standard height deviation Rq, lateral correlation length L{sub c} area distribution function F(s), mean grain area , diameter distribution function F(d), and mean grain diameter . The roughness of the films monotonically increases with Y for all dilutions, but more significantly in the films deposited without dilution. L{sub c} continuously grows with Y in the films deposited without dilution, while more complex behavior L{sub c}(Y) is observed in the films deposited with H- or Ar dilution. The sharpness of F(H) characterized by curtosis {gamma} depends on dilution and the sharpest F(H) are for the films deposited with Ar ({gamma}=5.30,Y=0.23) and without dilution ({gamma}=4.3, Y=0.45). Isothermal annealing caused increase of , L{sub c} in the films deposited with H- and Ar dilutions, while in the films prepared without dilution the behavior was more complex, depending on the substrates. Significant narrowing of the height distribution was observed in the films deposited with H dilution or without dilution.

  10. Reflood Heat Transfer in SiC and Graphene Oxide Coated Tube

    International Nuclear Information System (INIS)

    Kim, Kyung Mo; Lee, Seung Won; Bang, In Cheol

    2013-01-01

    The reflood tests have been performed flowing water into bare tube and nanoparticles coated tube at constant flow rate (3 cm/s). The quenching curves have been obtained at atmospheric pressure. Finally, Scanning Electron Microscopy (SEM) images are acquired and contact angles are measured in order to observe the surface structures and wettability effect on cooling performance. The quenching time decreases and quenching velocity increases as the coating time of nanoparticles on the tube increases, because the nanoparticles deposited on the tube destabilize and rupture the vapor film early in the effect of increased Leidenfrost point temperature. The SiC nanoparticles coated tubes have better quenching performance than GO nanoparticles coated tubes. The SEM images and contact angle observations proved the enhanced wettability and rough surface due to deposition of SiC nanoparticles. And the wettability of GO nanoparticles coated tubes shows the increase at 600 s coating. But, the wettability decreases on GO nanoparticles tube coated for 900 s despite the enhanced quenching performance. Thus, the porous structure affects to the better cooling performance in case of GO nanoparticles coated tubes

  11. Corrosion protection of SiC-based ceramics with CVD mullite coatings

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.L.; Sarin, V.K. [Boston Univ., MA (United States). Dept. of Mfg. Engineering

    1997-12-01

    For the first time, crystalline mullite coatings have been chemically vapor deposited on SiC substrates to enhance its corrosion and oxidation resistance. Thermodynamic and kinetic considerations have been utilized to produce mullite coatings with a variety of growth rates, compositions, and morphologies. The flexibility of processing can be exploited to produce coated ceramics with properties tailored to specific applications and varied corrosive environments.

  12. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  13. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  14. Large-area selective CVD epitaxial growth of Ge on Si substrates

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Nanver, L.K.

    2011-01-01

    Selective epitaxial growth of crystalline Ge on Si in a standard ASM Epsilon 2000 CVD reactor is investigated for the fabrication of Ge p+n diodes. At the deposition temperature of 700?C, most of the lattice mismatch-defects are trapped within first 300nm of Ge growth and good quality single crystal

  15. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Development of technology to rationalize energy usage); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. This paper summarizes the achievements in fiscal 2000 in the demonstrative research and development theme of the present project, centering on the following five areas: 1) discussions on application of the Cat-CVD method to the mass production process for gallium arsenide integrated circuits, 2) studies on the possibility to apply the Cat-CVD method to the process to fabricate nitrided silicon protective film for ferroelectric memory devices, 3) formation of nitrided silicon films for silicon integrated circuits by means of the Cat-CVD method, and development of a chamber cleaning technology, 4) fabrication of high-mobility poly-crystalline silicon thin film transistors formed by using the Cat-CVD method and large particle size poly-crystalline silicon films by using the catalytic chemical sputtering process, and 5) discussions on properties of amorphous silicon thin film transistors formed by using the Cat-CVD method and formation of large area films by using a catalyst integrated shower head. (NEDO)

  16. Mass production of CNTs using CVD multi-quartz tubes

    Energy Technology Data Exchange (ETDEWEB)

    Yousef, Samy; Mohamed, Alaa [Dept. of Production Engineering and Printing Technology, Akhbar Elyom Academy, Giza (Egypt)

    2016-11-15

    Carbon nanotubes (CNTs) have become the backbone of modern industries, including lightweight and heavy-duty industrial applications. Chemical vapor deposition (CVD) is considered as the most common method used to synthesize high yield CNTs. This work aims to develop the traditional CVD for the mass production of more economical CNTs, meeting the growing CNT demands among consumers by increasing the number of three particular reactors. All reactors housing is connected by small channels to provide the heat exchange possibility between the chambers, thereby decreasing synthesis time and reducing heat losses inside the ceramic body of the furnace. The novel design is simple and cheap with a lower reacting time and heat loss compared with the traditional CVD design. Methane, hydrogen, argon, and catalyzed iron nanoparticles were used as a carbon source and catalyst during the synthesis process. In addition, CNTs were produced using only a single quartz tube for comparison. The produced samples were examined using XRD, TEM, SEM, FTIR, and TGA. The results showed that the yield of CNTs increases by 287 % compared with those synthesized with a single quartz tube. Moreover, the total synthesis time of CNTs decreases by 37 % because of decreased heat leakage.

  17. Investigation of CVD graphene topography and surface electrical properties

    International Nuclear Information System (INIS)

    Wang, Rui; Pearce, Ruth; Gallop, John; Patel, Trupti; Pollard, Andrew; Hao, Ling; Zhao, Fang; Jackman, Richard; Klein, Norbert; Zurutuza, Amaia

    2016-01-01

    Combining scanning probe microscopy techniques to characterize samples of graphene, a selfsupporting, single atomic layer hexagonal lattice of carbon atoms, provides far more information than a single technique can. Here we focus on graphene grown by chemical vapour deposition (CVD), grown by passing carbon containing gas over heated copper, which catalyses single atomic layer growth of graphene on its surface. To be useful for applications the graphene must be transferred onto other substrates. Following transfer it is important to characterize the CVD graphene. We combine atomic force microscopy (AFM) and scanning Kelvin probe microscopy (SKPM) to reveal several properties of the transferred film. AFM alone provides topographic information, showing ‘wrinkles’ where the transfer provided incomplete substrate attachment. SKPM measures the surface potential indicating regions with different electronic properties for example graphene layer number. By combining AFM and SKPM local defects and impurities can also be observed. Finally, Raman spectroscopy can confirm the structural properties of the graphene films, such as the number of layers and level of disorder, by observing the peaks present. We report example data on a number of CVD samples from different sources. (paper)

  18. Chemical vapor deposition of SiC on C-C composites as plasma facing materials for fusion application

    International Nuclear Information System (INIS)

    Kim, W. J.; Lee, M. Y.; Park, J. Y.; Hong, G. W.; Kim, J. I.; Choi, D. J.

    2000-01-01

    Because of the low activation and excellent mechanical properties at elevated temperatures, carbon-fiber reinforced carbon(C-C) composites have received much attention for plasma facing materials for fusion reactor and high-temperature structural applications such as aircrafts and space vehicles. These proposed applications have been frustrated by the lack of resistance to hydrogen erosion and oxidation on exposure to ambient oxidizing conditions at high temperature. Although Silicon Carbide (SiC) has shown excellent properties as an effective erosion-and oxidation-protection coating, many cracks are developed during fabrication and thermal cycles in use due to the Coefficients of Thermal Expansion(CTE) mismatch between SiC and C-C composite. In this study, we adopted a pyrolitic carbon as an interlayer between SiC and C-C substrate in order to minimize the CTE mismatch. The oxidation-protection performance of this composite was investigated as well

  19. CVD - main concepts, applications and restrictions

    International Nuclear Information System (INIS)

    Bliznakovska, B.; Milosevski, M.; Krawczynski, S.; Meixner, C.; Koetter, H.R.

    1993-01-01

    Despite of the fact that the existing literature covering the last two decades is plentiful with data related to CVD, this document is an attempt to provide to a reader a concise information about the nature of CVD technique at production of technologically important materials as well as to point at special references. The text is devided into three separate sections. The first section, The Main Features of CVD, is intended to give a complete comprehensive picture of the CVD technique through process description and characterization. The basic principles of thermodynamics, CVD chemical reactions classification, CVD chemical kinetics aspects and physics of CVD (with particular attention on the gas-flow phenomena) are included. As an additional aspect, in CVD unavoidable aspect however, the role of the coating/substrate compatibility on the overall process was outlined. The second section, CVD Equipment, concerns on the pecularities of the complete CVD unit pointing out the individual significances of the separate parts, i.e. pumping system, reactor chamber, control system. The aim of this section is to create to a reader a basic understanding of the arising problems but connected to be actual CVD performance. As a final goal of this review the reader's attention is turned upon the CVD applications for production of an up-to-date important class of coatings such as multilayer coatings. (orig.)

  20. X-ray absorption study of silicon carbide thin film deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Monaco, G.; Suman, M.; Garoli, D.; Pelizzo, M.G.; Nicolosi, P.

    2011-01-01

    Silicon carbide (SiC) is an important material for several applications ranging from electronics to Extreme UltraViolet (EUV) space optics. Crystalline cubic SiC (3C-SiC) has a wide band gap (near 2.4 eV) and it is a promising material to be used in high frequency and high energetic electronic devices. We have deposited, by means of pulsed laser deposition (PLD), different SiC films on sapphire and silicon substrates both at mild (650 o C) and at room temperature. The resulted films have different structures such as: highly oriented polycrystalline, polycrystalline and amorphous which have been studied by means of X-ray absorption spectroscopy (XAS) near the Si L 2,3 edge and the C K edge using PES (photoemission spectroscopy) for the analysis of the valence bands structure and film composition. The samples obtained by PLD have shown different spectra among the grown films, some of them showing typical 3C-SiC absorption structure, but also the presence of some Si-Si and graphitic bonds.

  1. Chemical vapor composites (CVC)

    International Nuclear Information System (INIS)

    Reagan, P.

    1993-01-01

    The Chemical Vapor Composite, CVC trademark , process fabricates composite material by simply mixing particles (powders and or fibers) with CVD reactants which are transported and co-deposited on a hot substrate. A key feature of the CVC process is the control provided by varing the density, geometry (aspect ratio) and composition of the entrained particles in the matrix material, during deposition. The process can fabricate composite components to net shape (± 0.013 mm) on a machined substrate in a single step. The microstructure of the deposit is described and several examples of different types of particles in the matrix are illustrated. Mechanical properties of SiC composite material fabricated with SiC powder and fiber will be presented. Several examples of low cost ceramic composite products will be shown. (orig.)

  2. Cutting characteristics of dental diamond burs made with CVD technology Características de corte de pontas odontológicas diamantadas obtidas pela tecnologia CVD

    Directory of Open Access Journals (Sweden)

    Luciana Monti Lima

    2006-04-01

    Full Text Available The aim of this study was to determine the cutting ability of chemical vapor deposition (CVD diamond burs coupled to an ultrasonic dental unit handpiece for minimally invasive cavity preparation. One standard cavity was prepared on the mesial and distal surfaces of 40 extracted human third molars either with cylindrical or with spherical CVD burs. The cutting ability was compared regarding type of substrate (enamel and dentin and direction of handpiece motion. The morphological characteristics, width and depth of the cavities were analyzed and measured using scanning electron micrographs. Statistical analysis using the Kruskal-Wallis test (p O objetivo deste estudo foi determinar a habilidade de corte das pontas de diamante obtidas pelo processo de deposição química a vapor (CVD associadas ao aparelho de ultra-som no preparo cavitário minimamente invasivo. Uma cavidade padronizada foi preparada nas faces mesial e distal de 40 terceiros molares, utilizando-se pontas de diamante CVD cilíndrica e esférica. A habilidade de corte foi comparada quanto ao tipo de substrato (esmalte e dentina e quanto à direção do movimento realizado com a ponta. As características morfológicas, a largura e profundidade das cavidades foram analisadas e medidas em microscopia eletrônica de varredura. A análise estatística pelo teste de Kruskal-Wallis (p < 0,05 revelou que a largura e profundidade das cavidades foram significativamente maiores em dentina. Cavidades mais largas foram obtidas quando se utilizou a ponta de diamante CVD cilíndrica, e mais profundas quando a ponta esférica foi empregada. A direção do movimento da ponta não influenciou o tamanho das cavidades, sendo os cortes produzidos pelas pontas de diamante CVD precisos e conservadores.

  3. Structurally controlled deposition of silicon onto nanowires

    Science.gov (United States)

    Wang, Weijie; Liu, Zuqin; Han, Song; Bornstein, Jonathan; Stefan, Constantin Ionel

    2018-03-20

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  4. Comparative study of dlc coatings by pvd against cvd technique on textile dents

    International Nuclear Information System (INIS)

    Malik, M.; Alam, S.; Iftikhar, F.

    2007-01-01

    Diamond like Carbon (DLC) film is a hard amorphous carbon hydride film formed by Physical or Chemical vapor deposition (PVD or CVD) techniques. Due to its unique properties especially high hardness, lower coefficient of friction and lubricious nature, these coatings are not only used to extend the life of cutting tools but also for non cutting applications such as for forming dies, molds and on many functional parts of textile. In the present work two techniques were employed i.e. PVD and CVD for deposition of diamond like carbon film on textile dents. These dents are used as thread guider in high speed weaving machine. The measurement of coating thickness, adhesion, hardness and roughness values indicates that overall properties of DLC coating developed by PVD LARC technology reduces abrasion and increases the workability and durability of textile dents as well as suppress the need of lubricants. (author)

  5. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  6. Characterization of SiC based composite materials by the infiltration of ultra-fine SiC particles

    International Nuclear Information System (INIS)

    Lee, J.K.; Lee, S.P.; Byun, J.H.

    2010-01-01

    The fabrication route of SiC materials by the complex compound of ultra-fine SiC particles and oxide additive materials has been investigated. Especially, the effect of additive composition ratio on the characterization of SiC materials has been examined. The characterization of C/SiC composites reinforced with plain woven carbon fabrics was also investigated. The fiber preform for C/SiC composites was prepared by the infiltration of complex mixture into the carbon fabric structure. SiC based composite materials were fabricated by a pressure assisted liquid phase sintering process. SiC materials possessed a good density higher than about 3.0 Mg/m 3 , accompanying the creation of secondary phase by the chemical reaction of additive materials. C/SiC composites also represented a dense morphology in the intra-fiber bundle region, even if this material had a sintered density lower than that of monolithic SiC materials. The flexural strength of SiC materials was greatly affected by the composition ratio of additive materials.

  7. Friction Stir Processing of Copper-Coated SiC Particulate-Reinforced Aluminum Matrix Composite

    Directory of Open Access Journals (Sweden)

    Chih-Wei Huang

    2018-04-01

    Full Text Available In the present work, we proposed a novel friction stir processing (FSP to produce a locally reinforced aluminum matrix composite (AMC by stirring copper-coated SiC particulate reinforcement into Al6061 alloy matrix. Electroless-plating process was applied to deposit the copper surface coating on the SiC particulate reinforcement for the purpose of improving the interfacial adhesion between SiC particles and Al matrix. The core-shell SiC structure provides a layer for the atomic diffusion between aluminum and copper to enhance the cohesion between reinforcing particles and matrix on one hand, the dispersion of fine copper in the Al matrix during FSP provides further dispersive strengthening and solid solution strengthening, on the other hand. Hardness distribution and tensile results across the stir zone validated the novel concept in improving the mechanical properties of AMC that was realized via FSP. Optical microscope (OM and Transmission Electron Microscopy (TEM investigations were conducted to investigate the microstructure. Energy dispersive spectrometer (EDS, electron probe micro-analyzer (EPMA, and X-ray diffraction (XRD were explored to analyze the atomic inter-diffusion and the formation of intermetallic at interface. The possible strengthening mechanisms of the AMC containing Cu-coated SiC particulate reinforcement were interpreted. The concept of strengthening developed in this work may open a new way of fabricating of particulate reinforced metal matrix composites.

  8. Preparation of SiC thin films by ion beam technology and PECVD

    International Nuclear Information System (INIS)

    Chen Changqing; Ren Congxin; Yang Lixin; Yan Jinlong; Zheng Zhihong; Zhou Zuyao; Chen Ping; Liu Xianghuai; Chen Xueliang

    1998-01-01

    The formation of β-SiC buried layers in p-type Si by ion beam methods is reported and a comparison of the results obtained under different experimental conditions is made. The preparation of amorphous SiC thin films by IBED is presented and the enhanced deposition of Xe + is found superior to that of Ar + . The work of synthesizing hydrogenated amorphous SiC films by RIBS and RIBAD is described with a discussion on the dependence of some physical parameters on the partial pressure ratio pCH 4 /pAr. Finally given is a brief introduction to a high quality α-SiC:H film which is prepared by PECVD and can exhibit green luminescence at room temperature

  9. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  10. Conformal Thin Film Packaging for SiC Sensor Circuits in Harsh Environments

    Science.gov (United States)

    Scardelletti, Maximilian C.; Karnick, David A.; Ponchak, George E.; Zorman, Christian A.

    2011-01-01

    In this investigation sputtered silicon carbide annealed at 300 C for one hour is used as a conformal thin film package. A RF magnetron sputterer was used to deposit 500 nm silicon carbide films on gold metal structures on alumina wafers. To determine the reliability and resistance to immersion in harsh environments, samples were submerged in gold etchant for 24 hours, in BOE for 24 hours, and in an O2 plasma etch for one hour. The adhesion strength of the thin film was measured by a pull test before and after the chemical immersion, which indicated that the film has an adhesion strength better than 10(exp 8) N/m2; this is similar to the adhesion of the gold layer to the alumina wafer. MIM capacitors are used to determine the dielectric constant, which is dependent on the SiC anneal temperature. Finally, to demonstrate that the SiC, conformal, thin film may be used to package RF circuits and sensors, an LC resonator circuit was fabricated and tested with and without the conformal SiC thin film packaging. The results indicate that the SiC coating adds no appreciable degradation to the circuits RF performance. Index Terms Sputter, silicon carbide, MIM capacitors, LC resonators, gold etchants, BOE, O2 plasma

  11. The effect of percentage carbonon the CVD coating of plain carbon ...

    African Journals Online (AJOL)

    Two steels En 3 and En 39 were given a TiC-TiN CVD coating in the carburized and uncarburized conditions. The continuity of the coatings and their adherance to the substrate were examined. The thickness of the deposited coatings were also measured, their adherence to the substrate and their thickness was off ected by ...

  12. Computation of flow and thermal fields in a model CVD reactor

    Indian Academy of Sciences (India)

    Mixing of coaxial jets within a tube in the presence of blockage has been numerically studied. This configuration is encountered during the modelling of flow and heat transfer in CVD (chemical vapour deposition) reactors. For the conditions prevailing in the reactor, the Reynolds numbers are low and flow can be taken to be ...

  13. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-01-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte-Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometer for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. Also other neutron related applications have been suggested. (author)

  14. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-07-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation-hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometry for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. (author)

  15. Laser-Directed CVD 3D Printing System for Refractory Metal Propulsion Hardware, Phase II, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — In this work, Ultramet is developing a three-dimensional (3D) laser-directed chemical vapor deposition (CVD) additive manufacturing system to build free-form...

  16. Wear Mechanism of Chemical Vapor Deposition (CVD) Carbide Insert in Orthogonal Cutting Ti-6Al-4V ELI at High Cutting Speed

    International Nuclear Information System (INIS)

    Gusri, A. I.; Che Hassan, C. H.; Jaharah, A. G.

    2011-01-01

    The performance of Chemical Vapor Deposition (CVD) carbide insert with ISO designation of CCMT 12 04 04 LF, when turning titanium alloys was investigated. There were four layers of coating materials for this insert i.e.TiN-Al2O3-TiCN-TiN. The insert performance was evaluated based on the insert's edge resistant towards the machining parameters used at high cutting speed range of machining Ti-6Al-4V ELI. Detailed study on the wear mechanism at the cutting edge of CVD carbide tools was carried out at cutting speed of 55-95 m/min, feed rate of 0.15-0.35 mm/rev and depth of cut of 0.10-0.20 mm. Wear mechanisms such as abrasive and adhesive were observed on the flank face. Crater wear due to diffusion was also observed on the rake race. The abrasive wear occurred more at nose radius and the fracture on tool were found at the feed rate of 0.35 mm/rev and the depth of cut of 0.20 mm. The adhesion wear takes place after the removal of the coating or coating delaminating. Therefore, adhesion or welding of titanium alloy onto the flank and rake faces demonstrates a strong bond at the workpiece-tool interface.

  17. Optimization of CVD parameters for long ZnO NWs grown on ITO

    Indian Academy of Sciences (India)

    The optimization of chemical vapour deposition (CVD) parameters for long and vertically aligned (VA) ZnO nanowires (NWs) were investigated. Typical ZnO NWs as a single crystal grown on indium tin oxide (ITO)-coated glass substrate were successfully synthesized. First, the conducted side of ITO–glass substrate was ...

  18. Tribology of silicon-thin-film-coated SiC ceramics and the effects of high energy ion irradiation

    International Nuclear Information System (INIS)

    Kohzaki, Masao; Noda, Shoji; Doi, Harua

    1990-01-01

    The sliding friction coefficients and specific wear of SiC ceramics coated with a silicon thin film (Si/SiC) with and without subsequent Ar + irradiation against a diamond pin were measured with a pin-on-disk tester at room temperature in laboratory air of approximately 50% relative humidity without oil lubrication for 40 h. The friction coefficient of Ar + -irradiated Si/SiC was about 0.05 with a normal load of 9.8 N and remained almost unchanged during the 40 h test, while that of SiC increased from 0.04 to 0.12 during the test. The silicon deposition also reduced the specific wear of SiC to less than one tenth of that of the uncoated SiC. Effectively no wear was detected in Si/SiC irradiated to doses of over 2x10 16 ions cm -2 . (orig.)

  19. FY1995 development of a clean CVD process by evaluation and control of gas phase nucleation phenomena; 1995 nendo kisokaku seisei gensho no hyoka to seigyo ni yoru clean CVD process no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    The purpose of this study is to develop a high-rate and clean chemical vapor deposition (CVD) process as a breakthrough technique to overcome the problems that particles generated in the gas phase during CVD process for preparation of functional thin films cause reduced product yield and deterioration of the films. In the CVD process proposed here, reactant gas and generated particles are electrically charged to control the motion of them with an electric field. In this study, gas-phase nucleation phenomena are evaluated both theoretically and experimentally. A high-rate, ionized CVD method is first developed, in which reactant gas and generated particles are charged with negative ions generated from a radioisotope source and the UV/photoelectron method, and the motion of the charged gas and particles is controlled with an electric field. Charging and transport processes of fine particles are then investigated experimentally and theoretically to develop a clean CVD method in which generated particles are removed with the electric forces. As a result, quantitative evaluation of the charging and transport process was made possible. We also developed devices for measuring the size distribution and concentration of fine particles in low pressure gas such as those found in plasma CVD processes. In addition, numerical simulation and experiments in this study for a TEOS/O{sub 3} CVD process to prepare thin films could determine reaction rates which have not been known so far and give information on selecting good operation conditions for the process. (NEDO)

  20. Size modulation of nanocrystalline silicon embedded in amorphous silicon oxide by Cat-CVD

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Godavarthi, S.; Ortega, M.; Sanchez, V.; Velumani, S.; Mallick, P.S.

    2011-01-01

    Different issues related to controlling size of nanocrystalline silicon (nc-Si) embedded in hydrogenated amorphous silicon oxide (a-SiO x :H) deposited by catalytic chemical vapor deposition (Cat-CVD) have been reported. Films were deposited using tantalum (Ta) and tungsten (W) filaments and it is observed that films deposited using tantalum filament resulted in good control on the properties. The parameters which can affect the size of nc-Si domains have been studied which include hydrogen flow rate, catalyst and substrate temperatures. The deposited samples are characterized by X-ray diffraction, HRTEM and micro-Raman spectroscopy, for determining the size of the deposited nc-Si. The crystallite formation starts for Ta-catalyst around the temperature of 1700 o C.

  1. Development Status of a CVD System to Deposit Tungsten onto UO2 Powder via the WCI6 Process

    Science.gov (United States)

    Mireles, O. R.; Kimberlin, A.; Broadway, J.; Hickman, R.

    2014-01-01

    Nuclear Thermal Propulsion (NTP) is under development for deep space exploration. NTP's high specific impulse (> 850 second) enables a large range of destinations, shorter trip durations, and improved reliability. W-60vol%UO2 CERMET fuel development efforts emphasize fabrication, performance testing and process optimization to meet service life requirements. Fuel elements must be able to survive operation in excess of 2850 K, exposure to flowing hydrogen (H2), vibration, acoustic, and radiation conditions. CTE mismatch between W and UO2 result in high thermal stresses and lead to mechanical failure as a result UO2 reduction by hot hydrogen (H2) [1]. Improved powder metallurgy fabrication process control and mitigated fuel loss can be attained by coating UO2 starting powders within a layer of high density tungsten [2]. This paper discusses the advances of a fluidized bed chemical vapor deposition (CVD) system that utilizes the H2-WCl6 reduction process.

  2. Hydrogen termination of CVD diamond films by high-temperature annealing at atmospheric pressure

    NARCIS (Netherlands)

    Seshan, V.; Ullien, D.; Castellanos-Gomez, A.; Sachdeva, S.; Murthy, D.H.K.; Savenije, T.J.; Ahmad, H.A.; Nunney, T.S.; Janssens, S.D.; Haenen, K.; Nesládek, M.; Van der Zant, H.S.J.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2013-01-01

    A high-temperature procedure to hydrogenate diamond films using molecular hydrogen at atmospheric pressure was explored. Undoped and doped chemical vapour deposited (CVD) polycrystalline diamond films were treated according to our annealing method using a H2 gas flow down to ?50 ml/min (STP) at

  3. Chemical Vapour Deposition of Large Area Graphene

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget

    Chemical Vapor Deposition (CVD) is a viable technique for fabrication of large areas of graphene. CVD fabrication is the most prominent and common way of fabricating graphene in industry. In this thesis I have attempted to optimize a growth recipe and catalyst layer for CVD fabrication of uniform......, single layer, and high carrier mobility large area graphene. The main goals of this work are; (1) explore the graphene growth mechanics in a low pressure cold-wall CVD system on a copper substrate, and (2) optimize the process of growing high quality graphene in terms of carrier mobility, and crystal...... structure. Optimization of a process for graphene growth on commercially available copper foil is limited by the number of aluminium oxide particles on the surface of the catalyst. By replacing the copper foil with a thin deposited copper film on a SiO2/Si or c-plane sapphire wafer the particles can...

  4. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  5. Diameter Tuning of Single-Walled Carbon Nanotubes by Diffusion Plasma CVD

    Directory of Open Access Journals (Sweden)

    Toshiaki Kato

    2011-01-01

    Full Text Available We have realized a diameter tuning of single-walled carbon nanotubes (SWNTs by adjusting process gas pressures with plasma chemical vapor deposition (CVD. Detailed photoluminescence measurements reveal that the diameter distribution of SWNTs clearly shifts to a large-diameter region with an increase in the pressure during plasma CVD, which is also confirmed by Raman scattering spectroscopy. Based on the systematical investigation, it is found that the main diameter of SWNTs is determined by the pressure during the heating in an atmosphere of hydrogen and the diameter distribution is narrowed by adjusting the pressure during the plasma generation. Our results could contribute to an application of SWNTs to high-performance thin-film transistors, which requires the diameter-controlled semiconductor-rich SWNTs.

  6. Natural and CVD type diamond detectors as dosimeters in hadrontherapy applications

    International Nuclear Information System (INIS)

    Cirrone, G.A.P.; Cuttone, G.; Rafaele, L.; Sabini, M.G.; De Angelis, C.; Onori, S.; Pacilio, M.; Bucciolini, M.; Bruzzi, M.; Sciortino, S.

    2003-01-01

    Diamond is potentially a suitable material for use as radiation dosimeter; the wide band gap results in low dark currents and low sensitivity to visible light, the high carrier mobility can give rapid response, the very high density of strong bonds in the crystal structure make diamond very resistant to radiation damage; moreover it is tissue equivalent. The more recent advances in the synthesis of polycrystalline diamond by chemical vapour deposition (CVD) techniques have allowed the synthesis of material with electronic properties suitable for dosimetric application. In this paper we will report the results obtained in the study of the response of a natural diamond dosimeter and a CVD one irradiated with 62 AMeV proton beams to demonstrate their possible application in protontherapy

  7. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  8. Dependence of silicon carbide coating properties on deposition parameters: preliminary report

    International Nuclear Information System (INIS)

    Lauf, R.J.; Braski, D.N.

    1980-05-01

    Fuel particles for the High-Temperature Gas-Cooled Reactor (HTGR) contain a layer of pyrolytic silicon carbide, which acts as a pressure vessel and provides containment of metallic fission products. The silicon carbide (SiC) is deposited by the thermal decomposition of methyltrichlorosilane (CH 3 SiCl 3 or MTS) in an excess of hydrogen. The purpose of the current study is to determine how the deposition variables affect the structure and properties of the SiC layer

  9. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  10. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  11. Bone repair after osteotomy with diamond burs and CVD ultrasonic tips – histological study in rats

    OpenAIRE

    Matuda, Fábio S.; Pagani, Clovis; Miranda, Carolina B.; Crema, Aline A. S.; Brentel, Aline S.; Carvalho, Yasmin R.

    2010-01-01

    This study histologically evaluated the behavior of bone tissue of rats submitted to osteotomy with conventional diamond burs in high speed and a new ultrasonic diamond tips system (CVD – Chemical Vapor Deposition), at different study periods. The study was conducted on 24 Wistar rats. Osteotomy was performed on the posterior paws of each rat, with utilization of diamond burs in high speed under thorough water cooling at the right paw, and CVD tips at the left paw. Animals were killed a...

  12. Effect of Reactant Concentration on the Microstructure of SiC Nano wires Grown In Situ within SiC Fiber Preforms

    International Nuclear Information System (INIS)

    Kim, Weon Ju; Kang, Seok Min; Park, Ji Yeon; Ryu, Woo Seog

    2006-01-01

    Silicon carbide fiber-reinforced silicon carbide matrix (SiC f /SiC) composites are considered as advanced materials for control rods and other in-core components of high-temperature gas cooled reactors. Although the carbon fiber-reinforced carbon matrix (C f /C) composites are more mature and have advantages in cost, manufacturability and some thermomechanical properties, the SiC f /SiC composites have a clear advantage in irradiation stability, specifically a lower level of swelling and retention of mechanical properties. This offers a lifetime component for control rod application to HTGRs while the Cf/C composites would require 2-3 replacements over the reactor lifetime. In general, the chemical vapor infiltration (CVI) technique has been used most widely to produce SiC f /SiC composites. Although the technique produces a highly pure SiC matrix, it requires a long processing time and inevitably contains large interbundle pores. The present authors have recently developed 'whisker growing-assisted process,' in which one-dimensional SiC nano structures with high aspect ratios such as whiskers, nano wires and nano rods are introduced into the fiber preform before the matrix infiltration step. This novel method can produce SiC f /SiC composites with a lower porosity and an uniform distribution of pores when compared with the conventional CVI. This would be expected to increase mechanical and thermal properties of the SiC f /SiC composites. In order to take full advantage of the whisker growing strategy, however, a homogeneous growth of long whiskers is required. In this study, we applied the atmospheric pressure CVI process without metallic catalysts for the growth of SiC nano wires within stacked SiC fiber fabrics. We focused on the effect of the concentration of a reactant gas on the growth behavior and microstructures of the SiC nano wires and discussed a controlling condition for the homogenous growth of long SiC nano wires

  13. Technology roadmap for development of SiC sensors at plasma processes laboratory

    Directory of Open Access Journals (Sweden)

    Mariana Amorim Fraga

    2010-08-01

    Full Text Available Recognizing the need to consolidate the research and development (R&D activities in microelectronics fields in a strategic manner, the Plasma Processes Laboratory of the Technological Institute of Aeronautics (LPP-ITA has established a technology roadmap to serve as a guide for activities related to development of sensors based on silicon carbide (SiC thin films. These sensors have also potential interest to the aerospace field due to their ability to operate in harsh environment such as high temperatures and intense radiation. In the present paper, this roadmap is described and presented in four main sections: i introduction, ii what we have already done in the past, iii what we are doing in this moment, and iv our targets up to 2015. The critical technological issues were evaluated for different categories: SiC deposition techniques, SiC processing techniques for sensors fabrication and sensors characterization. This roadmap also presents a shared vision of how R&D activities in microelectronics should develop over the next five years in our laboratory.

  14. SiC-BASED HYDROGEN SELECTIVE MEMBRANES FOR WATER-GAS-SHIFT REACTION; F

    International Nuclear Information System (INIS)

    Paul K.T. Liu

    2001-01-01

    This technical report summarizes our activities conducted in Yr II. In Yr I we successfully demonstrated the feasibility of preparing the hydrogen selective SiC membrane with a chemical vapor deposition (CVD) technique. In addition, a SiC macroporous membrane was fabricated as a substrate candidate for the proposed SiC membrane. In Yr II we have focused on the development of a microporous SiC membrane as an intermediate layer between the substrate and the final membrane layer prepared from CVD. Powders and supported thin silicon carbide films (membranes) were prepared by a sol-gel technique using silica sol precursors as the source of silicon, and phenolic resin as the source of carbon. The powders and films were prepared by the carbothermal reduction reaction between the silica and the carbon source. The XRD analysis indicates that the powders and films consist of SiC, while the surface area measurement indicates that they contain micropores. SEM and AFM studies of the same films also validate this observation. The powders and membranes were also stable under different corrosive and harsh environments. The effects of these different treatments on the internal surface area, pore size distribution, and transport properties, were studied for both the powders and the membranes using the aforementioned techniques and XPS. Finally the SiC membrane materials are shown to have satisfactory hydrothermal stability for the proposed application. In Yr III, we will focus on the demonstration of the potential benefit using the SiC membrane developed from Yr I and II for the water-gas-shift (WGS) reaction

  15. Carbon surface diffusion and SiC nanocluster self-ordering

    International Nuclear Information System (INIS)

    Pezoldt, J.; Trushin, Yu.V.; Kharlamov, V.S.; Schmidt, A.A.; Cimalla, V.; Ambacher, O.

    2006-01-01

    The process of the spatial ordering of SiC nanoclusters on the step edges on Si surfaces was studied by means of multi-scale computer simulation. The evolution of cluster arrays on an ideal flat surface and surfaces with terraces of various widths was performed by kinetic Monte Carlo (KMC) simulations based on quantitative studies of potential energy surfaces (PES) by molecular dynamics (MD). PES analysis revealed that certain types of steps act as strong trapping centres for both Si and C adatoms stimulating clusters nucleation. Spatial ordering of the SiC nanoclusters at the terrace edges can be achieved if the parameters of the growth process (substrate temperature, carbon flux) and substrate (steps direction and terrace widths) are adjusted to the surface morphology. Temperature ranges for growth regimes with and without formation of cluster chains were determined. Cluster size distributions and the dependence of optimal terrace width for self ordering on the deposition parameters were obtained

  16. SIC Industriemonitor najaar 2003

    NARCIS (Netherlands)

    Brouwer, N.; de Nooij, M.; Pomp, M.

    2003-01-01

    In juni 2000 publiceerde de Stichting voor Economisch Onderzoek (SEO) van de Universiteit van Amsterdam in opdracht van Stichting voor Industriebeleid en Communicatie (SIC) een ontwerp voor een SIC industriemonitor met een voorstel voor de inhoud en de structuur van een dergelijke monitor. Op dat

  17. Designing the fiber volume ratio in SiC fiber-reinforced SiC ceramic composites under Hertzian stress

    International Nuclear Information System (INIS)

    Lee, Kee Sung; Jang, Kyung Soon; Park, Jae Hong; Kim, Tae Woo; Han, In Sub; Woo, Sang Kuk

    2011-01-01

    Highlights: → Optimum fiber volume ratios in the SiC/SiC composite layers were designed under Hertzian stress. → FEM analysis and spherical indentation experiments were undertaken. → Boron nitride-pyrocarbon double coatings on the SiC fiber were effective. → Fiber volume ratio should be designed against flexural stress. -- Abstract: Finite element method (FEM) analysis and experimental studies are undertaken on the design of the fiber volume ratio in silicon carbide (SiC) fiber-reinforced SiC composites under indentation contact stresses. Boron nitride (BN)/Pyrocarbon (PyC) are selected as the coating materials for the SiC fiber. Various SiC matrix/coating/fiber/coating/matrix structures are modeled by introducing a woven fiber layer in the SiC matrix. Especially, this study attempts to find the optimum fiber volume ratio in SiC fiber-reinforced SiC ceramics under Hertzian stress. The analysis is performed by changing the fiber type, fiber volume ratio, coating material, number of coating layers, and stacking sequence of the coating layers. The variation in the stress for composites in relation to the fiber volume ratio in the contact axial or radial direction is also analyzed. The same structures are fabricated experimentally by a hot process, and the mechanical behaviors regarding the load-displacement are evaluated using the Hertzian indentation method. Various SiC matrix/coating/fiber/coating/matrix structures are fabricated, and mechanical characterization is performed by changing the coating layer, according to the introduction (or omission) of the coating layer, and the number of woven fiber mats. The results show that the damage mode changes from Hertzian stress to flexural stress as the fiber volume ratio increases in composites because of the decreased matrix volume fraction, which intensifies the radial crack damage. The result significantly indicates that the optimum fiber volume ratio in SiC fiber-reinforced SiC ceramics should be designed for

  18. Polymer Adsorption on Graphite and CVD Graphene Surfaces Studied by Surface-Specific Vibrational Spectroscopy.

    Science.gov (United States)

    Su, Yudan; Han, Hui-Ling; Cai, Qun; Wu, Qiong; Xie, Mingxiu; Chen, Daoyong; Geng, Baisong; Zhang, Yuanbo; Wang, Feng; Shen, Y R; Tian, Chuanshan

    2015-10-14

    Sum-frequency vibrational spectroscopy was employed to probe polymer contaminants on chemical vapor deposition (CVD) graphene and to study alkane and polyethylene (PE) adsorption on graphite. In comparing the spectra from the two surfaces, it was found that the contaminants on CVD graphene must be long-chain alkane or PE-like molecules. PE adsorption from solution on the honeycomb surface results in a self-assembled ordered monolayer with the C-C skeleton plane perpendicular to the surface and an adsorption free energy of ∼42 kJ/mol for PE(H(CH2CH2)nH) with n ≈ 60. Such large adsorption energy is responsible for the easy contamination of CVD graphene by impurity in the polymer during standard transfer processes. Contamination can be minimized with the use of purified polymers free of PE-like impurities.

  19. New deposition processes for the growth of oxide and nitride thin films

    International Nuclear Information System (INIS)

    Apen, E.A.; Atagi, L.M.; Barbero, R.S.; Espinoza, B.F.; Hubbard, K.M.; Salazar, K.V.; Samuels, J.A.; Smith, D.C.; Hoffman, D.M.

    1998-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The goal of this effort is to study the use of homoleptic metal amido compounds as precursors for chemical vapor deposition (CVD). The amides offer potential for the deposition of a variety of important materials at low temperatures. The establishment of these precursor compounds will enhance the ability to exploit the properties of advanced materials in numerous coatings applications. Experiments were performed to study the reactivity of Sn[NMe 2 ] 4 with oxygen. The data demonstrated that gas-phase insertion of oxygen into the Sn-N bond, leading to a reactive intermediate, plays an important role in tin oxide deposition. Several CVD processes for technologically important materials were developed using the amido precursor complexes. These included the plasma enhanced CVD of TiN and Zr 3 N 4 , and the thermal CVD of GaN and AlN. Quality films were obtained in each case, demonstrating the potential of the amido compounds as CVD precursors

  20. CVD diamond sensor for UV-photon detection

    CERN Document Server

    Periale, L; Gervino, G; Lamarina, A M; Palmisano, C; Periale, R; Picchi, P

    2012-01-01

    A new generation of UV photosensors, based on single crystal Chemical Vapour Deposition (CVD) diamonds to work optically coupled with large volume two-phase liquid-Ar (LAr) or liquid-Xe (LXe) detectors nowadays under design for the next generation of WIMPs experiments, is under development. Preliminary tests and first calibrations show these devices can have better performance than the existing UV sensitive detectors (higher photosensitivity and better signal-to-noise ratio). I-V characteristics, dark current measurements, linearity response to X-ray irradiation, and alpha-particle energy resolution are reported and discussed. (C) 2011 Elsevier B.V. All rights reserved.

  1. Estimation of magnetic relaxation property for CVD processed YBCO-coated conductors

    International Nuclear Information System (INIS)

    Takahashi, Y.; Kiuchi, M.; Otabe, E.S.; Matsushita, T.; Shikimachi, K.; Watanabe, T.; Kashima, N.; Nagaya, S.

    2010-01-01

    Ion Beam Assist Deposition/Chemical Vapor Deposition(IBAD/CVD)-processed YBCO-coated conductors with high critical current density J c at high magnetic fields are expected to be applied to superconducting equipments such as superconducting magnetic energy storage (SMES). For application to superconducting magnet in SMES one of the most important properties for superconductors is the relaxation property of superconducting current. In this paper, the relaxation property is investigated for IBAD/CVD-processed YBCO-coated conductors of the superconducting layer in the range of 0.18-0.90 μm. This property can be quantitatively characterized by the apparent pinning potential, U 0 *. It is found that U 0 * takes a smaller value due to the two-dimensional pinning mechanism at high magnetic fields for conductor with thinner superconducting layer. Although U 0 * decreases with increasing thickness at low magnetic fields at 20 K, it increases at high magnetic fields. The results are theoretically explained by the model of the flux creep and flow based on the dimensionality of flux pinning. Scaling analysis is examined for the dependence of U 0 * on the magnetic field, temperature and the layer thickness.

  2. Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.

    Science.gov (United States)

    Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo

    2013-09-01

    We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.

  3. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  4. Preparación de tamices moleculares de carbono por CVD

    OpenAIRE

    Manso, R.; Pajares, J. A.; Albiniak, A.; Broniek, E.; Siemieniewska, T.

    2001-01-01

    Carbon molecular sieves (CMS) have been prepared by chemical vapour deposition (CVD) of carbon from the pyrolysis of benzene molecules on activated carbon surfaces. The pyrolysis of benzene at temperatures in the range 650-850 ºC restricts the accessibility of the micropores due to the creation of constrictions on the microporous network. Temperatures higher than 850 ºC (temperature of carbonisation) add difficulties due to decomposition and sinterization processes. Low flows of nitrogen (30 ...

  5. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  6. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  7. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  8. Fast method for reactor and feature scale coupling in ALD and CVD

    Science.gov (United States)

    Yanguas-Gil, Angel; Elam, Jeffrey W.

    2017-08-08

    Transport and surface chemistry of certain deposition techniques is modeled. Methods provide a model of the transport inside nanostructures as a single-particle discrete Markov chain process. This approach decouples the complexity of the surface chemistry from the transport model, thus allowing its application under general surface chemistry conditions, including atomic layer deposition (ALD) and chemical vapor deposition (CVD). Methods provide for determination of determine statistical information of the trajectory of individual molecules, such as the average interaction time or the number of wall collisions for molecules entering the nanostructures as well as to track the relative contributions to thin-film growth of different independent reaction pathways at each point of the feature.

  9. Charge transport and X-ray dosimetry performance of a single crystal CVD diamond device fabricated with pulsed laser deposited electrodes

    International Nuclear Information System (INIS)

    Abdel-Rahman, M.A.E.; Abdel-Rahman, M.A.E.; Lohstroh, A.; Bryant, P.; Jayawardena, I.

    2013-01-01

    The deposition of amorphous Carbon mixed with Nickel (C/Ni) as electrodes for a diamond radiation detector using Pulsed Laser Deposition (PLD) was demonstrated previously as a novel technique for producing near-tissue equivalent X-ray dosimeters based on polycrystalline diamond. In this study, we present the first characterisation of a single crystal CVD diamond sandwich detector (of 80 nm thickness) fabricated with this method, labelled SC-C/Ni. To examine the performance of PLD C/Ni as an electrical contact, alpha spectroscopy and x-ray induced photocurrents were studied as a function of applied bias voltage at room temperature and compared to those of polycrystalline CVD diamond detectors (PC-C/Ni); the spectroscopy data allows us to separate electron and hole contributions to the charge transport, whereas the X-ray data was investigated in terms of, linearity and dose rate dependence, sensitivity, signal to noise ratio, photoconductive gain, reproducibility and time response (rise and fall-off times). In the case of electron sensitive alpha induced signals, a charge collection efficiency (CCE) higher than 90 % has been observed at a bias of -40 V and 100 % CCE at -300 V, with an energy resolution of ∼3 % for 5.49 MeV alpha particles. The hole sample showed very poor spectroscopy performance for hole sensitive signals up to 200 Volt; this inhibited a similar numerical analysis to be carried out in a meaningful way. The dosimetric characteristic show a high signal to noise ratio (SNR) of ∼7.3x10 3 , an approximately linear relationship between the photocurrent and the dose rate and a sensitivity of 4.87 μC/Gy.mm 3 . The photoconductive gain is estimated to around 20, this gain might be supported by hole trapping effects as indicated in the alpha spectroscopy. The observed rise and fall-off times are less than 2 and 0.56 seconds, respectively - and mainly reflect the switching time of the X-ray tube used.The reproducibility of (0.504 %) approaches the value

  10. Clean and polymer-free transfer of CVD-grown graphene films on hexagonal boron nitride substrates

    Science.gov (United States)

    Fujihara, Miho; Ogawa, Shun; Yoshimura, Shintaro; Inoue, Ryosuke; Maniwa, Yutaka; Taniguchi, Takashi; Watanabe, Kenji; Shinohara, Hisanori; Miyata, Yasumitsu

    2017-05-01

    This report describes the development of a solution-assisted, polymer-free transfer method and the characterization of chemical vapor deposition (CVD)-grown graphene on hexagonal boron nitride. Raman analysis reveals that polymer-free samples have small variations in G- and 2D-mode Raman frequencies and are minimally affected by charge doping as observed for clean exfoliated graphene. Electrical measurements indicate that charge doping, hysteresis, and carrier scattering are suppressed in polymer-free samples. The results demonstrate that this method provides a simple and effective way to prepare clean heterostructures of CVD-grown, large-area graphene and other two-dimensional materials.

  11. SIC POVMs and Clifford groups in prime dimensions

    International Nuclear Information System (INIS)

    Zhu Huangjun

    2010-01-01

    We show that in prime dimensions not equal to 3, each group covariant symmetric informationally complete positive operator valued measure (SIC POVM) is covariant with respect to a unique Heisenberg-Weyl (HW) group. Moreover, the symmetry group of the SIC POVM is a subgroup of the Clifford group. Hence, two SIC POVMs covariant with respect to the HW group are unitarily or antiunitarily equivalent if and only if they are on the same orbit of the extended Clifford group. In dimension 3, each group covariant SIC POVM may be covariant with respect to three or nine HW groups, and the symmetry group of the SIC POVM is a subgroup of at least one of the Clifford groups of these HW groups, respectively. There may exist two or three orbits of equivalent SIC POVMs for each group covariant SIC POVM, depending on the order of its symmetry group. We then establish a complete equivalence relation among group covariant SIC POVMs in dimension 3, and classify inequivalent ones according to the geometric phases associated with fiducial vectors. Finally, we uncover additional SIC POVMs by regrouping of the fiducial vectors from different SIC POVMs which may or may not be on the same orbit of the extended Clifford group.

  12. Characterization of SiC in DLC/a-Si films prepared by pulsed filtered cathodic arc using Raman spectroscopy and XPS

    International Nuclear Information System (INIS)

    Srisang, C.; Asanithi, P.; Siangchaew, K.; Pokaipisit, A.; Limsuwan, P.

    2012-01-01

    DLC/a-Si films were deposited on germanium substrates. a-Si film was initially deposited as a seed layer on the substrate using DC magnetron sputtering. DLC film was then deposited on the a-Si layer via a pulsed filtered cathodic arc (PFCA) system. In situ ellipsometry was used to monitor the thicknesses of the growth films, allowing a precise control over the a-Si and DLC thicknesses of 6 and 9 nm, respectively. It was found that carbon atoms implanting on a-Si layer act not only as a carbon source for DLC formation, but also as a source for SiC formation. The Raman peak positions at 796 cm -1 and 972 cm -1 corresponded to the LO and TO phonon modes of SiC, respectively, were observed. The results were also confirmed using TEM, XPS binding energy and XPS depth profile analysis.

  13. First principles-based multiparadigm, multiscale strategy for simulating complex materials processes with applications to amorphous SiC films

    Energy Technology Data Exchange (ETDEWEB)

    Naserifar, Saber [Mork Family Department of Chemical Engineering and Materials Science, University of Southern California, Los Angeles, California 90089-1211 (United States); Materials and Process Simulation Center, California Institute of Technology, Pasadena, California 91125 (United States); Goddard, William A. [Materials and Process Simulation Center, California Institute of Technology, Pasadena, California 91125 (United States); Tsotsis, Theodore T.; Sahimi, Muhammad, E-mail: moe@usc.edu [Mork Family Department of Chemical Engineering and Materials Science, University of Southern California, Los Angeles, California 90089-1211 (United States)

    2015-05-07

    Progress has recently been made in developing reactive force fields to describe chemical reactions in systems too large for quantum mechanical (QM) methods. In particular, ReaxFF, a force field with parameters that are obtained solely from fitting QM reaction data, has been used to predict structures and properties of many materials. Important applications require, however, determination of the final structures produced by such complex processes as chemical vapor deposition, atomic layer deposition, and formation of ceramic films by pyrolysis of polymers. This requires the force field to properly describe the formation of other products of the process, in addition to yielding the final structure of the material. We describe a strategy for accomplishing this and present an example of its use for forming amorphous SiC films that have a wide variety of applications. Extensive reactive molecular dynamics (MD) simulations have been carried out to simulate the pyrolysis of hydridopolycarbosilane. The reaction products all agree with the experimental data. After removing the reaction products, the system is cooled down to room temperature at which it produces amorphous SiC film, for which the computed radial distribution function, x-ray diffraction pattern, and the equation of state describing the three main SiC polytypes agree with the data and with the QM calculations. Extensive MD simulations have also been carried out to compute other structural properties, as well the effective diffusivities of light gases in the amorphous SiC film.

  14. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Energy Technology Data Exchange (ETDEWEB)

    Bogani, F. [Florence Univ. (Italy). Dipt. di Energetica; Borchi, E. [Florence Univ. (Italy). Dipt. di Energetica; Bruzzi, M. [Florence Univ. (Italy). Dipt. di Energetica; Leroy, C. [Florence Univ. (Italy). Dipt. di Energetica; Sciortino, S. [Florence Univ. (Italy). Dipt. di Energetica

    1997-04-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.).

  15. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Science.gov (United States)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-02-01

    The thermoluminescent (TL) response of Chemical Vapour Deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters.

  16. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    International Nuclear Information System (INIS)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-01-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.)

  17. Effects of deposition conditions on the properties of pyrolytic carbon deposited in a fluidized bed

    International Nuclear Information System (INIS)

    Lowden, Richard Andrew; Hunn, John D.; Nunn, Stephen D.; Kercher, Andrew K.; Price, Jeffery R.; Jellison, Gerald Earle Jr.

    2005-01-01

    The high-density, isotropic pyrolytic carbon layer beneath the silicon carbide (IPyC) plays a key role in the irradiation performance of coated particle fuel. The IPyC layer protects the kernel from reactions with chlorine during deposition of the SiC layer, provides structural support for the SiC layer, and protects the SiC from fission products and carbon monoxide. The process conditions used by the Germans to deposit the IPyC coating produced a highly isotropic, but somewhat permeable IPyC coating. The permeability of the IPyC coating was acceptable for use with the dense German UO 2 kernels, but may not be suitable when coating UCO kernels. The UCO kernels are typically more porous and thus have a larger surface area than UO 2 kernels. The lower density and the higher surface area of UCO kernels could make them more susceptible to attack by HCl gas during the silicon carbide (SiC) coating process, which could result in heavy metal dispersion into the buffer and IPyC coatings and a higher level of as-manufactured SiC defects. The relationship between IPyC deposition conditions, permeability, and anisotropy must be understood and the appropriate combination of anisotropy and permeability for particle fuel containing UCO kernels selected. A reference set of processing conditions have been determined from review of historical information and results of earlier coating experiments employing 350 and 500 (micro)m UO 2 kernels. It was decided that a limited study would be conducted, in which only coating gas fraction (CGF) and temperature would be varied. Coatings would be deposited at different rates and with a range of microstructures. Thickness, density, porosity and anisotropy would be measured and permeability evaluated using a chlorine leach test. The results would be used to select the best IPyC coating conditions for use with the available natural enrichment uranium carbide/uranium oxide (NUCO) kernels. The response plots from the investigation of the

  18. Evaluation of niobium dimethylamino-ethoxide for chemical vapour deposition of niobium oxide thin films

    International Nuclear Information System (INIS)

    Dabirian, Ali; Kuzminykh, Yury; Wagner, Estelle; Benvenuti, Giacomo; Rushworth, Simon; Hoffmann, Patrik

    2014-01-01

    Chemical vapour deposition (CVD) processes depend on the availability of suitable precursors. Precursors that deliver a stable vapour pressure are favourable in classical CVD processes, as they ensure process reproducibility. In high vacuum CVD (HV-CVD) process vapour pressure stability of the precursor is of particular importance, since no carrier gas assisted transport can be used. The dimeric Nb 2 (OEt) 10 does not fulfil this requirement since it partially dissociates upon heating. Dimethylamino functionalization of an ethoxy ligand of Nb(OEt) 5 acts as an octahedral field completing entity and leads to Nb(OEt) 4 (dmae). We show that Nb(OEt) 4 (dmae) evaporates as monomeric molecule and ensures a stable vapour pressure and, consequently, stable flow. A set of HV-CVD experiments were conducted using this precursor by projecting a graded molecular beam of the precursor onto the substrate at deposition temperatures from 320 °C to 650 °C. Film growth rates ranging from 8 nm·h −1 to values larger than 400 nm·h −1 can be obtained in this system illustrating the high level of control available over the film growth process. Classical CVD limiting conditions along with the recently reported adsorption–reaction limited conditions are observed and the chemical composition, and microstructural and optical properties of the films are related to the corresponding growth regime. Nb(OEt) 4 (dmae) provides a large process window of deposition temperatures and precursor fluxes over which carbon-free and polycrystalline niobium oxide films with growth rates proportional to precursor flux are obtained. This feature makes Nb(OEt) 4 (dmae) an attractive precursor for combinatorial CVD of niobium containing complex oxide films that are finding an increasing interest in photonics and photoelectrochemical water splitting applications. The adsorption–reaction limited conditions provide extremely small growth rates comparable to an atomic layer deposition (ALD) process

  19. Growth, characterization and properties of CVD diamond films for applications as radiation detectors

    International Nuclear Information System (INIS)

    Sciorti, S.

    1999-01-01

    The aim of the work is to give a picture of the current state of the art of CVD (chemical vapour deposition) diamond. The interest is due to the capability to grow over large areas a material with physical properties suitable for an impressive number of applications. The authors focuses on the potential of diamond as a radiation detector and gets into details of the huge field that extends from the thermochemistry of the deposition process to the test of a diamond-based tracker with a fast readout electronics

  20. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  1. Research on SiC Whisker Prepared by H-PSO

    Directory of Open Access Journals (Sweden)

    WANG Yao

    2017-10-01

    Full Text Available SiC whiskers were prepared on the matrix of graphite by using high hydrogenous silicone oil(PSO as raw material. The effect of surface conditions of graphite and heating temperature on the growth of SiC whisker was mainly studied in this paper. The main factor which affects the nucleation and growth of SiC whisker is the heating temperature, with the heating temperature rising, the production of SiC whisker increases. The surface condition of graphite matrix also influences the growth of SiC whisker. With the nucleation points provided by graphite matrix defects increasing, the production of SiC whisker incleases and SiC whisker starts to overlap with each other. The formation process of SiC whisker includes two steps:nucleation and growth. SiC whisker nucleates at low temperature and grows at high temperature, which follows the VLS (vapor-liquid-solid growth mechanism.

  2. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  3. Aluminum and aluminum/silicon coatings on ferritic steels by CVD-FBR technology

    International Nuclear Information System (INIS)

    Perez, F.J.; Hierro, M.P.; Trilleros, J.A.; Carpintero, M.C.; Sanchez, L.; Bolivar, F.J.

    2006-01-01

    The use of chemical vapor deposition by fluidized bed reactors (CVD-FBR) offers some advantages in comparison to other coating techniques such as pack cementation, because it allows coating deposition at lower temperatures than pack cementation and at atmospheric pressure without affecting the mechanical properties of material due to heat treatments of the bulk during coating process. Aluminum and aluminum/silicon coatings have been obtained on two different ferritics steels (P-91 and P-92). The coatings were analyzed using several techniques like SEM/EDX and XRD. The results indicated that both coatings were form by Fe 2 Al 5 intermetallic compound, and in the co-deposition the Si was incorporated to the Fe 2 Al 5 structure in small amounts

  4. A study of the thermoluminescent properties of CVD diamond detectors

    International Nuclear Information System (INIS)

    Marczewska, B.; Bilski, P.; Olko, P.; Rebisz, M.; Nesladek, M.; Waligorski, M.P.R.

    2002-01-01

    A batch of 20 diamond detectors obtained by the chemical vapour deposition (CVD) method at the Institute for Materials Research at the Limburg University, Belgium, was investigated with respect to their thermoluminescent (TL) properties. The investigated detectors demonstrate TL sensitivity similar to that of the standard LiF:Mg, Ti (MTS) thermoluminescent detectors, lack of fading after two weeks from irradiation and apparent linearity of dose response. In spite of the persistent fluctuation of individual detector sensitivity observed in this batch, a new annealing procedure improved the stability of the TL signal. It has been concluded that 1 h annealing at 350 C assures the highest reproducibility for this set of detectors. A 30% discrepancy of the value of the TL signal between individual detectors from the batch may be caused by non-uniform distribution of dopants in the volume of the CVD diamond. A prototype of a planar TL reader equipped with a CCD camera was employed in this investigation. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  5. Residual stresses and mechanical properties of Si3N4/SiC multilayered composites with different SiC layers

    International Nuclear Information System (INIS)

    Liua, S.; Lia, Y.; Chena, P.; Lia, W.; Gaoa, S.; Zhang, B.; Yeb, F.

    2017-01-01

    The effect of residual stresses on the strength, toughness and work of fracture of Si3N4/SiC multilayered composites with different SiC layers has been investigated. It may be an effective way to design and optimize the mechanical properties of Si3N4/SiC multilayered composites by controlling the properties of SiC layers. Si3N4/SiC multilayered composites with different SiC layers were fabricated by aqueous tape casting and pressureless sintering. Residual stresses were calculated by using ANSYS simulation, the maximum values of tensile and compressive stresses were 553.2MPa and −552.1MPa, respectively. Step-like fracture was observed from the fracture surfaces. Fraction of delamination layers increased with the residual stress, which can improve the reliability of the materials. Tensile residual stress was benefit to improving toughness and work of fracture, but the strength of the composites decreased. [es

  6. Stress analysis of CVD diamond window for ECH system

    International Nuclear Information System (INIS)

    Takahashi, Koji

    2001-03-01

    The stress analysis of a chemical vapor deposition (CVD) diamond window for Electron Cyclotron Heating and Current Drive (ECH/ECCD) system of fusion reactors is described. It was found that the real size diamond window (φ aper =70mm, t=2.25mm) withstood 14.5 atm. (1.45 MPa). The calculation results of the diamond window by ABAQUS code agree well with the results of the pressure test. The design parameters of the torus diamond window for a vacuum and a safety barrier were also obtained. (author)

  7. Contribution to understanding and controlling a-Si:H thin films growth by mercury-sensitised photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.

    2003-09-01

    Mercury-sensitized photo-CVD technique is widely used for growing amorphous silicon thin films. This attractive method allows damage-free thin film depositions at very low substrate temperatures without the deleterious effects of the other processes. This review reports on the principle and potential of this technique. It also recalls and summarizes some fundamental issues such as experimental systems or apparatus particularities, the analysis of gas-phase reactions in the reactor, the surface-reaction model of SiH 3 and H during the film growth and all the kinetic model for lamp-induced Photo-CVD. (author)

  8. Electrocatalysts with platinum, cobalt and nickel preparations by mechanical alloyed and CVD for the reaction of oxygen reduction; Electrocatalizadores a base de platino, cobalto y niquel preparados por aleado mecanico y CVD para la reaccion de reduccion de oxigeno

    Energy Technology Data Exchange (ETDEWEB)

    Garcia C, M A [ININ, 52750 La Marquesa, Estado de Mexico (Mexico)

    2008-07-01

    In this research, the molecular oxygen reduction reaction (ORR) was investigated on electrocatalysts of Co, Ni, Pt and their alloys CoNi, PtCo, PtNi and PtCoNi by using H{sub 2}SO{sub 4} 0.5 and KOH 0.5 M solutions as electrolytes. The electrocatalysts were synthesized by Mechanical Alloying (MA) and Chemical Vapor Deposition (CVD) processes. For MA, metallic powders were processed during 20 h of milling in a high energy SPEX 8000 mill. For CVD, a hot-wall reactor was utilized and Co, Ni and Pt acetilactetonates were used as precursors. Films were deposited at a total pressure of 1 torr and temperatures of 400-450 C. Electrocatalysts were characterized by X-Ray Diffraction (XRD). Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) and Energy Dispersive X-Ray Spectroscopy (EDS). Electrocatalysts prepared by mechanical alloying showed a homogeneously dispersed agglomeration of particles with nano metric size. Electrocatalysts obtained by CVD showed, in some cases, non uniform films, with particles of nano metric size, as well. The electrocatalytic performance was evaluated by using the Rotating Disk Electrode technique (RDE). Electrocatalysts prepared by MA showed higher activity than those obtained by CVD. All electrocatalysts were evaluated in alkaline media. Only electrocatalysts containing Pt were evaluated in acid media, because those materials with Co, Ni and their alloys showed instability in acidic media. Most electrocatalysts followed a mechanism for the ORR producing a certain proportion of H{sub 2}O{sub 2}. All electrocatalysts, exhibited a fair or good electrocatalytic activity in comparison with other similar reported materials. It was found that MA and CVD are appropriate processes to prepare electrocatalysts for the ORR with particles of nano metric size and performing with an acceptable catalytic activity. PtCoNi 70-23-7% by MA and PtCoNi-CVD electrocatalysts showed the highest activity in alkaline media, while in acidic

  9. Fabrication of a single layer graphene by copper intercalation on a SiC(0001) surface

    International Nuclear Information System (INIS)

    Yagyu, Kazuma; Tochihara, Hiroshi; Tomokage, Hajime; Suzuki, Takayuki; Tajiri, Takayuki; Kohno, Atsushi; Takahashi, Kazutoshi

    2014-01-01

    Cu atoms deposited on a zero layer graphene grown on a SiC(0001) substrate, intercalate between the zero layer graphene and the SiC substrate after the thermal annealing above 600 °C, forming a Cu-intercalated single layer graphene. On the Cu-intercalated single layer graphene, a graphene lattice with superstructure due to moiré pattern is observed by scanning tunneling microscopy, and specific linear dispersion at the K ¯ point as well as a characteristic peak in a C 1s core level spectrum, which is originated from a free-standing graphene, is confirmed by photoemission spectroscopy. The Cu-intercalated single layer graphene is found to be n-doped

  10. Residual stresses and mechanical properties of Si3N4/SiC multilayered composites with different SiC layers; Las tensiones residuales y las propiedades mecánicas de compuestos multicapa de Si3N4/SiC con diferentes capas de SiC

    Energy Technology Data Exchange (ETDEWEB)

    Liua, S.; Lia, Y.; Chena, P.; Lia, W.; Gaoa, S.; Zhang, B.; Yeb, F.

    2017-11-01

    The effect of residual stresses on the strength, toughness and work of fracture of Si3N4/SiC multilayered composites with different SiC layers has been investigated. It may be an effective way to design and optimize the mechanical properties of Si3N4/SiC multilayered composites by controlling the properties of SiC layers. Si3N4/SiC multilayered composites with different SiC layers were fabricated by aqueous tape casting and pressureless sintering. Residual stresses were calculated by using ANSYS simulation, the maximum values of tensile and compressive stresses were 553.2MPa and −552.1MPa, respectively. Step-like fracture was observed from the fracture surfaces. Fraction of delamination layers increased with the residual stress, which can improve the reliability of the materials. Tensile residual stress was benefit to improving toughness and work of fracture, but the strength of the composites decreased. [Spanish] Se ha investigado el efecto de las tensiones residuales en la resistencia, dureza y trabajo de fractura de los compuestos multicapa de Si3N4/SiC con diferentes capas de SiC. Puede ser una manera eficaz de diseñar y optimizar las propiedades mecánicas de los compuestos multicapa de Si3N4/SiC mediante el control de las propiedades de las capas de SiC. Los compuestos multicapa de Si3N4/SiC con diferentes capas de SiC se fabricaron por medio de colado en cinta en medio acuoso y sinterización sin presión. Las tensiones residuales se calcularon mediante el uso de la simulación ANSYS, los valores máximos de las fuerzas de tracción y compresión fueron 553,2 MPa y −552,1 MPa, respectivamente. Se observó una fractura escalonada a partir de las superficies de fractura. La fracción de capas de deslaminación aumenta con la tensión residual, lo que puede mejorar la fiabilidad de los materiales. La fuerza de tracción residual era beneficiosa para la mejora de la dureza y el trabajo de fractura, pero la resistencia de los compuestos disminuyó.

  11. Irradiation damage of SiC semiconductor device (I)

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2000-09-01

    This report reviewed the irradiation damage of SiC semiconductor devices and examined a irradiation behavior of SiC single crystal as a pre-examination for evaluation of irradiation behavior of SiC semiconductor devices. The SiC single was crystal irradiated by gamma-beam, N+ ion and electron beam. Annealing examinations of the irradiated specimens also were performed at 500 deg C. N-type 6H-SiC dopped with N+ ion was used and irradiation doses of gamma-beam, N+ion and electron beam were up to 200 Mrad, 1x10 16 N + ions/cm 2 and 3.6 x 10 17 e/cm 2 and 1.08 x 10 18 e/cm 2 , respectively. Irradiation damages were analyzed by the EPR method. Additionally, properties of SiC, information about commercial SiC single crystals and the list of web sites with related to the SiC device were described in the appendix

  12. Irradiation damage of SiC semiconductor device (I)

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju

    2000-09-01

    This report reviewed the irradiation damage of SiC semiconductor devices and examined a irradiation behavior of SiC single crystal as a pre-examination for evaluation of irradiation behavior of SiC semiconductor devices. The SiC single was crystal irradiated by gamma-beam, N+ ion and electron beam. Annealing examinations of the irradiated specimens also were performed at 500 deg C. N-type 6H-SiC dopped with N+ ion was used and irradiation doses of gamma-beam, N+ion and electron beam were up to 200 Mrad, 1x10{sup 16} N{sup +} ions/cm{sup 2} and 3.6 x 10{sup 17} e/cm{sup 2} and 1.08 x 10{sup 18} e/cm{sup 2} , respectively. Irradiation damages were analyzed by the EPR method. Additionally, properties of SiC, information about commercial SiC single crystals and the list of web sites with related to the SiC device were described in the appendix.

  13. The corrosion behavior of CVI SiC matrix in SiC{sub f}/SiC composites under molten fluoride salt environment

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongda [Structural Ceramics and Composites Engineering Research Center, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); School of Graduate, University of Chinese Academy of Sciences, Beijing 100049 (China); Feng, Qian [Analysis and Testing Center, Donghua University, Shanghai 201600 (China); Wang, Zhen, E-mail: jeff@mail.sic.ac.cn [Structural Ceramics and Composites Engineering Research Center, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Zhou, Haijun; Kan, Yanmei; Hu, Jianbao [Structural Ceramics and Composites Engineering Research Center, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Dong, Shaoming, E-mail: smdong@mail.sic.ac.cn [Structural Ceramics and Composites Engineering Research Center, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China)

    2017-04-15

    High temperature corrosion behavior and microstructural evolution of designed chemical-vapor-infiltrated SiC matrix in SiC fiber reinforced SiC ceramic matrix composites in 46.5LiF-11.5NaF-42.0KF (mol. %) eutectic salt at 800 °C for various corrosion time was studied. Worse damage was observed as extending the exposure time, with the mass loss ratio increasing from 0.716 wt. % for 50 h to 5.914 wt. % for 500 h. The mass loss rate showed a trend of first decrease and then increase with the extended corrosion exposure. Compared with the near-stoichiometric SiC matrix layers, the O-contained boundaries between deposited matrix layers and the designed Si-rich SiC matrix layers were much less corrosion resistant and preferentially corroded. Liner relationship between the mass loss ratio and the corrosion time obtained from 50 h to 300 h indicated that the corrosion action was reaction-control process. Further corrosion would lead to matrix layer exfoliation and higher mass loss ratio.

  14. Numerical modeling of first experiments on PbLi MHD flows in a rectangular duct with foam-based SiC flow channel insert

    Energy Technology Data Exchange (ETDEWEB)

    Smolentsev, S., E-mail: sergey@fusion.ucla.edu [University of California, Los Angeles (United States); Courtessole, C.; Abdou, M.; Sharafat, S. [University of California, Los Angeles (United States); Sahu, S. [Institute of Plasma Research (India); Sketchley, T. [University of California, Los Angeles (United States)

    2016-10-15

    Highlights: • Numerical studies were performed as a pre-experimental analysis to the experiment on MHD PbLi flows in a rectangular duct with a flow channel insert (FCI). • Dynamic testing of foam-based SiC foam-based CVD coated FCI has been performed using MaPLE facility at UCLA. • Two physical models were proposed to explain the experimental results and 3D and 2D computations performed using COMSOL, HIMAG and UCLA codes. • The obtained results suggest that more work on FCI development, fabrication and testing has to be done to assure good hermetic properties before the implementation in a fusion device. - Abstract: A flow channel insert (FCI) is the key element of the DCLL blanket concept. The FCI serves as electrical and thermal insulator to reduce the MHD pressure drop and to decouple the temperature-limited ferritic structure from the flowing hot lead-lithium (PbLi) alloy. The main focus of the paper is on numerical computations to simulate MHD flows in the first experiments on PbLi flows in a stainless steel rectangular duct with a foam-based silicon carbide (SiC) FCI. A single uninterrupted long-term (∼6500 h) test has recently been performed on a CVD coated FCI sample in the flowing PbLi in a magnetic field up to 1.5 T at the PbLi temperature of 300 °C using the MaPLE loop at UCLA. An unexpectedly high MHD pressure drop measured in this experiment suggests that a PbLi ingress into the FCI occurred in the course of the experiment, resulting in degradation of electroinsulating FCI properties. The ingress through the protective CVD layer was further confirmed by the post-experimental microscopic analysis of the FCI. The numerical modeling included 2D and 3D computations using HIMAG, COMSOL and a UCLA research code to address important flow features associated with the FCI finite length, fringing magnetic field, rounded FCI corners and also to predict changes in the MHD pressure drop in the unwanted event of a PbLi ingress. Two physical

  15. Matrix densification of SiC composites by sintering process

    International Nuclear Information System (INIS)

    Kim, Young-Wook; Jang, Doo-Hee; Eom, Jung-Hye; Chun, Yong-Seong

    2007-02-01

    The objectives of this research are to develop a process for dense SiC fiber-SiC composites with a porosity of 5% or less and to develop high-strength SiC fiber-SiC composites with a strength of 500 MPa or higher. To meet the above objectives, the following research topics were investigated ; new process development for the densification of SiC fiber-SiC composites, effect of processing parameters on densification of SiC fiber-SiC composites, effect of additive composition on matrix microstructure, effects of additive composition and content on densification of SiC fiber-SiC composites, mechanical properties of SiC fiber-SiC composites, effect of fiber coating on densification and strength of SiC fiber-SiC composites, development of new additive composition. There has been a great deal of progress in the development of technologies for the processing and densification of SiC fiber-SiC composites and in better understanding of additive-densification-mechanical property relations as results of this project. Based on the progress, dense SiC fiber-SiC composites (≥97%) and high strength SiC fiber-SiC composites (≥600 MPa) have been developed. Development of 2D SiC fiber-SiC composites with a relative density of ≥97% and a strength of ≥600 MPa can be counted as a notable achievement

  16. Growth of Hexagonal Columnar Nanograin Structured SiC Thin Films on Silicon Substrates with Graphene–Graphitic Carbon Nanoflakes Templates from Solid Carbon Sources

    Directory of Open Access Journals (Sweden)

    Wanshun Zhao

    2013-04-01

    Full Text Available We report a new method for growing hexagonal columnar nanograin structured silicon carbide (SiC thin films on silicon substrates by using graphene–graphitic carbon nanoflakes (GGNs templates from solid carbon sources. The growth was carried out in a conventional low pressure chemical vapor deposition system (LPCVD. The GGNs are small plates with lateral sizes of around 100 nm and overlap each other, and are made up of nanosized multilayer graphene and graphitic carbon matrix (GCM. Long and straight SiC nanograins with hexagonal shapes, and with lateral sizes of around 200–400 nm are synthesized on the GGNs, which form compact SiC thin films.

  17. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  18. Improved thermoelectric performance of CdO by adding SiC fibers versus by adding SiC nanoparticles inclusions

    Science.gov (United States)

    Liang, S.; Li, Longjiang

    2018-03-01

    We report the improved thermoelectric (TE) performance of CdO by alloying with SiC fibers. In contrast to the lowered thermoelectric figure of merit (ZT) in a CdO matrix with SiC nanoparticle composites, an appreciable ZT value increment of about 36% (from 0.32 to 0.435) at 1000 K was obtained in the CdO matrix with SiC fiber composites. Both kinds of composites show substantially decreased thermal conductivity due to additional phonon scattering by the nano-inclusions. Compared to the very high electrical resistivity (ρ ˜ 140 μΩ m) for 5 at. % SiC nanoparticle composites, SiC fiber composites favorably maintained a very low ρ (˜30 μΩ m) even with 5 at. % SiC at 1000 K. We think the substantial difference of specific surface areas of these two nano-inclusions (30 m2/g for fibers vs 300 m2/g for nanoparticles) might play a crucial role to fine tune the TE performance. Larger interface could be inductive to diffusion and electron acceptor activation, which affect carrier mobility considerably. This work might hint at an alternative approach to improve TE materials' performance.

  19. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  20. Mechanics-driven patterning of CVD graphene for roll-based manufacturing process

    Science.gov (United States)

    Kim, Sang-Min; Jang, Bongkyun; Jo, Kyungmin; Kim, Donghyuk; Lee, Jihye; Kim, Kyung-Shik; Lee, Seung-Mo; Lee, Hak-Joo; Han, Seung Min; Kim, Jae-Hyun

    2017-06-01

    Graphene is considered as a promising material for flexible and transparent electrodes due to its outstanding electrical, optical, and mechanical properties. Efforts to mass-produce graphene electrodes led to the development of roll-to-roll chemical vapor deposition (CVD) graphene growth and transfer, and the only remaining obstacle to the mass-production of CVD graphene electrodes is a cost-effective patterning technique that is compatible with the roll-to-roll manufacturing. Herein, we propose a mechanics-driven technique for patterning graphene synthesized on copper foil (commonly used in roll-to-roll manufacturing). The copper foil is exposed to high temperature for a prolonged period during the CVD growth of graphene, and thus can result in recrystallization and grain growth of the copper foil and thereby reducing to the yield strength. This softening behavior of the copper was carefully controlled to allow simple stamp patterning of the graphene. The strength of the underlying substrate was controlled for the accuracy of the residual patterns. The proposed stamp patterning technique is mask-less and photoresist-free, and can be performed at room temperature without high-energy sources such as lasers or plasma. To demonstrate the capability of this process to produce a continuous electrode, a transparent in-plane supercapacitor was fabricated using the proposed patterning technique.

  1. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  2. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  3. Electroluminescence of Zn{sub 2}GeO{sub 4}:Mn through SiC whisker electric field enhancement

    Energy Technology Data Exchange (ETDEWEB)

    Wagstaff, Brandon, E-mail: wagstabj@mcmaster.ca [McMaster University, Department of Engineering Physics, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L8 (Canada); Kitai, Adrian, E-mail: kitaia@mcmaster.ca [McMaster University, Department of Engineering Physics, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L8 (Canada); McMaster University, Department of Materials Science and Engineering, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L8 (Canada)

    2015-11-15

    Alternating current (AC) electroluminescence of thin film oxide phosphors is well known. However in this work electroluminescence of bulk oxide powder phosphors is achieved. A new type of AC Electroluminescent (ACEL) device has been created and developed by integrating SiC whiskers into a phosphor matrix composed of manganese-activated zinc germanate (Zn{sub 2}GeO{sub 4}:Mn{sup 2+}). The conductive SiC whiskers enhance the average electric field in specific regions of the phosphor such that localized breakdown of the phosphor occurs, thus emitting green light. This field enhancement allows light emission to occur in thick film oxide powder phosphors and is notably the first time that bright and reasonably efficient electroluminescence of zinc germanate has been observed without using expensive thin film deposition techniques. Light emission has been achieved in thick pressed pellets using surface-deposited electrodes and the brightness-voltage characteristics of light emission are shown to be consistent with field emission of carriers from the embedded whiskers. - Highlights: • A new electroluminescent phosphor, Zn{sub 2}GeO{sub 4}Mn{sup 2+}+SiC whiskers, is proposed. • A procedure is described to fabricate a solid sample of this composite material. • Under an AC voltage, green light is emitted only in samples containing the SiC whiskers. • A brightness of 25 Cd/m{sup 2} and efficiency of 0.25 Lm/W is observed 9.6×10{sup 6} V/m. • This is notably the first time that ACEL has been observed in bulk Zn{sub 2}GeO{sub 4}Mn{sup 2+}.

  4. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  5. Control of Reaction Surface in Low Temperature CVD to Enhance Nucleation and Conformal Coverage

    Science.gov (United States)

    Kumar, Navneet

    2009-01-01

    The Holy Grail in CVD community is to find precursors that can afford the following: good nucleation on a desired substrate and conformal deposition in high AR features. Good nucleation is not only necessary for getting ultra-thin films at low thicknesses; it also offers films that are smooth at higher thickness values. On the other hand,…

  6. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  7. Ethylene Gas Sensing Properties of Tin Oxide Nanowires Synthesized via CVD Method

    Science.gov (United States)

    Akhir, Maisara A. M.; Mohamed, Khairudin; Rezan, Sheikh A.; Arafat, M. M.; Haseeb, A. S. M. A.; Uda, M. N. A.; Nuradibah, M. A.

    2018-03-01

    This paper studies ethylene gas sensing performance of tin oxide (SnO2) nanowires (NWs) as sensing material synthesized using chemical vapor deposition (CVD) technique. The effect of NWs diameter on ethylene gas sensing characteristics were investigated. SnO2 NWs with diameter of ∼40 and ∼240 nm were deposited onto the alumina substrate with printed gold electrodes and tested for sensing characteristic toward ethylene gas. From the finding, the smallest diameter of NWs (42 nm) exhibit fast response and recovery time and higher sensitivity compared to largest diameter of NWs (∼240 nm). Both sensor show good reversibility features for ethylene gas sensor.

  8. Turbostratic stacked CVD graphene for high-performance devices

    Science.gov (United States)

    Uemura, Kohei; Ikuta, Takashi; Maehashi, Kenzo

    2018-03-01

    We have fabricated turbostratic stacked graphene with high-transport properties by the repeated transfer of CVD monolayer graphene. The turbostratic stacked CVD graphene exhibited higher carrier mobility and conductivity than CVD monolayer graphene. The electron mobility for the three-layer turbostratic stacked CVD graphene surpassed 10,000 cm2 V-1 s-1 at room temperature, which is five times greater than that for CVD monolayer graphene. The results indicate that the high performance is derived from maintenance of the linear band dispersion, suppression of the carrier scattering, and parallel conduction. Therefore, turbostratic stacked CVD graphene is a superior material for high-performance devices.

  9. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  10. Electrocatalysts with platinum, cobalt and nickel preparations by mechanical alloyed and CVD for the reaction of oxygen reduction

    International Nuclear Information System (INIS)

    Garcia C, M. A.

    2008-01-01

    In this research, the molecular oxygen reduction reaction (ORR) was investigated on electrocatalysts of Co, Ni, Pt and their alloys CoNi, PtCo, PtNi and PtCoNi by using H 2 SO 4 0.5 and KOH 0.5 M solutions as electrolytes. The electrocatalysts were synthesized by Mechanical Alloying (MA) and Chemical Vapor Deposition (CVD) processes. For MA, metallic powders were processed during 20 h of milling in a high energy SPEX 8000 mill. For CVD, a hot-wall reactor was utilized and Co, Ni and Pt acetilactetonates were used as precursors. Films were deposited at a total pressure of 1 torr and temperatures of 400-450 C. Electrocatalysts were characterized by X-Ray Diffraction (XRD). Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) and Energy Dispersive X-Ray Spectroscopy (EDS). Electrocatalysts prepared by mechanical alloying showed a homogeneously dispersed agglomeration of particles with nano metric size. Electrocatalysts obtained by CVD showed, in some cases, non uniform films, with particles of nano metric size, as well. The electrocatalytic performance was evaluated by using the Rotating Disk Electrode technique (RDE). Electrocatalysts prepared by MA showed higher activity than those obtained by CVD. All electrocatalysts were evaluated in alkaline media. Only electrocatalysts containing Pt were evaluated in acid media, because those materials with Co, Ni and their alloys showed instability in acidic media. Most electrocatalysts followed a mechanism for the ORR producing a certain proportion of H 2 O 2 . All electrocatalysts, exhibited a fair or good electrocatalytic activity in comparison with other similar reported materials. It was found that MA and CVD are appropriate processes to prepare electrocatalysts for the ORR with particles of nano metric size and performing with an acceptable catalytic activity. PtCoNi 70-23-7% by MA and PtCoNi-CVD electrocatalysts showed the highest activity in alkaline media, while in acidic electrolyte PtCoNi 70

  11. PREPARING OF THE CHAMELEON COATING BY THE ION JET DEPOSITION METHOD

    Directory of Open Access Journals (Sweden)

    Jakub Skocdopole

    2017-07-01

    Full Text Available Preparation of chameleon coatings using an Ionized Jet Deposition (IJD technique is reported in the present paper. IJD is a new flexible method for thin film deposition developed by Noivion, Srl. The chameleon coatings are thin films characterised by a distinct change of their tribological properties according to the external conditions. The deposited films of SiC and TiN materials were examined by the Raman spectroscopy, SEM and XPS. The results of the Raman spectroscopy have proved an amorphous structure of SiC films. The data from XPS on TiN films have shown that the films are heavily oxidized, but also prove that the films are composed of TiN and pure Ti. The SEM provided information about the size of grains and particles constituting the deposited films, which is important for tribological properties of the films. Deposition of the chameleon coating is very complex problem and IJD could be ideal method for preparation of this coating.

  12. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  13. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  14. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  15. Interaction Between Graphene-Coated SiC Single Crystal and Liquid Copper

    Science.gov (United States)

    Homa, M.; Sobczak, N.; Sobczak, J. J.; Kudyba, A.; Bruzda, G.; Nowak, R.; Pietrzak, K.; Chmielewski, M.; Strupiński, W.

    2018-05-01

    The wettability of graphene-coated SiC single crystal (CGn/SiCsc) by liquid Cu (99.99%) was investigated by a sessile drop method in vacuum conditions at temperature of 1100 °C. The graphene layer was produced via a chemical vapor deposition routine using 4H-SiC single crystal cut out from 6″ wafer. A dispensed drop technique combined with a non-contact heating of a couple of materials was applied. The Cu drop was squeezed from a graphite capillary and deposited on the substrate directly in a vacuum chamber. The first Cu drop did not wet the CGn/SiCsc substrate and showed a lack of adhesion to the substrate: the falling Cu drop only touched the substrate forming a contact angle of θ 0 = 121° and then immediately rolled like a ball along the substrate surface. After settling near the edge of the substrate in about 0.15 s, the Cu drop formed an asymmetric shape with the right and left contact angles of different values ( θ R = 86° and θ L = 70°, respectively), while in the next 30 min, θ R and θ L achieved the same final value of 52°. The second Cu drop was put down on the displacement path of the first drop, and immediately after the deposition, it also did not wet the substrate ( θ = 123°). This drop kept symmetry and the primary position, but its wetting behavior was unusual: both θ R and θ L decreased in 17 min to the value of 23° and next, they increased to a final value of 65°. Visual observations revealed a presence of 2.5-mm-thick interfacial phase layer reactively formed under the second drop. Scanning electron microscopy (SEM) investigations revealed the presence of carbon-enriched precipitates on the top surface of the first Cu drop. These precipitates were identified by the Raman spectroscopy as double-layer graphene. The Raman spectrum taken from the substrate far from the drop revealed the presence of graphene, while that obtained from the first drop displacement path exhibited a decreased intensity of 2D peak. The results of SEM

  16. Interaction Between Graphene-Coated SiC Single Crystal and Liquid Copper

    Science.gov (United States)

    Homa, M.; Sobczak, N.; Sobczak, J. J.; Kudyba, A.; Bruzda, G.; Nowak, R.; Pietrzak, K.; Chmielewski, M.; Strupiński, W.

    2018-04-01

    The wettability of graphene-coated SiC single crystal (CGn/SiCsc) by liquid Cu (99.99%) was investigated by a sessile drop method in vacuum conditions at temperature of 1100 °C. The graphene layer was produced via a chemical vapor deposition routine using 4H-SiC single crystal cut out from 6″ wafer. A dispensed drop technique combined with a non-contact heating of a couple of materials was applied. The Cu drop was squeezed from a graphite capillary and deposited on the substrate directly in a vacuum chamber. The first Cu drop did not wet the CGn/SiCsc substrate and showed a lack of adhesion to the substrate: the falling Cu drop only touched the substrate forming a contact angle of θ 0 = 121° and then immediately rolled like a ball along the substrate surface. After settling near the edge of the substrate in about 0.15 s, the Cu drop formed an asymmetric shape with the right and left contact angles of different values (θ R = 86° and θ L = 70°, respectively), while in the next 30 min, θ R and θ L achieved the same final value of 52°. The second Cu drop was put down on the displacement path of the first drop, and immediately after the deposition, it also did not wet the substrate (θ = 123°). This drop kept symmetry and the primary position, but its wetting behavior was unusual: both θ R and θ L decreased in 17 min to the value of 23° and next, they increased to a final value of 65°. Visual observations revealed a presence of 2.5-mm-thick interfacial phase layer reactively formed under the second drop. Scanning electron microscopy (SEM) investigations revealed the presence of carbon-enriched precipitates on the top surface of the first Cu drop. These precipitates were identified by the Raman spectroscopy as double-layer graphene. The Raman spectrum taken from the substrate far from the drop revealed the presence of graphene, while that obtained from the first drop displacement path exhibited a decreased intensity of 2D peak. The results of SEM

  17. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  18. Deposition of SiC x H y O z thin film on epoxy resin by nanosecond pulsed APPJ for improving the surface insulating performance

    Science.gov (United States)

    Qing, XIE; Haofan, LIN; Shuai, ZHANG; Ruixue, WANG; Fei, KONG; Tao, SHAO

    2018-02-01

    Non-thermal plasma surface modification for epoxy resin (EP) to improve the insulation properties has wide application prospects in gas insulated switchgear and gas insulated transmission line. In this paper, a pulsed Ar dual dielectrics atmospheric-pressure plasma jet (APPJ) was used for SiC x H y O z thin film deposition on EP samples. The film deposition was optimized by varying the treatment time while other parameters were kept at constants (treatment distance: 10 mm, precursor flow rate: 0.6 l min-1, maximum instantaneous power: 3.08 kW and single pulse energy: 0.18 mJ). It was found that the maximum value of flashover voltages for negative and positive voltage were improved by 18% and 13% when the deposition time was 3 min, respectively. The flashover voltage reduced as treatment time increased. Moreover, all the surface conductivity, surface charge dissipation rate and surface trap level distribution reached an optimal value when thin film deposition time was 3 min. Other measurements, such as atomic force microscopy and scanning electron microscope for EP surface morphology, Fourier transform infrared spectroscopy and x-ray photoelectron spectroscopy for EP surface compositions, optical emission spectra for APPJ deposition process were carried out to better understand the deposition processes and mechanisms. The results indicated that the original organic groups (C-H, C-C, C=O, C=C) were gradually replaced by the Si containing inorganic groups (Si-O-Si and Si-OH). The reduction of C=O in ester group and C=C in p-substituted benzene of the EP samples might be responsible for shallowing the trap level and then enhancing the flashover voltage. However, when the plasma treatment time was longer than 3 min, the significant increase of the surface roughness might increase the trap level depth and then deteriorate the flashover performance.

  19. Effect of inclusion of SiC particulates on the mechanical resistance behaviour of stir-cast AA6063/SiC composites

    International Nuclear Information System (INIS)

    Balasubramanian, I.; Maheswaran, R.

    2015-01-01

    Highlights: • AA6063/SiC composites with different weight percent are stir cast. • Resistance properties against indentation, stretching force and sliding force are studied. • Increase in initiation of cleavage facets and reduces the tensile strength for 15% SiC. • Transition from micro ploughing to micro cutting wear mechanism is less due to SiC inclusion. - Abstract: This study investigates the mechanical resistance behaviour of AA6063 particulate composites with the inclusion of micron-sized silicon carbide (SiC) particles with different weight percentages in an AA6063 aluminium matrix. AA6063/SiC particulate composites containing 0, 5, 10, and 15 weight percent of SiC particles were produced by stir casting. Standard mechanical tests were conducted on the composite plates, and the mechanical resistance to indentation, tensile force and sliding force are evaluated. It has been observed that upon addition of SiC particles, the resistance against indentation is increased and the resistance against tensile force is initially increased and then decreased. Furthermore, using scanning electron microscopy (SEM), the fracture appearance of the broken specimen subjected to tensile force and morphological changes in the surface subjected to sliding force are analysed. The SEM images reveal that the addition of SiC particles in the AA6063 aluminium matrix initiates more cleavage facets. This leads to brittle fracture in the specimen subjected to tensile forces and less transition from material displacement to material removal in the specimen subjected to sliding forces

  20. Palladium assisted silver transport in polycrystalline SiC

    Energy Technology Data Exchange (ETDEWEB)

    Neethling, J.H., E-mail: Jan.Neethling@nmmu.ac.za [Physics Department, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); O' Connell, J.H.; Olivier, E.J. [Physics Department, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa)

    2012-10-15

    The transport of silver in polycrystalline 3C-SiC and hexagonal 6H-SiC has been investigated by annealing the SiC samples in contact with a Pd-Ag compound at temperatures of 800 and 1000 Degree-Sign C and times of 24 and 67 h. The Pd was added in an attempt to improve the low wetting of SiC by Ag and further because Pd is produced in measurable concentrations in coated particles during reactor operation. Pd is also known to coalesce at the IPyC-SiC interface and to chemically attack the SiC layer. SEM, TEM and EDS were used to show that the Ag penetrates polycrystalline SiC along grain boundaries together with Pd. It is suggested that Ag transport in SiC takes place along grain boundaries in the form of moving nodules consisting of a Ag-Pd mixture. It is assumed that the nodules move along grain boundaries by dissolving the SiC at the leading edge followed by the reprecipitation of SiC at the trailing edge. Since the solubility of Cs in Ag and Pd is extremely low, it is unlikely that Cs will penetrate the SiC together with the Ag-Pd compound if present at the IPyC-SiC interface. If it is assumed that the dominant transport mechanism of Ag in intact polycrystalline SiC is indeed the Pd assisted mechanism, then the stabilization of Pd (and other metallic fission products) in the kernel could be a way of mitigating Ag release from TRISO-coated particles.

  1. Palladium assisted silver transport in polycrystalline SiC

    International Nuclear Information System (INIS)

    Neethling, J.H.; O’Connell, J.H.; Olivier, E.J.

    2012-01-01

    The transport of silver in polycrystalline 3C-SiC and hexagonal 6H-SiC has been investigated by annealing the SiC samples in contact with a Pd–Ag compound at temperatures of 800 and 1000 °C and times of 24 and 67 h. The Pd was added in an attempt to improve the low wetting of SiC by Ag and further because Pd is produced in measurable concentrations in coated particles during reactor operation. Pd is also known to coalesce at the IPyC–SiC interface and to chemically attack the SiC layer. SEM, TEM and EDS were used to show that the Ag penetrates polycrystalline SiC along grain boundaries together with Pd. It is suggested that Ag transport in SiC takes place along grain boundaries in the form of moving nodules consisting of a Ag–Pd mixture. It is assumed that the nodules move along grain boundaries by dissolving the SiC at the leading edge followed by the reprecipitation of SiC at the trailing edge. Since the solubility of Cs in Ag and Pd is extremely low, it is unlikely that Cs will penetrate the SiC together with the Ag–Pd compound if present at the IPyC–SiC interface. If it is assumed that the dominant transport mechanism of Ag in intact polycrystalline SiC is indeed the Pd assisted mechanism, then the stabilization of Pd (and other metallic fission products) in the kernel could be a way of mitigating Ag release from TRISO-coated particles.

  2. GaN-based FETs using Cat-CVD SiN passivation for millimeter-wave applications

    International Nuclear Information System (INIS)

    Higashiwaki, Masataka; Mimura, Takashi; Matsui, Toshiaki

    2008-01-01

    We have found that SiN passivation by catalytic chemical vapor deposition (Cat-CVD) can significantly increase an electron density of an AlGaN/GaN heterostructure field-effect transistor (HFET). This effect enables thin-barrier HFET structures to have a high-density two-dimensional electron gas and leads to suppression of short-channel effects. We fabricated 30-nm-gate Al 0.4 Ga 0.6 N(8 nm)/GaN HFETs using Cat-CVD SiN. The maximum drain current density and extrinsic transconductance were 1.49 A/mm and 402 mS/mm, respectively. Current-gain cutoff frequency and maximum oscillation frequency of the HFETs were 181 and 186 GHz, respectively. These high-frequency device characteristics are sufficiently high enough for millimeter-wave applications

  3. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  4. Electronic structures of ultra-thin silicon carbides deposited on graphite

    International Nuclear Information System (INIS)

    Baba, Y.; Sekiguchi, T.; Shimoyama, I.; Nath, Krishna G.

    2004-01-01

    Electronic structures of ultra-thin silicon carbide films have been investigated by X-ray photoelectron spectroscopy (XPS) and Si K-edge X-ray absorption near edge structure (XANES) using linearly polarized synchrotron soft X-rays. Silicon carbide films were deposited on the surface of highly oriented pyrolytic graphite (HOPG) by ion beam deposition method. Tetramethylsilane (Si(CH 3 ) 4 ) was used as a discharge gas. The XPS and XANES features for the thick layers were similar to those for the bulk SiC. For sub-monolayered films, the Si 1s binding energy in XPS was higher by 2.5 eV than that for bulk SiC. This suggests the existence of low-dimensional SiC x where the silicon atoms are more positively charged than those in bulk SiC. After annealing the sub-monolayered film at 850 deg. C, a new peak appeared around 1840 eV in the XANES spectrum. The energy of this new peak was lower than those for any other silicon compounds. The low-energy feature of the XANES peak suggests the existence of π*-like orbitals around the silicon atom. On the basis of the polarization dependencies of the XANES spectra, it was revealed that the direction of the π*-like orbitals are nearly perpendicular to the surface. We conclude that sub-monolayered SiC x film exhibits flat-lying structure of which configuration is similar to a single sheet of graphite

  5. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  6. Influence of tungsten on the carbon nanotubes growth by CVD process

    Energy Technology Data Exchange (ETDEWEB)

    Escobar, Mariano [Instituto de Fisicoquimica de Materiales, Ambiente y Energia, CONICET-UBA, Pabellon II, Ciudad Universitaria (1428) Bs As (Argentina); LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina)], E-mail: mescobar@qi.fcen.uba.ar; Rubiolo, Gerardo H. [LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina); Unidad de Actividad Materiales, CNEA, Av. Gral. Paz 1499, San Martin (1650), Bs As (Argentina); Moreno, M. Sergio [Centro Atomico Bariloche, (8400) S.C. de Bariloche, Rio Negro (Argentina); Goyanes, Silvia [LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina); Candal, Roberto [Instituto de Fisicoquimica de Materiales, Ambiente y Energia, CONICET-UBA, Pabellon II, Ciudad Universitaria (1428) Bs As (Argentina)

    2009-06-24

    The effect of tungsten (W) on the growth of multi-walled carbon nanotubes (MWNTs) using the chemical vapour deposition (CVD) process over a metal Fe-W catalyst incorporated into a silica matrix is reported. A W molar content in Fe/SiO{sub 2} up to 10% was studied. The incorporation of only 2% of W substantially modifies the crystalline phases and the crystalline degree of the catalyst during the MWNTs synthesis. This fact seems to have a strong influence on the type and yield of the carbonaceous species obtained by the CVD of acetylene, at 600 deg. C and 180 Torr, over each catalyst. Tungsten interacts with iron within the matrix, diminishing the catalytic activity of the metal nanoparticles, and both, carbon nanotubes and carbon nanofibers, are obtained when tungsten is present. The results obtained support the hypothesis of a base growth model for carbon nanotubes indicating a strong interaction between silica matrix and Fe/W nanoparticles, independently of the content of W.

  7. Influence of tungsten on the carbon nanotubes growth by CVD process

    International Nuclear Information System (INIS)

    Escobar, Mariano; Rubiolo, Gerardo H.; Moreno, M. Sergio; Goyanes, Silvia; Candal, Roberto

    2009-01-01

    The effect of tungsten (W) on the growth of multi-walled carbon nanotubes (MWNTs) using the chemical vapour deposition (CVD) process over a metal Fe-W catalyst incorporated into a silica matrix is reported. A W molar content in Fe/SiO 2 up to 10% was studied. The incorporation of only 2% of W substantially modifies the crystalline phases and the crystalline degree of the catalyst during the MWNTs synthesis. This fact seems to have a strong influence on the type and yield of the carbonaceous species obtained by the CVD of acetylene, at 600 deg. C and 180 Torr, over each catalyst. Tungsten interacts with iron within the matrix, diminishing the catalytic activity of the metal nanoparticles, and both, carbon nanotubes and carbon nanofibers, are obtained when tungsten is present. The results obtained support the hypothesis of a base growth model for carbon nanotubes indicating a strong interaction between silica matrix and Fe/W nanoparticles, independently of the content of W.

  8. Ballistic Transport Exceeding 28 μm in CVD Grown Graphene.

    Science.gov (United States)

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Goldsche, Matthias; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2016-02-10

    We report on ballistic transport over more than 28 μm in graphene grown by chemical vapor deposition (CVD) that is fully encapsulated in hexagonal boron nitride. The structures are fabricated by an advanced dry van-der-Waals transfer method and exhibit carrier mobilities of up to three million cm(2)/(Vs). The ballistic nature of charge transport is probed by measuring the bend resistance in cross- and square-shaped devices. Temperature-dependent measurements furthermore prove that ballistic transport is maintained exceeding 1 μm up to 200 K.

  9. Material analyses of foam-based SiC FCI after dynamic testing in PbLi in MaPLE loop at UCLA

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, Maria, E-mail: maria.gonzalez@ciemat.es [LNF-CIEMAT, Avda Complutense, 40, 28040 Madrid (Spain); Rapisarda, David; Ibarra, Angel [LNF-CIEMAT, Avda Complutense, 40, 28040 Madrid (Spain); Courtessole, Cyril; Smolentsev, Sergey; Abdou, Mohamed [Fusion Science and Technology Center, UCLA (United States)

    2016-11-01

    Highlights: • Samples from foam-based SiC FCI were analyzed by looking at their SEM microstructure and elemental composition. • After finishing dynamic experiments in the flowing hot PbLi, the liquid metal ingress has been confirmed due to infiltration through local defects in the protective inner CVD layer. • No direct evidences of corrosion/erosion were observed; these defects could be related to the manufacturing process. - Abstract: Foam-based SiC flow channel inserts (FCIs) developed and manufactured by Ultramet, USA are currently under testing in the flowing hot lead-lithium (PbLi) alloy in the MaPLE loop at UCLA to address chemical/physical compatibility and to access the MHD pressure drop reduction. UCLA has finished the first experimental series, where a single uninterrupted long-term (∼6500 h) test was performed on a 30-cm FCI segment in a magnetic field up to 1.8 T at the temperature of 300 °C and maximum flow velocities of ∼ 15 cm/s. After finishing the experiments, the FCI sample was extracted from the host stainless steel duct and cut into slices. Few of them have been analyzed at CIEMAT as a part of the joint collaborative effort on the development of the DCLL blanket concept in the EU and the US. The initial inspection of the slices using optical microscopic analysis at UCLA showed significant PbLi ingress into the bulk FCI material that resulted in degradation of insulating properties of the FCI. Current material analyses at CIEMAT are based on advanced techniques, including characterization of FCI samples by FESEM to study PbLi ingress, imaging of cross sections, composition analysis by EDX and crack inspection. These analyses suggest that the ingress was caused by local defects in the protective inner CVD layer that might be originally present in the FCI or occurred during testing.

  10. Topographic and spectroscopic characterization of electronic edge states in CVD grown graphene nanoribbons.

    Science.gov (United States)

    Pan, Minghu; Girão, E Costa; Jia, Xiaoting; Bhaviripudi, Sreekar; Li, Qing; Kong, Jing; Meunier, V; Dresselhaus, Mildred S

    2012-04-11

    We used scanning tunneling microscopy and spectroscopy (STM/S) techniques to analyze the relationships between the edge shapes and the electronic structures in as-grown chemical vapor deposition (CVD) graphene nanoribbons (GNRs). A rich variety of single-layered graphene nanoribbons exhibiting a width of several to 100 nm and up to 1 μm long were studied. High-resolution STM images highlight highly crystalline nanoribbon structures with well-defined and clean edges. Theoretical calculations indicate clear spin-split edge states induced by electron-electron Coulomb repulsion. The edge defects can significantly modify these edge states, and different edge structures for both sides of a single ribbon produce asymmetric electronic edge states, which reflect the more realistic features of CVD grown GNRs. Three structural models are proposed and analyzed to explain the observations. By comparing the models with an atomic resolution image at the edge, a pristine (2,1) structure was ruled out in favor of a reconstructed edge structure composed of 5-7 member rings, showing a better match with experimental results, and thereby suggesting the possibility of a defective morphology at the edge of CVD grown nanoribbons. © 2012 American Chemical Society

  11. Tungsten deposition by hydrogen-atom reaction with tungsten hexafluoride

    International Nuclear Information System (INIS)

    Lee, W.W.

    1991-01-01

    Using gaseous hydrogen atoms with WF 6 , tungsten atoms can be produced in a gas-phase reaction. The atoms then deposit in a near-room temperature process, which results in the formation of tungsten films. The W atoms (10 10 -10 11 /cm 3 ) were measured in situ by atomic absorption spectroscopy during the CVD process. Deposited W films were characterized by Auger electron spectroscopy, Rutherford backscattering, and X-ray diffraction. The surface morphology of the deposited films and filled holes was studied using scanning electron microscopy. The deposited films were highly adherent to different substrates, such as Si, SiO 2 , Ti/Si, TiN/Si and Teflon. The reaction mechanism and kinetics were studied. The experimental results indicated that this method has three advantages compared to conventional CVD or PECVD: (1) film growth occurs at low temperatures; (2) deposition takes place in a plasma-free environment; and (3) a low level of impurities results in high-quality adherent films

  12. Simulations of Proton Implantation in Silicon Carbide (SiC)

    Science.gov (United States)

    2016-03-31

    Simulations of Proton Implantation in Silicon Carbide (SiC) Jonathan P. McCandless, Hailong Chen, Philip X.-L. Feng Electrical Engineering, Case...of implanting protons (hydrogen ions, H+) into SiC thin layers on silicon (Si) substrate, and explore the ion implantation conditions that are...relevant to experimental radiation of SiC layers. Keywords: silicon carbide (SiC); radiation effects; ion implantation ; proton; stopping and range of

  13. Bulk Thermoelectric Materials Reinforced with SiC Whiskers

    Science.gov (United States)

    Akao, Takahiro; Fujiwara, Yuya; Tarui, Yuki; Onda, Tetsuhiko; Chen, Zhong-Chun

    2014-06-01

    SiC whiskers have been incorporated into Zn4Sb3 compound as reinforcements to overcome its extremely brittle nature. The bulk samples were prepared by either hot-extrusion or hot-pressing techniques. The obtained products containing 1 vol.% to 5 vol.% SiC whiskers were confirmed to exhibit sound appearance, high density, and fine-grained microstructure. Mechanical properties such as the hardness and fracture resistance were improved by the addition of SiC whiskers, as a result of dispersion strengthening and microstructural refinement induced by a pinning effect. Furthermore, crack deflection and/or bridging/pullout mechanisms are invoked by the whiskers. Regarding the thermoelectric properties, the Seebeck coefficient and electrical resistivity values comparable to those of the pure compound are retained over the entire range of added whisker amount. However, the thermal conductivity becomes large with increasing amount of SiC whiskers because of the much higher conductivity of SiC relative to the Zn4Sb3 matrix. This results in a remarkable degradation of the dimensionless figure of merit in the samples with addition of SiC whiskers. Therefore, the optimum amount of SiC whiskers in the Zn4Sb3 matrix should be determined by balancing the mechanical properties and thermoelectric performance.

  14. Oxide Dispersion Strengthened Iron Aluminide by CVD Coated Powders

    Energy Technology Data Exchange (ETDEWEB)

    Asit Biswas Andrew J. Sherman

    2006-09-25

    This I &I Category2 program developed chemical vapor deposition (CVD) of iron, aluminum and aluminum oxide coated iron powders and the availability of high temperature oxidation, corrosion and erosion resistant coating for future power generation equipment and can be used for retrofitting existing fossil-fired power plant equipment. This coating will provide enhanced life and performance of Coal-Fired Boilers components such as fire side corrosion on the outer diameter (OD) of the water wall and superheater tubing as well as on the inner diameter (ID) and OD of larger diameter headers. The program also developed a manufacturing route for readily available thermal spray powders for iron aluminide coating and fabrication of net shape component by powder metallurgy route using this CVD coated powders. This coating can also be applid on jet engine compressor blade and housing, industrial heat treating furnace fixtures, magnetic electronic parts, heating element, piping and tubing for fossil energy application and automotive application, chemical processing equipment , heat exchanger, and structural member of aircraft. The program also resulted in developing a new fabrication route of thermal spray coating and oxide dispersion strengthened (ODS) iron aluminide composites enabling more precise control over material microstructures.

  15. VOx effectively doping CVD-graphene for transparent conductive films

    Science.gov (United States)

    Ji, Qinghua; Shi, Liangjing; Zhang, Qinghong; Wang, Weiqi; Zheng, Huifeng; Zhang, Yuzhi; Liu, Yangqiao; Sun, Jing

    2016-11-01

    Chemical vapor deposition(CVD)-synthesized graphene is potentially an alternative for tin-doped indium oxide (ITO) transparent conductive films (TCFs), however its sheet resistance is still too high to meet many demands. Vanadium oxide has been widely applied as smart window materials, however, no study has been reported to use it as dopant to improve the conductivity of graphene TCFs. In this study, we firstly reported that VOx doping can effectively lower the sheet resistance of CVD-graphene films while keeping its good optical properties, whose transmittance is as high as 86-90%. The optimized VOx-doped graphene exhibits a sheet resistance as low as 176 Ω/□, which decreases by 56% compared to the undoped graphene films. The doping process is convenient, stable, economical and easy to operate. What is more, VOx can effectively increase the work function(WF) of the film, making it more appropriate for use in solar cells. The evolution of the VOx species annealed at different temperatures below 400 °C has been detailed studied for the first time, based on which the doping mechanism is proposed. The prepared VOx doped graphene is expected to be a promising candidate for transparent conductive film purposes.

  16. Nanocrystalline sp{sup 2} and sp{sup 3} carbons: CVD synthesis and applications

    Energy Technology Data Exchange (ETDEWEB)

    Terranova, M. L. [Università degli Studi di Roma “Tor Vergata,” via Della Ricerca Scientifica, Dipartimento di Scienze e Tecnologie Chimiche—MinimaLab (Italy); Rossi, M. [Università degli Studi di Roma “Sapienza,” via A. Scarpa, Dipartimento di Scienze di Base e Applicate per l’Ingegneria and Centro di Ricerca per le Nanotecnologie Applicate all’Ingegneria (CNIS) (Italy); Tamburri, E., E-mail: emanuela.tamburri@uniroma2.it [Università degli Studi di Roma “Tor Vergata,” via Della Ricerca Scientifica, Dipartimento di Scienze e Tecnologie Chimiche—MinimaLab (Italy)

    2016-11-15

    The design and production of innovative materials based on nanocrystalline sp{sup 2}- and sp{sup 3}-coordinated carbons is presently a focus of the scientific community. We present a review of the nanostructures obtained in our labs using a series of synthetic routes, which make use of chemical vapor deposition (CVD) techniques for the selective production of non-planar graphitic nanostructures, nanocrystalline diamonds, and hybrid two-phase nanostructures.

  17. PEALD grown high-k ZrO{sub 2} thin films on SiC group IV compound semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Khairnar, A. G., E-mail: agkhairnar@gmail.com; Patil, V. S.; Agrawal, K. S.; Salunke, R. S.; Mahajan, A. M., E-mail: ammahajan@nmu.ac.in [North Maharashtra University, Department of Electronics, School of Physical Sciences (India)

    2017-01-15

    The study of ZrO{sub 2} thin films on SiC group IV compound semiconductor has been studied as a high mobility substrates. The ZrO{sub 2} thin films were deposited using the Plasma Enhanced Atomic Layer Deposition System. The thickness of the thin films were measured using ellipsometer and found to be 5.47 nm. The deposited ZrO{sub 2} thin films were post deposition annealed in rapid thermal annealing chamber at temperature of 400°Ð¡. The atomic force microscopy and X-гау photoelectron spectroscopy has been carried out to study the surface topography, roughness and chemical composition of thin film, respectively.

  18. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  19. Moissanite (SiC) with metal-silicide and silicon inclusions from tuff of Israel: Raman spectroscopy and electron microscope studies

    Science.gov (United States)

    Dobrzhinetskaya, Larissa; Mukhin, Pavel; Wang, Qin; Wirth, Richard; O'Bannon, Earl; Zhao, Wenxia; Eppelbaum, Lev; Sokhonchuk, Tatiana

    2018-06-01

    Here, we present studies of natural SiC that occurs in situ in tuff related to the Miocene alkaline basalt formation deposited in northern part of Israel. Raman spectroscopy, SEM and FIB-assisted TEM studies revealed that SiC is primarily hexagonal polytypes 4H-SiC and 6H-SiC, and that the 4H-SiC polytype is the predominant phase. Both SiC polytypes contain crystalline inclusions of silicon (Sio) and inclusions of metal-silicide with varying compositions (e.g. Si58V25Ti12Cr3Fe2, Si41Fe24Ti20Ni7V5Zr3, and Si43Fe40Ni17). The silicides crystal structure parameters match Si2TiV5 (Pm-3m space group, cubic), FeSi2Ti (Pbam space group, orthorhombic), and FeSi2 (Cmca space group, orthorhombic) respectively. We hypothesize that SiC was formed in a local ultra-reduced environment at respectively shallow depths (60-100 km), through a reaction of SiO2 with highly reducing fluids (H2O-CH4-H2-C2H6) arisen from the mantle "hot spot" and passing through alkaline basalt magma reservoir. SiO2 interacting with the fluids may originate from the walls of the crustal rocks surrounding this magmatic reservoir. This process led to the formation of SiC and accompanied by the reducing of metal-oxides to native metals, alloys, and silicides. The latter were trapped by SiC during its growth. Hence, interplate "hot spot" alkali basalt volcanism can now be included as a geological environment where SiC, silicon, and silicides can be found.

  20. Cat-CVD-prepared oxygen-rich μc-Si:H for wide-bandgap material

    International Nuclear Information System (INIS)

    Matsumoto, Yasuhiro; Ortega, Mauricio; Peza, Juan-Manuel; Reyes, Mario-Alfredo; Escobosa, Arturo

    2005-01-01

    Microcrystalline phase-involved oxygen-rich a-Si:H (hydrogenated amorphous silicon) films have been obtained using catalytic chemical vapor deposition (Cat-CVD) process. Pure SiH 4 (silane), H 2 (hydrogen), and O 2 (oxygen) gases were introduced in the chamber by maintaining a pressure of 0.1 Torr. A tungsten catalyzer was fixed at temperatures of 1750 and 1950 deg. C for film deposition on glass and crystalline silicon substrates at 200 deg. C. As revealed from X-ray diffraction spectra, the microcrystalline phase appears for oxygen-rich a-Si:H samples deposited at a catalyzer temperature of 1950 deg. C. However, this microcrystalline phase tends to disappear for further oxygen incorporation. The oxygen content in the deposited films was corroborated by FTIR analysis revealing Si-O-Si bonds and typical Si-H bonding structures. The optical bandgap of the sample increases from 2.0 to 2.7 eV with oxygen gas flow and oxygen incorporation to the deposited films. In the present thin film deposition conditions, no strong tungsten filament degradation was observed after a number of sample preparations

  1. Precession electron diffraction for SiC grain boundary characterization in unirradiated TRISO fuel

    International Nuclear Information System (INIS)

    Lillo, T.M.; Rooyen, I.J. van; Wu, Y.Q.

    2016-01-01

    Highlights: • SiC grain orientation determined by TEM-based precession electron diffraction. • Orientation data improved with increasing TEM sample thickness. • Fraction of low angle grain boundaries lower from PED data than EBSD data. • Fractions of high angle and CSL-related boundaries similar to EBSD data. - Abstract: Precession electron diffraction (PED), a transmission electron microscopy-based technique, has been evaluated for the suitability for evaluating grain boundary character in the SiC layer of tristructural isotropic (TRISO) fuel. This work reports the effect of transmission electron microscope (TEM) lamella thickness on the quality of data and establishes a baseline comparison to SiC grain boundary characteristics, in an unirradiated TRISO particle, determined previously using a conventional electron backscatter diffraction (EBSD) scanning electron microscope (SEM)-based technique. In general, it was determined that the lamella thickness produced using the standard focused ion beam (FIB) fabrication process (∼80 nm), is sufficient to provide reliable PED measurements, although thicker lamellae (∼120 nm) were found to produce higher quality orientation data. Also, analysis of SiC grain boundary character from the TEM-based PED data showed a much lower fraction of low-angle grain boundaries compared to SEM-based EBSD data from the SiC layer of a TRISO-coated particle made using the same fabrication parameters and a SiC layer deposited at a slightly lower temperature from a surrogate TRISO particle. However, the fractions of high-angle and coincident site lattice (CSL)-related grain boundaries determined by PED are similar to those found using SEM-based EBSD. Since the grain size of the SiC layer of TRSIO fuel can be as small as 250 nm (Kirchhofer et al., 2013), depending on the fabrication parameters, and since grain boundary fission product precipitates in irradiated TRISO fuel can be nano-sized, the TEM-based PED orientation data

  2. Grafted SiC nanocrystals

    DEFF Research Database (Denmark)

    Saini, Isha; Sharma, Annu; Dhiman, Rajnish

    2017-01-01

    ), raman spectroscopy and X-ray diffraction (XRD) measurements. UV–Visible absorption spectroscopy was used to study optical properties such as optical energy gap (Eg), Urbach's energy (Eu), refractive index (n), real (ε1) and imaginary (ε2) parts of dielectric constant of PVA as well as PVA......Polyvinyl alcohol (PVA) grafted SiC (PVA-g-SiC)/PVA nanocomposite was synthesized by incorporating PVA grafted silicon carbide (SiC) nanocrystals inside PVA matrix. In-depth structural characterization of resulting nanocomposite was carried out using fourier transform infrared spectroscopy (FTIR...

  3. Anisotropy and dimensional characteristics in CVD route Y1Ba2Cu3O7-δ

    International Nuclear Information System (INIS)

    Watanabe, K.; Kobayashi, N.; Awaji, S.; Yamane, H.; Hirai, T.; Muto, Y.

    1993-01-01

    The anisotropic behaviors of the upper critical field B c2 and the critical current density J c were investigated in Y 1 Ba 2 Cu 3 O 7-δ films prepared by a chemical vapor deposition (CVD) route. The angular dependence of J c at fixed temperature, the field dependence of J c at fixed angle, and the temperature dependence of J c at fixed field were measured. The obtained results were explored in terms of the dimensional superconducting characteristics. The important information on the anisotropic behaviors of J c in CVD-Y 1 Ba 2 Cu 3 O 7-δ was discussed from a viewpoint of the flux pinning. (orig.)

  4. SiC Power MOSFET with Improved Gate Dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Sbrockey, Nick M. [Structured Materials Industries, Inc., Piscataway, NJ (United States); Tompa, Gary S. [Structured Materials Industries, Inc., Piscataway, NJ (United States); Spencer, Michael G. [Structured Materials Industries, Inc., Piscataway, NJ (United States); Chandrashekhar, Chandra M.V. S. [Structured Materials Industries, Inc., Piscataway, NJ (United States)

    2010-08-23

    In this STTR program, Structured Materials Industries (SMI), and Cornell University are developing novel gate oxide technology, as a critical enabler for silicon carbide (SiC) devices. SiC is a wide bandgap semiconductor material, with many unique properties. SiC devices are ideally suited for high-power, highvoltage, high-frequency, high-temperature and radiation resistant applications. The DOE has expressed interest in developing SiC devices for use in extreme environments, in high energy physics applications and in power generation. The development of transistors based on the Metal Oxide Semiconductor Field Effect Transistor (MOSFET) structure will be critical to these applications.

  5. Synthesis of whiskers of SiC microwave assisted; Sintesis de whiskers de SiC asistida por microondas

    Energy Technology Data Exchange (ETDEWEB)

    Garza-Mendez, F. J.; Vanegas, A. J.; Vazquez, B. A.; Garza-Paz, J.

    2013-06-01

    We developed a new process for the synthesis of SiC whiskers assisted by microwaves; this is based on the mixture of silica xerogels and graphite powder. As energy source were used microwaves of 2.45 GHz and 1.0 kW of power RMS. On the other hand, mesoporous silica was synthesized via sol-gel, the precursors used were TEOS/H{sub 2}O and ethanol. Through analysis of the BET is determined the value of average pore size (3.0 nm) and the surface area (1090 m2/g).By mean of X-Ray diffraction it was demonstrated that the silica obtained is an amorphous solid and, the powders obtained in the microwave synthesis are {beta}-SiC. Synthesized SiC powders were observed using a SEM in secondary electron mode, it was observed that this powders consists of SiC whiskers. The effect of microwaves on the synthesis of whiskers of SiC is discussed in the present work. (Author) 19 refs.

  6. D-region ion-neutral coupled chemistry (Sodankylä Ion Chemistry, SIC) within the Whole Atmosphere Community Climate Model (WACCM 4) - WACCM-SIC and WACCM-rSIC

    Science.gov (United States)

    Kovács, Tamás; Plane, John M. C.; Feng, Wuhu; Nagy, Tibor; Chipperfield, Martyn P.; Verronen, Pekka T.; Andersson, Monika E.; Newnham, David A.; Clilverd, Mark A.; Marsh, Daniel R.

    2016-09-01

    This study presents a new ion-neutral chemical model coupled into the Whole Atmosphere Community Climate Model (WACCM). The ionospheric D-region (altitudes ˜ 50-90 km) chemistry is based on the Sodankylä Ion Chemistry (SIC) model, a one-dimensional model containing 307 ion-neutral and ion recombination, 16 photodissociation and 7 photoionization reactions of neutral species, positive and negative ions, and electrons. The SIC mechanism was reduced using the simulation error minimization connectivity method (SEM-CM) to produce a reaction scheme of 181 ion-molecule reactions of 181 ion-molecule reactions of 27 positive and 18 negative ions. This scheme describes the concentration profiles at altitudes between 20 km and 120 km of a set of major neutral species (HNO3, O3, H2O2, NO, NO2, HO2, OH, N2O5) and ions (O2+, O4+, NO+, NO+(H2O), O2+(H2O), H+(H2O), H+(H2O)2, H+(H2O)3, H+(H2O)4, O3-, NO2-, O-, O2, OH-, O2-(H2O), O2-(H2O)2, O4-, CO3-, CO3-(H2O), CO4-, HCO3-, NO2-, NO3-, NO3-(H2O), NO3-(H2O)2, NO3-(HNO3), NO3-(HNO3)2, Cl-, ClO-), which agree with the full SIC mechanism within a 5 % tolerance. Four 3-D model simulations were then performed, using the impact of the January 2005 solar proton event (SPE) on D-region HOx and NOx chemistry as a test case of four different model versions: the standard WACCM (no negative ions and a very limited set of positive ions); WACCM-SIC (standard WACCM with the full SIC chemistry of positive and negative ions); WACCM-D (standard WACCM with a heuristic reduction of the SIC chemistry, recently used to examine HNO3 formation following an SPE); and WACCM-rSIC (standard WACCM with a reduction of SIC chemistry using the SEM-CM method). The standard WACCM misses the HNO3 enhancement during the SPE, while the full and reduced model versions predict significant NOx, HOx and HNO3 enhancements in the mesosphere during solar proton events. The SEM-CM reduction also identifies the important ion-molecule reactions that affect the partitioning of

  7. Electrical and thermal conductivity of low temperature CVD graphene: the effect of disorder

    International Nuclear Information System (INIS)

    Vlassiouk, Ivan; Datskos, Panos; Smirnov, Sergei; Ivanov, Ilia; Hensley, Dale; Lavrik, Nickolay V; Fulvio, Pasquale F; Dai Sheng; Meyer, Harry; Chi Miaofang

    2011-01-01

    In this paper we present a study of graphene produced by chemical vapor deposition (CVD) under different conditions with the main emphasis on correlating the thermal and electrical properties with the degree of disorder. Graphene grown by CVD on Cu and Ni catalysts demonstrates the increasing extent of disorder at low deposition temperatures as revealed by the Raman peak ratio, I G /I D . We relate this ratio to the characteristic domain size, L a , and investigate the electrical and thermal conductivity of graphene as a function of L a . The electrical resistivity, ρ, measured on graphene samples transferred onto SiO 2 /Si substrates shows linear correlation with L a -1 . The thermal conductivity, K, measured on the same graphene samples suspended on silicon pillars, on the other hand, appears to have a much weaker dependence on L a , close to K ∼ L a 1/3 . It results in an apparent ρ ∼ K 3 correlation between them. Despite the progressively increasing structural disorder in graphene grown at lower temperatures, it shows remarkably high thermal conductivity (10 2 -10 3 W K -1 m -1 ) and low electrical (10 3 -3 x 10 5 Ω) resistivities suitable for various applications.

  8. Fiscal 1998 joint R and D project on industrial science and technology with university. Research report on the production process of semiconductor devices by Cat-CVD (Development of practical technology for rational use of energy); 1998 nendo daigaku renkei sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika kankei gijutsu jitsuyoka kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-03-01

    The Cat-CVD method is in verification test to establish it as production process of various semiconductor devices such as Ga-As IC, ferroelectric IC, Si IC, and TFT. This paper outlines the research results in fiscal 1998. Study was made on concept design of the Cat-CVD equipment for formation of Ga-As protective film, and basic technology for formation of SiN{sub x} film. Although reducing gas is used for deposition of SiN{sub x} film, anxious modification of oxide ferroelectric materials was avoided by substrate temperature control. Design and fabrication of the CVD equipment for Si ICs were also studied. The equipment was made of Al to control degassing as low as possible. As for production of TFT for LCD, formation technology of high-quality insulating thin film for low-temperature poly-Si TFT by CVD method, and formation of advanced insulating thin film and advanced poly- Si thin film were studied. A large-size deposition method of TFT insulating film, and low-temperature formation technology of poly-Si were also studied. (NEDO)

  9. Evaluating electrically insulating films deposited on V-4% Cr-4% Ti by reactive CVD

    Energy Technology Data Exchange (ETDEWEB)

    Park, J.H.; Cho, W.D. [Argonne National Lab., IL (United States)

    1997-04-01

    Previous CaO coatings on V-4%Cr-4%Ti exhibited high-ohmic insulator behavior even though a small amount of vanadium from the alloy was incorporated in the coating. However, when the vanadium concentration in the coatings is > 15 wt%, the coating becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. To explore this situation, CaO and Ca-V-O coatings were produced on vanadium alloys by chemical vapor deposition (CVD) and by a metallic-vapor process to investigate the electrical resistance of the coatings. Initially, the vanadium alloy specimens were either charged with oxygen in argon that contained trace levels of oxygen, or oxidized for 1.5-3 h in a 1% CO-CO{sub 2} gas mixture or in air to form vanadium oxide at 625-650{degrees}C. Most of the specimens were exposed to calcium vapor at 800-850{degrees}C. Initial and final weights were obtained to monitor each step, and surveillance samples were removed for examination by optical and scanning electron microscopy and electron-energy-dispersive and X-ray diffraction analysis; the electrical resistivity was also measured. The authors found that Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film was > 0.9, and semiconductor or conductor behavior for R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, the authors conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.

  10. Influence of defects in SiC (0001) on epitaxial graphene

    International Nuclear Information System (INIS)

    Guo Yu; Guo Li-Wei; Lu Wei; Huang Jiao; Jia Yu-Ping; Sun Wei; Li Zhi-Lin; Wang Yi-Fei

    2014-01-01

    Defects in silicon carbide (SiC) substrate are crucial to the properties of the epitaxial graphene (EG) grown on it. Here we report the effect of defects in SiC on the crystalline quality of EGs through comparative studies of the characteristics of the EGs grown on SiC (0001) substrates with different defect densities. It is found that EGs on high quality SiC possess regular steps on the surface of the SiC and there is no discernible D peak in its Raman spectrum. Conversely, the EG on the SiC with a high density of defects has a strong D peak, irregular stepped morphology and poor uniformity in graphene layer numbers. It is the defects in the SiC that are responsible for the irregular stepped morphology and lead to the small domain size in the EG. (rapid communication)

  11. Fermented dairy food and CVD risk.

    Science.gov (United States)

    Tapsell, Linda C

    2015-04-01

    Fermented dairy foods such as yoghurt and cheese are commonly found in the Mediterranean diet. Recent landmark research has confirmed the effect of the Mediterranean diet on reducing the CVD risk, but the relative contributions of fermented dairy foods have not been fully articulated. The present study provides a review of the relationship between fermented dairy foods consumption and CVD risk in the context of the whole diet. Studies show that people who eat healthier diets may be more likely to consume yoghurt, so there is a challenge in attributing separate effects to yoghurt. Analyses from large population studies list yoghurt as the food most negatively associated with the risk of weight gain (a problem that may lead to CVD). There is some suggestion that fermented dairy foods consumption (yoghurt or cheese) may be associated with reduced inflammatory biomarkers associated with the development of CVD. Dietary trials suggest that cheese may not have the same effect on raising LDL-cholesterol levels as butter with the same saturated fat content. The same might be stated for yoghurt. The use of different probiotic cultures and other aspects of study design remain a problem for research. Nevertheless, population studies from a range of countries have shown that a reduced risk of CVD occurs with the consumption of fermented dairy foods. A combination of evidence is necessary, and more research is always valuable, but indications remain that fermented dairy foods such as cheese and yoghurt are integral to diets that are protective against CVD.

  12. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  13. Síntesis de materiales cerámicos mediante técnicas químicas en fase vapor (CVD

    Directory of Open Access Journals (Sweden)

    Gómez-Aleixandre, C.

    2003-02-01

    Full Text Available Chemical vapour deposition (CVD has been successfully used for the synthesis of a large variety of compounds. Initially the technique was developed for microelectronic applications and then was widespread used for the preparation of hard coatings, optoelectronic and superconductor materials. Among the characteristics inherent to the CVD technique it is worth mentioning the preparation of homogeneous deposits at relatively low temperatures mostly when the reaction is electrically or laser plasma or photon activated. New materials with given characteristics can be produced by properly choosing the reactant gas mixture as well as its relative composition. The presentation will be also focussed onto the deposition of different materials, such as carbon films (both crystalline, and amorphous with diamond-like properties, deposited by plasma assisted CVD techniques using methane and hydrogen gas mixtures. Also, the deposition of binary compounds, as boron nitride will be reviewed. Finally, the experimental requirements for obtaining new ternary compounds from the system Si-B-N-C (i.e.: CBN, SiBN will be discussed. The properties of these materials strongly depend on their composition and structure. Therefore, by adequate selection of the experimental parameters, it is possible to obtain ternary compounds with tailored characteristics.

    Actualmente, la técnica de CVD está siendo utilizada en la síntesis de una gran variedad de compuestos cerámicos, generalmente en forma de capa delgada. La técnica, desarrollada inicialmente para su aplicación en microelectrónica, ha sido después utilizada con éxito en otras áreas de gran actividad científica y tecnológica (recubrimientos duros, dispositivos optoelectrónicos, materiales superconductores, etc.. Entre las características más positivas de las técnicas de CVD, cabe destacar la obtención de depósitos homogéneos a temperaturas relativamente bajas, sobre todo cuando la activación de

  14. Low cost sic coated erosion resistant graphite

    International Nuclear Information System (INIS)

    Zafar, M.F.; Nicholls, J.R.

    2007-01-01

    The development of materials with unique and improved properties using low cost processes is essential to increase performance and reduce cost of the solid rocket motors. Specifically advancements are needed for boost phase nozzle. As these motors operate at very high pressure and temperatures, the nozzle must survive high thermal stresses with minimal erosion to maintain performance. Currently three material choices are being exploited; which are refractory metals, graphite and carbon-carbon composites. Of these three materials graphite is the most attractive choice because of its low cost, light weight, and easy forming. However graphite is prone to erosion, both chemical and mechanical, which may affect the ballistic conditions and mechanical properties of the nozzle. To minimize this erosion high density graphite is usually preferred; which is again very expensive. Another technique used to minimize the erosion is Pyrolytic Graphite (PG) coating inside the nozzle. However PG coating is prone to cracking and spallation along with very cumbersome deposition process. Another possible methodology to avoid this erosion is to convert the inside surface of the rocket nozzle to Silicon Carbide (SiC), which is very erosion resistant and have much better thermal stability compared to graphite and even PG. Due to its functionally gradient nature such a layer will be very adherent and resistant to spallation. The current research is focused on synthesizing, characterizing and oxidation testing of such a converted SiC layer on commercial grade graphite. (author)

  15. SiC as an oxidation-resistant refractory material. Pt. 1

    International Nuclear Information System (INIS)

    Schlichting, J.

    1979-01-01

    Uses his own investigations and gives a literature survey on the oxidation and corrosion behaviour of SiC (in the form of a pure SiC powder, hot-pressed and reaction-sintered materials). The excellent stability of SiC in oxidizing atmosphere is due to the development of protective SiO 2 coatings. Any changes in these protective coatings (e.g. due to impurities with corrosive media, high porosity of SiC, etc.) lead in most cases to increased rates of oxidation and thus restrict the field of application of SiC. (orig.) [de

  16. SiC.sub.x./sub. layers prepared by hybrid laser deposition and PLD

    Czech Academy of Sciences Publication Activity Database

    Jelínek, Miroslav; Kocourek, Tomáš; Zemek, Josef; Kadlec, J.

    2009-01-01

    Roč. 6, S1 (2009), s. 5366-5369 ISSN 1612-8850 Institutional research plan: CEZ:AV0Z10100521 Keywords : SiC * composites * hybrid deposition * puls laser deposition * magnetron Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 4.037, year: 2009

  17. Diamond like carbon coatings deposited by microwave plasma CVD ...

    Indian Academy of Sciences (India)

    WINTEC

    photoelectron spectroscopy (XPS) and spectroscopic ellipsometry techniques for estimating sp. 3. /sp. 2 ratio. ... ion beam deposition (Savvidas 1986), pulsed laser deposi- ... carrier gas (10 sccm) by passing 150 watts of microwave power.

  18. The Influence of a Catalyst on Variables of Process: Research on Coatingof Silicon Carbide

    International Nuclear Information System (INIS)

    Sudjoko, Dwiretnani; Hidayati; Elin-Nuraini; Imam-Dahroni

    2000-01-01

    It was conducted the research on the coating of SiC by CVD method, usingfluidized reactor of quarts glass equipped with temperature measurement,heater and flow meter. The coating material were deposited by pyrolysis ofethyl trichlorosilane in an excess of hydrogen with catalyst ferro carbonyl.Coating deposited at temperature from 800 o C - 1000 o C and the ratio offerro carbonyl to ethyl trichlorosilane were 0.5%, 1 % dan 1.5%. Within therange of variable studied the effect of temperature showed that thetemperature increases the coating rate, whereas increases the ratio of ferrocarbonyl- ethyl trichlorosilane increases the coating rate. (author)

  19. Structural and thermal characterization of polyvinylalcohol grafted SiC nanocrystals

    DEFF Research Database (Denmark)

    Saini, Isha; Sharma, Annu; Dhiman, Rajnish

    2017-01-01

    introduced in the characteristic TO and LO mode of vibration of SiC nanocrystals after grafting procedure.XRD analysis confirmed that the grafting procedure did not alter the crystalline geometry of SiC nanocrystals. TEM and SEM images further support the FTIR and Raman spectroscopic results and confirm...... of semiconducting SiC nanocrystals using a novel method. FTIR spectroscopy reveals the introduction of new peaks corresponding to various functional groups of PVA alongwith the presence of characteristic Si-C vibrational peak in the spectra of grafted SiC nanocrystals. Raman spectra depict the presence of changes...... the presence of PVA layer around SiC nanocrystals. Thermal degradation behavior of PVA-g-SiC nanocrystals has been studied using TGA analysis....

  20. SiC Nanoparticles Toughened-SiC/MoSi2-SiC Multilayer Functionally Graded Oxidation Protective Coating for Carbon Materials at High Temperatures

    Science.gov (United States)

    Abdollahi, Alireza; Ehsani, Naser; Valefi, Zia; Khalifesoltani, Ali

    2017-05-01

    A SiC nanoparticle toughened-SiC/MoSi2-SiC functionally graded oxidation protective coating on graphite was prepared by reactive melt infiltration (RMI) at 1773 and 1873 K under argon atmosphere. The phase composition and anti-oxidation behavior of the coatings were investigated. The results show that the coating was composed of MoSi2, α-SiC and β-SiC. By the variations of Gibbs free energy (calculated by HSC Chemistry 6.0 software), it could be suggested that the SiC coating formed at low temperatures by solution-reprecipitation mechanism and at high temperatures by gas-phase reactions and solution-reprecipitation mechanisms simultaneously. SiC nanoparticles could improve the oxidation resistance of SiC/MoSi2-SiC multiphase coating. Addition of SiC nanoparticles increases toughness of the coating and prevents spreading of the oxygen diffusion channels in the coating during the oxidation test. The mass loss and oxidation rate of the SiC nanoparticle toughened-SiC/MoSi2-SiC-coated sample after 10-h oxidation at 1773 K were only 1.76% and 0.32 × 10-2 g/cm3/h, respectively.

  1. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with praseodymium oxide dielectric layers

    International Nuclear Information System (INIS)

    Sohal, R.

    2006-01-01

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO 2 /SiC interface. Clean, graphite-free SiO 2 has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr 2 O 3 on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr 2 O 3 and SiC, and simultaneously provide higher band offsets. (orig.)

  2. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with pradeodymium oxide dielectric layers

    Energy Technology Data Exchange (ETDEWEB)

    Sohal, R.

    2006-07-24

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO{sub 2}/SiC interface. Clean, graphite-free SiO{sub 2} has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr{sub 2}O{sub 3} on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr{sub 2}O{sub 3} and SiC, and simultaneously provide higher band offsets. (orig.)

  3. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  4. SiC Seeded Crystal Growth

    Science.gov (United States)

    Glass, R. C.; Henshall, D.; Tsvetkov, V. F.; Carter, C. H., Jr.

    1997-07-01

    The availability of relatively large (30 mm) SiC wafers has been a primary reason for the renewed high level of interest in SiC semiconductor technology. Projections that 75 mm SiC wafers will be available in 2 to 3 years have further peaked this interest. Now both 4H and 6H polytypes are available, however, the micropipe defects that occur to a varying extent in all wafers produced to date are seen by many as preventing the commercialization of many types of SiC devices, especially high current power devices. Most views on micropipe formation are based around Frank's theory of a micropipe being the hollow core of a screw dislocation with a huge Burgers vector (several times the unit cell) and with the diameter of the core having a direct relationship with the magnitude of the Burgers vector. Our results show that there are several mechanisms or combinations of these mechanisms which cause micropipes in SiC boules grown by the seeded sublimation method. Additional considerations such as polytype variations, dislocations and both impurity and diameter control add to the complexity of producing high quality wafers. Recent results at Cree Research, Inc., including wafers with micropipe densities of less than 1 cm - 2 (with 1 cm2 areas void of micropipes), indicate that micropipes will be reduced to a level that makes high current devices viable and that they may be totally eliminated in the next few years. Additionally, efforts towards larger diameter high quality substrates have led to production of 50 mm diameter 4H and 6H wafers for fabrication of LEDs and the demonstration of 75 mm wafers. Low resistivity and semi-insulating electrical properties have also been attained through improved process and impurity control. Although challenges remain, the industry continues to make significant progress towards large volume SiC-based semiconductor fabrication.

  5. Effective optimization of surface passivation on porous silicon carbide using atomic layer deposited Al2O3

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2017-01-01

    Porous silicon carbide (B–N co-doped SiC) produced by anodic oxidation showed strong photoluminescence (PL) at around 520 nm excited by a 375 nm laser. The porous SiC samples were passivated by atomic layer deposited (ALD) aluminum oxide (Al2O3) films, resulting in a significant enhancement...

  6. Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films

    International Nuclear Information System (INIS)

    Ohdaira, Keisuke; Tomura, Naohito; Ishii, Shohei; Matsumura, Hideki

    2011-01-01

    We investigate residual forms of hydrogen (H) atoms such as bonding configuration in poly-crystalline silicon (poly-Si) films formed by the flash-lamp-induced crystallization of catalytic chemical vapor deposited (Cat-CVD) a-Si films. Raman spectroscopy reveals that at least part of H atoms in flash-lamp-crystallized (FLC) poly-Si films form Si-H 2 bonds as well as Si-H bonds with Si atoms even using Si-H-rich Cat-CVD a-Si films, which indicates the rearrangement of H atoms during crystallization. The peak desorption temperature during thermal desorption spectroscopy (TDS) is as high as 900 o C, similar to the reported value for bulk poly-Si.

  7. Stability analysis of SiO2/SiC multilayer coatings

    International Nuclear Information System (INIS)

    Fu Zhiqiang; Jean-Charles, R.

    2006-01-01

    The stability behaviours of SiC coatings and SiO 2 /SiC coatings in helium with little impurities are studied by HSC Chemistry 4.1, the software for analysis of Chemical reaction and equilibrium in multi-component complex system. It is found that in helium with a low partial pressure of oxidative impurities under different total pressure, the key influence factor controlling T cp of SiC depends is the partial pressure of oxidative impurities; T cp of SiC increases with the partial pressure of oxidative impurities. In helium with a low partial pressure of different impurities, the key influence factor of T cs of SiO 2 are both the partial pressure of impurities and the amount of impurities for l mol SiO 2 ; T cs of SiO 2 increases with the partial pressure of oxidative impurities at the same amount of the impurities for 1 mol SiO 2 while it decreases with the amount of the impurities for 1 mm SiO 2 at the same partial pressure of the impurities. The influence of other impurities on T cp of SiC in He-O 2 is studied and it is found that CO 2 , H 2 O and N-2 increase T cp of SiC in He-O 2 while H 2 , CO and CH 4 decrease T cp of SiC He-O 2 . When there exist both oxidative impurities and reductive impurities, their effect on T cs of SiO 2 can be suppressed by the other. In HTR-10 operation atmosphere, SiO 2 /SiC coatings can keep stable status at higher temperature than SiC coatings, so SiO 2 /SiC coatings is more suitable to improve the oxidation resistance of graphite in HTR-10 operation atmosphere compared with SiC coatings. (authors)

  8. The CVD ZrB2 as a selective solar absorber

    Science.gov (United States)

    Randich, E.; Allred, D. D.

    Coatings of ZrB2 and TiB2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB2 and 800 K for Z4B2. Both materials exhibit innate spectral selectivity with emittance at 375 K ranging from 0.06 to 0.09 and solar absorptance for ZrB2 ranging from 0.67 to 0.77 and solar absorptance for TiB2 ranging from 0.46 to 0.58. ZrB2 has better solar selectivity and more desirable oxidation behavior than TiB2. A 0.071 micrometer antireflection coating of Si3N4 deposited on the ZrB2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  9. CVD-graphene growth on different polycrystalline transition metals

    Directory of Open Access Journals (Sweden)

    M. P. Lavin-Lopez

    2017-01-01

    Full Text Available The chemical vapor deposition (CVD graphene growth on two polycrystalline transition metals (Ni and Cu was investigated in detail using Raman spectroscopy and optical microscopy as a way to synthesize graphene of the highest quality (i.e. uniform growth of monolayer graphene, which is considered a key issue for electronic devices. Key CVD process parameters (reaction temperature, CH4/H2flow rate ratio, total flow of gases (CH4+H2, reaction time were optimized for both metals in order to obtain the highest graphene uniformity and quality. The conclusions previously reported in literature about the performance of low and high carbon solubility metals in the synthesis of graphene and their associated reaction mechanisms, i.e. surface depositionand precipitation on cooling, respectively, was not corroborated by the results obtained in this work. Under the optimal reaction conditions, a large percentage of monolayer graphene was obtained over the Ni foil since the carbon saturation was not complete, allowing carbon atoms to be stored in the bulk metal, which could diffuse forming high quality monolayer graphene at the surface. However, under the optimal reaction conditions, the formation of a non-uniform mixture of few layers and multilayer graphene on the Cu foil was related to the presence of an excess of active carbon atoms on the Cu surface.

  10. FABRICATION OF CNTS BY TOLUENE DECOMPOSITION IN A NEW REACTOR BASED ON AN ATMOSPHERIC PRESSURE PLASMA JET COUPLED TO A CVD SYSTEM

    Directory of Open Access Journals (Sweden)

    FELIPE RAMÍREZ-HERNÁNDEZ

    2017-03-01

    Full Text Available Here, we present a method to produce carbon nanotubes (CNTs based on the coupling between two conventional techniques used for the preparation of nanostructures: an arc-jet as a source of plasma and a chemical vapour deposition (CVD system. We call this system as an “atmospheric pressure plasma (APP-enhanced CVD” (APPE-CVD. This reactor was used to grow CNTs on non-flat aluminosilicate substrates by the decomposition of toluene (carbon source in the presence of ferrocene (as a catalyst. Both, CNTs and by-products of carbon were collected at three different temperatures (780, 820 and 860 °C in different regions of the APPE-CVD system. These samples were analysed by thermogravimetric analysis (TGA and DTG, scanning electron microscopy (SEM and Raman spectroscopy in order to determine the effect of APP on the thermal stability of the as-grown CNTs. It was found that the amount of metal catalyst in the synthesised CNTs is reduced by applying APP, being 820 °C the optimal temperature to produce CNTs with a high yield and carbon purity (95 wt. %. In contrast, when the synthesis temperature was fixed at 780 °C or 860 °C, amorphous carbon or CNTs with different structural defects, respectively, was formed through APEE-CVD reactor. We recommended the use of non-flat aluminosilicate particles as supports to increase CNT yield and facilitate the removal of deposits from the substrate surface. The approach that we implemented (to synthesise CNTs by using the APPE-CVD reactor may be useful to produce these nanostructures on a gram-scale for use in basic studies. The approach may also be scaled up for mass production.

  11. MgO by injection CVD

    International Nuclear Information System (INIS)

    Abrutis, A.; Kubilius, V.; Teiserkis, A.; Bigelyte, V.; Vengalis, B.; Jukna, A.; Butkute, R.

    1997-01-01

    Epitaxial YBa 2 Cu 3 O 7 layers with 45 in-plane orientation have been grown by injection CVD on MgO substrates polished off-axis to within 1.4-1.9 of the [100] direction. This new single-source CVD process is based on computer-controlled injection of precise microdoses of a metal-organic precursor solution into a CVD reactor. A wide range of solution compositions was tested to investigate compositional effects on phase purity, surface morphology, texturing and superconducting properties of the prepared films. The highest quality films with pure 45 texture had a smooth surface, zero resistance T c (R=0) of 88-89 K, and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.) and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.)

  12. Granular nanocrystalline zirconia electrolyte layers deposited on porous SOFC cathode substrates

    International Nuclear Information System (INIS)

    Seydel, Johannes; Becker, Michael; Ivers-Tiffee, Ellen; Hahn, Horst

    2009-01-01

    Thin granular yttria-stabilized zirconia (YSZ) electrolyte layers were prepared by chemical vapor synthesis and deposition (CVD/CVS) on a porous substoichiometric lanthanum-strontium-manganite (ULSM) solid oxide fuel cell cathode substrate. The substrate porosity was optimized with a screen printed fine porous buffer layer. Structural analysis by scanning electron microscopy showed a homogeneous, granular nanocrystalline layer with a microstructure that was controlled via reactor settings. The CVD/CVS gas-phase process enabled the deposition of crack-free granular YSZ films on porous ULSM substrates. The electrolyte layers characterized with impedance spectroscopy exhibited enhanced grain boundary conductivity.

  13. Effect of mixture ratios and nitrogen carrier gas flow rates on the morphology of carbon nanotube structures grown by CVD

    CSIR Research Space (South Africa)

    Malgas, GF

    2008-02-01

    Full Text Available This paper reports on the growth of carbon nanotubes (CNTs) by thermal Chemical Vapour Deposition (CVD) and investigates the effects of nitrogen carrier gas flow rates and mixture ratios on the morphology of CNTs on a silicon substrate by vaporizing...

  14. Synthesis and characterization of organosilicon compounds as novel precursors for CVD processes

    Energy Technology Data Exchange (ETDEWEB)

    Ermakova, E.N.; Sysoev, S.V.; Nikulina, L.D. [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences, Acad. Lavrentiev Ave. 3, Novosibirsk 630090 (Russian Federation); Tsyrendorzhieva, I.P.; Rakhlin, V.I. [Favorskii Institute of Chemistry, Siberian Branch of the Russian Academy of Sciences, Favorskii Str. 1, Irkutsk 664033 (Russian Federation); Kosinova, M.L., E-mail: marina@niic.nsc.ru [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences, Acad. Lavrentiev Ave. 3, Novosibirsk 630090 (Russian Federation)

    2015-12-20

    Highlights: • The temperature dependences of vapor pressure of four precursors have been measured. • The experimental data were used to calculate standard thermodynamic functions. • The thermodynamic modelling of SiC{sub x}N{sub y} films formation has been performed. - Abstract: Chemical vapor deposition using single-source organosilicon precursors is one of the most effective ways to produce multifunctional SiC{sub x}N{sub y} films. It is worth mentioning that the precursor molecule design affects both the composition and properties of films. Four organosilicon compounds containing a phenyl substituent (namely, trimethylphenylsilane, trimethyl(phenylamino) silane, trimethyl(benzylamino)silane and bis(trimethylsilyl)phenylamine) have been synthesized and characterized as potential CVD precursors for SiC{sub x}N{sub y} films synthesis. The compounds have been shown to be volatile and stable enough to be used in chemical vapor deposition of SiC{sub x}N{sub y} films. Thermodynamic modeling of the film deposition from the gaseous mixture of trimethylphenylsilane and ammonia in Si–C–N–H system has demonstrated that SiC{sub x}N{sub y} films can be deposited, and there is an opportunity to determine the area of appropriate deposition conditions.

  15. Thermoluminescence in CVD diamond films: application to actinometric dosimetry

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Chernov, V.; Castaneda, B.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2002-01-01

    Diamond is considered a tissue-equivalent material since its atomic number (Z=6) is close to the effective atomic number of biological tissue (Z=7.42). Such a situation makes it suitable for radiation detection purposes in medical applications. In the present work the analysis is reported of the thermoluminescence (TL) and dosimetric features of chemically vapour deposited (CVD) diamond film samples subjected to ultraviolet (UV) irradiation in the actinometric region. The TL glow curve shows peaks at 120, 220, 320 and 370 deg. C. The 120 and 370 deg. C peaks are too weak and the first one fades away in a few seconds after exposure. The overall room temperature fading shows a 50% TL decay 30 min after exposure. The 320 deg. C glow peak is considered to be the most adequate for dosimetric applications due to its low fading and linear TL behaviour as a function of UV dose in the 180-260 nm range. The TL excitation spectrum presents a broad band with at least two overlapped components around 205 and 220 nm. The results indicate that the TL behaviour of CVD diamond film can be a good alternative to the currently available dosemeter and detector in the actinometric region as well as in clinical and medical applications. (author)

  16. Computational Modeling of Radiation Phenomenon in SiC for Nuclear Applications

    Science.gov (United States)

    Ko, Hyunseok

    Silicon carbide (SiC) material has been investigated for promising nuclear materials owing to its superior thermo-mechanical properties, and low neutron cross-section. While the interest in SiC has been increasing, the lack of fundamental understanding in many radiation phenomena is an important issue. More specifically, these phenomena in SiC include the fission gas transport, radiation induced defects and its evolution, radiation effects on the mechanical stability, matrix brittleness of SiC composites, and low thermal conductivities of SiC composites. To better design SiC and SiC composite materials for various nuclear applications, understanding each phenomenon and its significance under specific reactor conditions is important. In this thesis, we used various modeling approaches to understand the fundamental radiation phenomena in SiC for nuclear applications in three aspects: (a) fission product diffusion through SiC, (b) optimization of thermodynamic stable self-interstitial atom clusters, (c) interface effect in SiC composite and their change upon radiation. In (a) fission product transport work, we proposed that Ag/Cs diffusion in high energy grain boundaries may be the upper boundary in unirradiated SiC at relevant temperature, and radiation enhanced diffusion is responsible for fast diffusion measured in post-irradiated fuel particles. For (b) the self-interstitial cluster work, thermodynamically stable clusters are identified as a function of cluster size, shape, and compositions using a genetic algorithm. We found that there are compositional and configurational transitions for stable clusters as the cluster size increases. For (c) the interface effect in SiC composite, we investigated recently proposed interface, which is CNT reinforced SiC composite. The analytical model suggests that CNT/SiC composites have attractive mechanical and thermal properties, and these fortify the argument that SiC composites are good candidate materials for the cladding

  17. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  18. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  19. Application of CVD diamond film for radiation detection

    International Nuclear Information System (INIS)

    Zhou Haiyang; Zhu Xiaodong; Zhan Rujuan

    2005-01-01

    With the development of diamond synthesis at low pressure, the CVD diamond properties including electronic characteristics have improved continuously. Now the fabrication of electronic devices based on the CVD diamond has been one of hot research subjects in this field. Due to many unique advantages, such as high signal-noise ratio, fast time response, and normal output in extremely harsh surrounding, the CVD diamond radiation detector has attracted more and more interest. In this paper, we have reviewed the development and status of the CVD diamond radiation detector. The prospect of this detector is described. (authors)

  20. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  1. The Chemistry of Inorganic Precursors during the Chemical Deposition of Films on Solid Surfaces.

    Science.gov (United States)

    Barry, Seán T; Teplyakov, Andrew V; Zaera, Francisco

    2018-03-20

    The deposition of thin solid films is central to many industrial applications, and chemical vapor deposition (CVD) methods are particularly useful for this task. For one, the isotropic nature of the adsorption of chemical species affords even coverages on surfaces with rough topographies, an increasingly common requirement in microelectronics. Furthermore, by splitting the overall film-depositing reactions into two or more complementary and self-limiting steps, as it is done in atomic layer depositions (ALD), film thicknesses can be controlled down to the sub-monolayer level. Thanks to the availability of a vast array of inorganic and metalorganic precursors, CVD and ALD are quite versatile and can be engineered to deposit virtually any type of solid material. On the negative side, the surface chemistry that takes place in these processes is often complex, and can include undesirable side reactions leading to the incorporation of impurities in the growing films. Appropriate precursors and deposition conditions need to be chosen to minimize these problems, and that requires a proper understanding of the underlying surface chemistry. The precursors for CVD and ALD are often designed and chosen based on their known thermal chemistry from inorganic chemistry studies, taking advantage of the vast knowledge developed in that field over the years. Although a good first approximation, however, this approach can lead to wrong choices, because the reactions of these precursors at gas-solid interfaces can be quite different from what is seen in solution. For one, solvents often aid in the displacement of ligands in metalorganic compounds, providing the right dielectric environment, temporarily coordinating to the metal, or facilitating multiple ligand-complex interactions to increase reaction probabilities; these options are not available in the gas-solid reactions associated with CVD and ALD. Moreover, solid surfaces act as unique "ligands", if these reactions are to be

  2. Comparative study of SiC- and Si-based photovoltaic inverters

    Science.gov (United States)

    Ando, Yuji; Oku, Takeo; Yasuda, Masashi; Shirahata, Yasuhiro; Ushijima, Kazufumi; Murozono, Mikio

    2017-01-01

    This article reports comparative study of 150-300 W class photovoltaic inverters (Si inverter, SiC inverter 1, and SiC inverter 2). In these sub-kW class inverters, the ON-resistance was considered to have little influence on the efficiency. The developed SiC inverters, however, have exhibited an approximately 3% higher direct current (DC)-alternating current (AC) conversion efficiency as compared to the Si inverter. Power loss analysis indicated a reduction in the switching and reverse recovery losses of SiC metal-oxide-semiconductor field-effect transistors used for the DC-AC converter is responsible for this improvement. In the SiC inverter 2, an increase of the switching frequency up to 100 kHz achieved a state-of-the-art combination of the weight (1.25 kg) and the volume (1260 cm3) as a 150-250 W class inverter. Even though the increased switching frequency should cause the increase of the switching losses, the SiC inverter 2 exhibited an efficiency comparable to the SiC inverter 1 with a switching frequency of 20 kHz. The power loss analysis also indicated a decreased loss of the DC-DC converter built with SiC Schottky barrier diodes led to the high efficiency for its increased switching frequency. These results clearly indicated feasibility of SiC devices even for sub-kW photovoltaic inverters, which will be available for the applications where compactness and efficiency are of tremendous importance.

  3. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  4. Growth of Ba-hexaferrite films on single crystal 6-H SiC

    International Nuclear Information System (INIS)

    Chen Zhoahui; Yang, Aria; Yoon, S.D.; Ziemer, Katherine; Vittoria, Carmine; Harris, V.G.

    2006-01-01

    Barium hexaferrite films have been processed by pulsed laser deposition on single crystal 6-H silicon carbide substrates. Atomic force microscopy images show hexagonal crystals (∼0.5μm in diameter) oriented with the c-axis perpendicular to the film plane. X-ray θ-2θ diffraction measurements indicate a strong (0,0,2n) alignment of crystallites. The magnetization for low-pressure deposition (20mTorr) is comparable to bulk values (4πM s ∼4320G). The loop squareness, important for self-bias microwave device applications, increases with oxygen pressure reaching a maximum value of 70%. This marks the first growth of a microwave ferrite on SiC substrates and offers a new approach in the design and development of μ-wave and mm-wave monolithic integrated circuits. c integrated circuits

  5. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  6. CVD of alternated microcrystalline (MCD) and nanocrystalline (NCD) diamond films on WC-TIC-CO substrates

    International Nuclear Information System (INIS)

    Campos, Raonei Alves; Contin, Andre; Trava-Airoldi, Vladimir J.; Corat, Evaldo Jose; Barquete, Danilo Maciel

    2010-01-01

    CVD Diamond coating of WC-TiC-Co cutting tools has been an alternative to increase tool lifetime. Experiments have shown that residual stresses produced during films growth on WC-TiC-Co substrates significantly increases with increasing film thickness up to 20 μm and usually leads to film delamination. In this work alternated micro- and nanocrystalline CVD diamond films have been used to relax interface stresses and to increase diamond coatings performance. WC-TiC-Co substrates have been submitted to a boronizing thermal diffusion treatment prior to CVD diamond films growth. After reactive heat treatment samples were submitted to chemical etching in acid and alkaline solution. The diamond films deposition was performed using HFCVD reactor with different gas concentrations for microcrystalline (MCD) and nano-crystalline (NCD) films growth. As a result, we present the improvement of diamond films adherence on WC-TiC-Co, evaluated by indentation and machining tests. Samples were characterized by Scanning Electron Microscopy (SEM) and Energy Dispersive X-ray (EDX) for qualitative analysis of diamond films. X-ray Diffraction (XRD) was used for phases identification after boronizing process. Diamond film compressive residual stresses were analyzed by Raman Scattering Spectroscopy (RSS). (author)

  7. New constructions of approximately SIC-POVMs via difference sets

    Science.gov (United States)

    Luo, Gaojun; Cao, Xiwang

    2018-04-01

    In quantum information theory, symmetric informationally complete positive operator-valued measures (SIC-POVMs) are related to quantum state tomography (Caves et al., 2004), quantum cryptography (Fuchs and Sasaki, 2003) [1], and foundational studies (Fuchs, 2002) [2]. However, constructing SIC-POVMs is notoriously hard. Although some SIC-POVMs have been constructed numerically, there does not exist an infinite class of them. In this paper, we propose two constructions of approximately SIC-POVMs, where a small deviation from uniformity of the inner products is allowed. We employ difference sets to present the first construction and the dimension of the approximately SIC-POVMs is q + 1, where q is a prime power. Notably, the dimension of this framework is new. The second construction is based on partial geometric difference sets and works whenever the dimension of the framework is a prime power.

  8. Performance of CVD and CVR coated carbon-carbon in high temperature hydrogen

    Science.gov (United States)

    Adams, J. W.; Barletta, R. E.; Svandrlik, J.; Vanier, P. E.

    As a part of the component development process for the particle bed reactor (PBR), it is necessary to develop coatings which will be time and temperature stable at extremely high temperatures in flowing hydrogen. These coatings must protect the underlying carbon structure from attack by the hydrogen coolant. Degradation which causes small changes in the reactor component, e.g. hole diameter in the hot frit, can have a profound effect on operation. The ability of a component to withstand repeated temperature cycles is also a coating development issue. Coatings which crack or spall under these conditions would be unacceptable. While refractory carbides appear to be the coating material of choice for carbon substrates being used in PBR components, the method of applying these coatings can have a large effect on their performance. Two deposition processes for these refractory carbides, chemical vapor deposition (CVD) and chemical vapor reaction (CVR), have been evaluated. Screening tests for these coatings consisted of testing of coated 2-D and 3-D weave carbon-carbon in flowing hot hydrogen at one atmosphere. Carbon loss from these samples was measured as a function of time. Exposure temperatures up to 3,000 K were used, and samples were exposed in a cyclical fashion cooling to room temperature between exposures. The results of these measurements are presented along with an evaluation of the relative merits of CVR and CVD coatings for this application.

  9. Development of the fabrication process of SiC composite by polycarbosilane

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju; Kim, Jung Il; Ryu, Woo Seog

    2004-11-01

    This technical report reviewed the fabrication process of fiber reinforced ceramic composites, characteristics of the PIP process, and applications of SiC f /SiC composite to develop a silicon carbide composite by PIP method. Additionally, characteristics and thermal behaviors of a PCS+SiC powder slurry and infiltration behaviors of slurry into the SiC fabric was evaluated. The stacking behaviors of SiC fabrics infiltrated a PCS+SiC powder slurry was also investigated. Using this stacked preforms, SiC f /SiC composites were fabricated by the electron beam curing and pyrolysis process and the thermal oxidation curing and pyrolysis process, respectively. And the characteristics of both composites were compared

  10. Near-surface and bulk behavior of Ag in SiC

    International Nuclear Information System (INIS)

    Xiao, H.Y.; Zhang, Y.; Snead, L.L.; Shutthanandan, V.; Xue, H.Z.; Weber, W.J.

    2012-01-01

    Highlights: ► Ag release from SiC poses problems in safe operation of nuclear reactors. ► Near-surface and bulk behavior of Ag are studied by ab initio and ion beam methods. ► Ag prefers to adsorb on the surface rather than in the bulk SiC. ► At high temperature Ag desorbs from the surface instead of diffusion into bulk SiC. ► Surface diffusion may be a dominating mechanism accounting for Ag release from SiC. - Abstract: The diffusive release of fission products, such as Ag, from TRISO particles at high temperatures has raised concerns regarding safe and economic operation of advanced nuclear reactors. Understanding the mechanisms of Ag diffusion is thus of crucial importance for effective retention of fission products. Two mechanisms, i.e., grain boundary diffusion and vapor or surface diffusion through macroscopic structures such as nano-pores or nano-cracks, remain in debate. In the present work, an integrated computational and experimental study of the near-surface and bulk behavior of Ag in silicon carbide (SiC) has been carried out. The ab initio calculations show that Ag prefers to adsorb on the SiC surface rather than in the bulk, and the mobility of Ag on the surface is high. The energy barrier for Ag desorption from the surface is calculated to be 0.85–1.68 eV, and Ag migration into bulk SiC through equilibrium diffusion process is not favorable. Experimentally, Ag ions are implanted into SiC to produce Ag profiles buried in the bulk and peaked at the surface. High-temperature annealing leads to Ag release from the surface region instead of diffusion into the interior of SiC. It is suggested that surface diffusion through mechanical structural imperfection, such as vapor transport through cracks in SiC coatings, may be a dominating mechanism accounting for Ag release from the SiC in the nuclear reactor.

  11. Conversion of wood flour/SiO2/phenolic composite to porous SiC ceramic containing SiC whiskers

    Directory of Open Access Journals (Sweden)

    Li Zhong

    2013-01-01

    Full Text Available A novel wood flour/SiO2/phenolic composite was chosen to be converted into porous SiC ceramic containing SiC whiskers via carbothermal reduction. At 1550°C the composite is converted into porous SiC ceramic with pore diameters of 10~40μm, and consisting of β-SiC located at the position of former wood cell walls. β-SiC wire-like whiskers of less than 50 nm in diameter and several tens to over 100 μm in length form within the pores. The surface of the resulting ceramic is coated with β-SiC necklace-like whiskers with diameters of 1~2μm.

  12. Improvement on the electrical characteristics of Pd/HfO{sub 2}/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    Energy Technology Data Exchange (ETDEWEB)

    Esakky, Papanasam, E-mail: papanasamte@gmail.com; Kailath, Binsu J

    2017-08-15

    Highlights: • Post deposition annealing (PDA) and post metallization annealing (PMA) on the electrical characteristics of Pd/HfO{sub 2}/6H-SiC MIS capacitors. • Post deposition N{sub 2}O plasma annealing inhibits crystallization of HfO{sub 2} during high temperature annealing. • Plasma annealing followed by RTA in N{sub 2} results in formation of hafnium silicate at the HfO{sub 2}-SiC interface. • PDA reduces interface state density (D{sub it}) and gate leakage current density (J{sub g}) by order. • PMA in forming gas for 40 min results in better passivation and reduces D{sub it} by two orders and J{sub g} by thrice. - Abstract: HfO{sub 2} as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO{sub 2}/SiC capacitors offer higher sensitivity than SiO{sub 2}/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO{sub 2}/SiC interface. Effect of post deposition annealing in N{sub 2}O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO{sub 2}/SiC MIS capacitors are reported in this work. N{sub 2}O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N{sub 2} result in formation of Hf silicate at the HfO{sub 2}/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N{sub 2}O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO{sub 2}/SiC capacitors.

  13. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  14. Microwave joining of SiC ceramics and composites

    Energy Technology Data Exchange (ETDEWEB)

    Ahmad, I.; Silberglitt, R.; Tian, Y.L. [FM Technologies, Inc., Fairfax, VA (United States); Katz, J.D. [Los Alamos National Lab., NM (United States)

    1997-04-01

    Potential applications of SiC include components for advanced turbine engines, tube assemblies for radiant burners and petrochemical processing and heat exchangers for high efficiency electric power generation systems. Reliable methods for joining SiC are required in order to cost-effectively fabricate components for these applications from commercially available shapes and sizes. This manuscript reports the results of microwave joining experiments performed using two different types of SiC materials. The first were on reaction bonded SiC, and produced joints with fracture toughness equal to or greater than that of the base material over an extended range of joining temperatures. The second were on continuous fiber-reinforced SiC/SiC composite materials, which were successfully joined with a commercial active brazing alloy, as well as by using a polymer precursor.

  15. Effect of irradiation on thermal expansion of SiCf/SiC composites

    International Nuclear Information System (INIS)

    Senor, D.J.; Trimble, D.J.; Woods, J.J.

    1996-06-01

    Linear thermal expansion was measured on five different SiC-fiber-reinforced/SiC-matrix (SiC f /SiC) composite types in the unirradiated and irradiated conditions. Two matrices were studied in combination with Nicalon CG reinforcement and a 150 nm PyC fiber/matrix interface: chemical vapor infiltrated (CVI) SiC and liquid-phase polymer impregnated precursor (PIP) SiC. Composites of PIP SiC with Tyranno and HPZ fiber reinforcement and a 150 nm PyC interface were also tested, as were PIP SiC composites with Nicalon CG reinforcement and a 150 nm BN fiber/matrix interface. The irradiation was conducted in the Experimental Breeder Reactor-II at a nominal temperature of 1,000 C to doses of either 33 or 43 dpa-SiC. Irradiation caused complete fiber/matrix debonding in the CVI SiC composites due to a dimensional stability mismatch between fiber and matrix, while the PIP SiC composites partially retained their fiber/matrix interface after irradiation. However, the thermal expansion of all the materials tested was found to be primarily dependent on the matrix and independent of either the fiber or the fiber/matrix interface. Further, irradiation had no significant effect on thermal expansion for either the CVI SiC or PIP SiC composites. In general, the thermal expansion of the CVI SiC composites exceeded that of the PIP SiC composites, particularly at elevated temperatures, but the expansion of both matrix types was less than chemical vapor deposited (CVD) β-SiC at all temperatures

  16. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  17. Introduction of nano-laminate Ti3SiC2 and SiC phases into Cf-C composite by liquid silicon infiltration method

    Directory of Open Access Journals (Sweden)

    Omid Yaghobizadeh

    2017-03-01

    Full Text Available The material Cf-C-SiC-Ti3SiC2 is promising for high temperature application. Due to the laminated structure and special properties, the Ti3SiC2 is one of the best reinforcements for Cf-C-SiC composites. In this paper, Cf-C-SiC-Ti3SiC2 composites were fabricated by liquid silicon infiltration (LSI method; the effect of the TiC amount on the various composites properties were studied. For samples with 0, 50 and 90 vol.% of TiC, the results show that bending strength are 168, 190, and 181 MPa; porosities are 3.2, 4.7, and 9%; the fracture toughness are 6.1, 8.9, and 7.8 MPa∙m1/2; interlaminar shear strength are 27, 36, and 30 MPa; the amount of the MAX phase are 0, 8.5, and 5.6 vol.%, respectively. These results show that amount of TiC is not the main effective parameter in synthesis of Ti3SiC2. The existence of carbon promotes the synthesis of Ti3SiC2 indicating that only sufficient carbon content can lead to the appearance of Ti3SiC2 in the LSI process.

  18. Homoepitaxial VPE growth of SiC active layers

    Energy Technology Data Exchange (ETDEWEB)

    Burk, A.A. Jr. [Northrop Grumman Electron. Sensors and Syst. Div., Baltimore, MD (United States); Rowland, L.B. [Northrop Grumman Sci. and Technol. Center, Pittsburgh, PA (United States)

    1997-07-01

    SiC active layers of tailored thickness and doping form the heart of all SiC electronic devices. These layers are most conveniently formed by vapor phase epitaxy (VPE). Exacting requirements are placed upon the SiC-VPE layers` material properties by both semiconductor device physics and available methods of device processing. In this paper, the current ability of the SiC-VPE process to meet these requirements is described along with continuing improvements in SiC epitaxial reactors, processes and materials. (orig.) 48 refs.

  19. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  20. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    Science.gov (United States)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  1. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Performance of CVD diamond as an optically and thermally stimulated luminescence dosemeter

    International Nuclear Information System (INIS)

    Preciado-Flores, S.; Schreck, M.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Cruz-Zaragoza, E.; Barboza-Flores, M.

    2006-01-01

    Diamond is a material with extreme physical properties. Its radiation hardness, chemical inertness and tissue equivalence qualify it as an ideal material for radiation dosimetry. In the present work, the optically stimulated luminescence (OSL) and thermoluminescence (TL) characteristics of a 10 μm thick CVD diamond (polycrystalline diamond films prepared by chemical vapor deposition) film were studied in order to test its performance as a beta radiation dosemeter. The TL response is composed of four main TL glow peaks; two of these are in the range of 150-200 deg. C and two additional peaks in the 250-400 deg. C temperature range. The integrated TL as a function of radiation dose is linear up to 100 Gy and increases with increasing dose exposure. The dose dependence of the integrated OSL exhibits a similar behavior. The observed OSL/TL behavior for the CVD diamond film clearly demonstrate its capability for applications in radiation dosimetry with special relevance in medical dosimetry owing to the diamond's intrinsic material properties. (authors)

  3. Heparin free coating on PLA membranes for enhanced hemocompatibility via iCVD

    Science.gov (United States)

    Wang, Hui; Shi, Xiao; Gao, Ailin; Lin, Haibo; Chen, Yongliang; Ye, Yumin; He, Jidong; Liu, Fu; Deng, Gang

    2018-03-01

    In the present work, we report one-step immobilization of nano-heparin coating on PLA membranes via initiated chemical vapor deposition (iCVD) for enhanced hemocompatibility. The nano-coating introduced onto the membrane surface via the crosslinking of P(MAA-EGDA) was confirmed by the FTIR, SEM and weight measurement respectively. The negative carboxyl groups could form the hydration interaction with the protein and platelets and electrostatic interaction with amide groups of thrombin by the mediation of antithrombin, which is similar but different with heparin. The P(MAA-EGDA) coated membranes showed suppressed platelet adhesion and prolonged clotting time (APTTs increased to 59 s, PTs increased to 20.4 s, TTs increased to 17.5 s, and the FIBs declined by 30 mg/dL). Moreover, the complement activation tests demonstrated the formation of C3a and C5a was inhibited. All results demonstrated that the nano-coating of P(MAA-EGDA) via iCVD significantly enhanced the hemocompatibility of PLA membranes, which is also applicable for various membranes.

  4. CVD carbon powders modified by ball milling

    Directory of Open Access Journals (Sweden)

    Kazmierczak Tomasz

    2015-09-01

    Full Text Available Carbon powders produced using a plasma assisted chemical vapor deposition (CVD methods are an interesting subject of research. One of the most interesting methods of synthesizing these powders is using radio frequency plasma. This method, originally used in deposition of carbon films containing different sp2/sp3 ratios, also makes possible to produce carbon structures in the form of powder. Results of research related to the mechanical modification of these powders have been presented. The powders were modified using a planetary ball mill with varying parameters, such as milling speed, time, ball/powder mass ratio and additional liquids. Changes in morphology and particle sizes were measured using scanning electron microscopy and dynamic light scattering. Phase composition was analyzed using Raman spectroscopy. The influence of individual parameters on the modification outcome was estimated using statistical method. The research proved that the size of obtained powders is mostly influenced by the milling speed and the amount of balls. Powders tend to form conglomerates sized up to hundreds of micrometers. Additionally, it is possible to obtain nanopowders with the size around 100 nm. Furthermore, application of additional liquid, i.e. water in the process reduces the graphitization of the powder, which takes place during dry milling.

  5. Comparison between radical- and energetic ion-induced growth of SiCxNy films in plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Afanasyev-Charkin, I.V.; Nastasi, M.

    2004-01-01

    Ternary SiC x N y compounds are materials with some remarkable properties such as high oxidation resistance and high hardness. In this work we compare the properties of SiC x N y films obtained using radio-frequency (rf) and pulsed glow discharge (PGD) plasmas with combinations of SiH 4 , C 2 H 2 , N 2 , and Ar source gases. The pulsed voltage used for the rf deposition was 200 V and for the PGD deposition it was 4 kV. During the rf growth, the growth takes place mostly by attaching neutral radicals to form chemical bonds. In the PGD method, the deposition takes place by subplantation and surface activation by energetic ions. We show that in the case of low-energy RF deposition, a high relative number of C-N bonds with sp 3 hybridization is formed and very few Si-C bonds can be observed. Apparently the growth of carbon nitride and silicon nitride networks takes place independently. This indicates that SiH 3 radicals attach to the dangling bonds of silicon and nitrogen, whereas C 2 H radicals attach to the dangling bonds of carbon and nitrogen. During pulsed glow discharge deposition, bonds between all three components are formed apparently by means of subplantation and damage-induced disorder. The hardness of the PGD films exceed that of the RF films, showing that to form a dense SiC x N y film one has to either supply energy during the growth of the films by heating the substrate, as in the case of chemical vapor deposition or by using energetic ions

  6. Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides

    Directory of Open Access Journals (Sweden)

    R. Polini

    2012-01-01

    Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.

  7. Rare earth element abundances in presolar SiC

    Science.gov (United States)

    Ireland, T. R.; Ávila, J. N.; Lugaro, M.; Cristallo, S.; Holden, P.; Lanc, P.; Nittler, L.; Alexander, C. M. O'D.; Gyngard, F.; Amari, S.

    2018-01-01

    Individual isotope abundances of Ba, lanthanides of the rare earth element (REE) group, and Hf have been determined in bulk samples of fine-grained silicon carbide (SiC) from the Murchison CM2 chondrite. The analytical protocol involved secondary ion mass spectrometry with combined high mass resolution and energy filtering to exclude REE oxide isobars and Si-C-O clusters from the peaks of interest. Relative sensitivity factors were determined through analysis of NIST SRM reference glasses (610 and 612) as well as a trace-element enriched SiC ceramic. When normalised to chondrite abundances, the presolar SiC REE pattern shows significant deficits at Eu and Yb, which are the most volatile of the REE. The pattern is very similar to that observed for Group III refractory inclusions. The SiC abundances were also normalised to s-process model predictions for the envelope compositions of low-mass (1.5-3 M⊙) AGB stars with close-to-solar metallicities (Z = 0.014 and 0.02). The overall trace element abundances (excluding Eu and Yb) appear consistent with the predicted s-process patterns. The depletions of Eu and Yb suggest that these elements remained in the gas phase during the condensation of SiC. The lack of depletion in some other moderately refractory elements (like Ba), and the presence of volatile elements (e.g. Xe) indicates that these elements were incorporated into SiC by other mechanisms, most likely ion implantation.

  8. Promising SiC support for Pd catalyst in selective hydrogenation of acetylene to ethylene

    Science.gov (United States)

    Guo, Zhanglong; Liu, Yuefeng; Liu, Yan; Chu, Wei

    2018-06-01

    In this study, SiC supported Pd nanoparticles were found to be an efficient catalyst in acetylene selective hydrogenation reaction. The ethylene selectivity can be about 20% higher than that on Pd/TiO2 catalyst at the same acetylene conversion at 90%. Moreover, Pd/SiC catalyst showed a stable catalytic life at 65 °C with 80% ethylene selectivity. With the detailed characterization using temperature-programmed reduction (H2-TPR), powder X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), N2 adsorption/desorption analysis, CO-chemisorption and thermo-gravimetric analysis (TGA), it was found that SiC owns a lower surface area (22.9 m2/g) and a broad distribution of meso-/macro-porosity (from 5 to 65 nm), which enhanced the mass transfer during the chemical process at high reaction rate and decreased the residence time of ethylene on catalyst surface. Importantly, SiC support has the high thermal conductivity, which favored the rapid temperature homogenization through the catalyst bed and inhabited the over-hydrogenation of acetylene. The surface electronic density of Pd on Pd/SiC catalyst was higher than that on Pd/TiO2, which could promote desorption of ethylene from surface of the catalyst. TGA results confirmed a much less coke deposition on Pd/SiC catalyst.

  9. SiC Conversion Coating Prepared from Silica-Graphite Reaction

    Directory of Open Access Journals (Sweden)

    Back-Sub Sung

    2017-01-01

    Full Text Available The β-SiC conversion coatings were successfully synthesized by the SiO(v-graphite(s reaction between silica powder and graphite specimen. This paper is to describe the effects on the characteristics of the SiC conversion coatings, fabricated according to two different reaction conditions. FE-SEM, FE-TEM microstructural morphologies, XRD patterns, pore size distribution, and oxidation behavior of the SiC-coated graphite were investigated. In the XRD pattern and SAD pattern, the coating layers showed cubic SiC peak as well as hexagonal SiC peak. The SiC coatings showed somewhat different characteristics with the reaction conditions according to the position arrangement of the graphite samples. The SiC coating on graphite, prepared in reaction zone (2, shows higher intensity of beta-SiC main peak (111 in XRD pattern as well as rather lower porosity and smaller main pore size peak under 1 μm.

  10. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  11. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  12. About SIC POVMs and discrete Wigner distributions

    International Nuclear Information System (INIS)

    Colin, Samuel; Corbett, John; Durt, Thomas; Gross, David

    2005-01-01

    A set of d 2 vectors in a Hilbert space of dimension d is called equiangular if each pair of vectors encloses the same angle. The projection operators onto these vectors define a POVM which is distinguished by its high degree of symmetry. Measures of this kind are called symmetric informationally complete, or SIC POVMs for short, and could be applied for quantum state tomography. Despite its simple geometrical description, the problem of constructing SIC POVMs or even proving their existence seems to be very hard. It is our purpose to introduce two applications of discrete Wigner functions to the analysis of the problem at hand. First, we will present a method for identifying symmetries of SIC POVMs under Clifford operations. This constitutes an alternative approach to a structure described before by Zauner and Appleby. Further, a simple and geometrically motivated construction for an SIC POVM in dimensions two and three is given (which, unfortunately, allows no generalization). Even though no new structures are found, we hope that the re-formulation of the problem may prove useful for future inquiries

  13. Fabrication of mullite-bonded porous SiC ceramics from multilayer-coated SiC particles through sol-gel and in-situ polymerization techniques

    Science.gov (United States)

    Ebrahimpour, Omid

    In this work, mullite-bonded porous silicon carbide (SiC) ceramics were prepared via a reaction bonding technique with the assistance of a sol-gel technique or in-situ polymerization as well as a combination of these techniques. In a typical procedure, SiC particles were first coated by alumina using calcined powder and alumina sol via a sol-gel technique followed by drying and passing through a screen. Subsequently, they were coated with the desired amount of polyethylene via an in-situ polymerization technique in a slurry phase reactor using a Ziegler-Natta catalyst. Afterward, the coated powders were dried again and passed through a screen before being pressed into a rectangular mold to make a green body. During the heating process, the polyethylene was burnt out to form pores at a temperature of about 500°C. Increasing the temperature above 800°C led to the partial oxidation of SiC particles to silica. At higher temperatures (above 1400°C) derived silica reacted with alumina to form mullite, which bonds SiC particles together. The porous SiC specimens were characterized with various techniques. The first part of the project was devoted to investigating the oxidation of SiC particles using a Thermogravimetric analysis (TGA) apparatus. The effects of particle size (micro and nano) and oxidation temperature (910°C--1010°C) as well as the initial mass of SiC particles in TGA on the oxidation behaviour of SiC powders were evaluated. To illustrate the oxidation rate of SiC in the packed bed state, a new kinetic model, which takes into account all of the diffusion steps (bulk, inter and intra particle diffusion) and surface oxidation rate, was proposed. Furthermore, the oxidation of SiC particles was analyzed by the X-ray Diffraction (XRD) technique. The effect of different alumina sources (calcined Al2O 3, alumina sol or a combination of the two) on the mechanical, physical, and crystalline structure of mullite-bonded porous SiC ceramics was studied in the

  14. Research Progress of Optical Fabrication and Surface-Microstructure Modification of SiC

    Directory of Open Access Journals (Sweden)

    Fang Jiang

    2012-01-01

    Full Text Available SiC has become the best candidate material for space mirror and optical devices due to a series of favorable physical and chemical properties. Fine surface optical quality with the surface roughness (RMS less than 1 nm is necessary for fine optical application. However, various defects are present in SiC ceramics, and it is very difficult to polish SiC ceramic matrix with the 1 nm RMS. Surface modification of SiC ceramics must be done on the SiC substrate. Four kinds of surface-modification routes including the hot pressed glass, the C/SiC clapping, SiC clapping, and Si clapping on SiC surface have been reported and reviewed here. The methods of surface modification, the mechanism of preparation, and the disadvantages and advantages are focused on in this paper. In our view, PVD Si is the best choice for surface modification of SiC mirror.

  15. Organic solar cells using CVD-grown graphene electrodes

    International Nuclear Information System (INIS)

    Kim, Hobeom; Han, Tae-Hee; Lim, Kyung-Geun; Lee, Tae-Woo; Bae, Sang-Hoon; Ahn, Jong-Hyun

    2014-01-01

    We report on the development of flexible organic solar cells (OSCs) incorporating graphene sheets synthesized by chemical vapor deposition (CVD) as transparent conducting electrodes on polyethylene terephthalate (PET) substrates. A key barrier that must be overcome for the successful fabrication of OSCs with graphene electrodes is the poor-film properties of water-based poly(3,4-ethylenedioxythiphene):poly(styrenesulfonate) (PEDOT:PSS) when coated onto hydrophobic graphene surfaces. To form a uniform PEDOT:PSS film on a graphene surface, we added perfluorinated ionomers (PFI) to pristine PEDOT:PSS to create ‘GraHEL’, which we then successfully spin coated onto the graphene surface. We systematically investigated the effect of number of layers in layer-by-layer stacked graphene anode of an OSC on the performance parameters including the open-circuit voltage (V oc ), short-circuit current (J sc ), and fill factor (FF). As the number of graphene layers increased, the FF tended to increase owing to lower sheet resistance, while J sc tended to decrease owing to the lower light absorption. In light of this trade-off between sheet resistance and transmittance, we determined that three-layer graphene (3LG) represents the best configuration for obtaining the optimal power conversion efficiency (PCE) in OSC anodes, even at suboptimal sheet resistances. We finally developed efficient, flexible OSCs with a PCE of 4.33%, which is the highest efficiency attained so far by an OSC with CVD-grown graphene electrodes to the best of our knowledge. (paper)

  16. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  17. New Possibilities of Power Electronic Structures Using SiC Technology

    Directory of Open Access Journals (Sweden)

    Robert Sul

    2006-01-01

    Full Text Available This paper is dedicated to the recent unprecedented boom of SiC electronic technology. The contribution deals with brief survey of those properties. In particular, the differences (both good and bad between SiC electronics technology and well-known silicon VLSI technology are highlighted. Projected performance benefits of SiC electronics are given for several large-scale applications on the end of the contribution. The basic properties of SiC material have been discussed already on the beginning of 80’s, also at our university.

  18. SiC nanoparticles as potential carriers for biologically active substances

    Science.gov (United States)

    Guevara-Lora, Ibeth; Czosnek, Cezary; Smycz, Aleksandra; Janik, Jerzy F.; Kozik, Andrzej

    2009-01-01

    Silicon carbide SiC thanks to its many advantageous properties has found numerous applications in diverse areas of technology. In this regard, its nanosized forms often with novel properties have been the subject of intense research in recent years. The aim of this study was to investigate the binding of biologically active substances onto SiC nanopowders as a new approach to biomolecule immobilization in terms of their prospective applications in medicine or for biochemical detection. The SiC nanoparticles were prepared by a two-stage aerosol-assisted synthesis from neat hexamethyldisiloxane. The binding of several proteins (bovine serum albumin, high molecular weight kininogen, immunoglobulin G) on SiC particle surfaces was demonstrated at the levels of 1-2 nanograms per mg of SiC. These values were found to significantly increase after suitable chemical modifications of nanoparticle surfaces (by carbodiimide or 3-aminopropyltrietoxysilane treatment). The study of SiC biocompatibility showed a lack of cytotoxicity against macrophages-like cells below the concentration of 1 mg nanoparticles per mL. In summary, we demonstrated the successful immobilization of the selected substances on the SiC nanoparticles. These results including the cytotoxicity study make nano-SiC highly attractive for potential applications in medicine, biotechnology or molecular detection.

  19. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  20. Challenges in Switching SiC MOSFET without Ringing

    DEFF Research Database (Denmark)

    Li, Helong; Munk-Nielsen, Stig

    2014-01-01

    Switching SiC MOSFET without ringing in high frequency applications is important for meeting the EMI (ElectroMagnetic Interference) standard. Achieving a clean switching waveform of SiC MOSFET without additional components is becoming a challenge. In this paper, the switching oscillation mechanis...

  1. MAX Phase Modified SiC Composites for Ceramic-Metal Hybrid Cladding Tubes

    International Nuclear Information System (INIS)

    Jung, Yang-Il; Kim, Sun-Han; Park, Dong-Jun; Park, Jeong-Hwan; Park, Jeong-Yong; Kim, Hyun-Gil; Koo, Yang-Hyun

    2015-01-01

    A metal-ceramic hybrid cladding consists of an inner zirconium tube, and an outer SiC fiber-matrix SiC ceramic composite with surface coating as shown in Fig. 1 (left-hand side). The inner zirconium allows the matrix to remain fully sealed even if the ceramic matrix cracks through. The outer SiC composite can increase the safety margin by taking the merits of the SiC itself. In addition, the outermost layer prevents the dissolution of SiC during normal operation. On the other hand, a ceramic-metal hybrid cladding consists of an outer zirconium tube, and an inner SiC ceramic composite as shown in Fig. 1 (right-hand side). The outer zirconium protects the fuel rod from a corrosion during reactor operation, as in the present fuel claddings. The inner SiC composite, additionally, is designed to resist the severe oxidation under a postulated accident condition of a high-temperature steam environment. Reaction-bonded SiC was fabricated by modifying the matrix as the MAX phase. The formation of Ti 3 SiC 2 was investigated depending on the compositions of the preform and melt. In most cases, TiSi 2 was the preferential phase because of its lowest melting point in the Ti-Si-C system. The evidence of Ti 3 SiC 2 was the connection with the pressurizing

  2. Packaging Technologies for 500C SiC Electronics and Sensors

    Science.gov (United States)

    Chen, Liang-Yu

    2013-01-01

    Various SiC electronics and sensors are currently under development for applications in 500C high temperature environments such as hot sections of aerospace engines and the surface of Venus. In order to conduct long-term test and eventually commercialize these SiC devices, compatible packaging technologies for the SiC electronics and sensors are required. This presentation reviews packaging technologies developed for 500C SiC electronics and sensors to address both component and subsystem level packaging needs for high temperature environments. The packaging system for high temperature SiC electronics includes ceramic chip-level packages, ceramic printed circuit boards (PCBs), and edge-connectors. High temperature durable die-attach and precious metal wire-bonding are used in the chip-level packaging process. A high temperature sensor package is specifically designed to address high temperature micro-fabricated capacitive pressure sensors for high differential pressure environments. This presentation describes development of these electronics and sensor packaging technologies, including some testing results of SiC electronics and capacitive pressure sensors using these packaging technologies.

  3. Simple method for the calculation and use of CVD phase diagrams with applications to the Ti-B-Cl-H system, 1200 to 8000K

    International Nuclear Information System (INIS)

    Randich, E.; Gerlach, T.M.

    1980-03-01

    A simple method for calculating multi-component gas-solid equilibrium phase diagrams for chemical vapor deposition (CVD) systems is presented. The method proceeds in three steps: dtermination of stable solid assemblages, evaluation of gas-solid stability relations, and calcuation of conventional phase diagrams using a new free energy minimization technique. The phase diagrams can be used to determine (1) bulk compositions and phase fields accessible by CVD techniques; (2) expected condensed phases for various starting gas mixtures; and (3) maximum equilibrium yields for specific CVD process variables. The three step thermodynamic method is used to calcuate phase diagrams for the example CVD system Ti-B-Cl-H at 1200 and 800 0 K. Examples of applications of the diagrams for yield optimization and experimental accessibility studies are presented and discussed. Experimental verification of the TiB 2 + Gas/Gas phase field boundary at 1200 0 K, H/Cl = 1 confirms the calculated boundary and indicates that equilibrium is nearly and rapidly approached under laboratory conditions

  4. Chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Arendse, CJ

    2006-02-01

    Full Text Available , effective, more versatile and easily scalable to large substrate sizes. In this paper, we present a design of the hot-wire CVD system constructed at the CSIR for the deposition of CNTs. Additionally, we will report on the structure of CNTs deposited... exhibit exceptional chemical and physical properties related to toughness, chemical inertness, magnetism, and electrical and thermal conductivity. A variety of preparation methods to synthesise CNTs are known, e.g. carbon-arc discharge, laser ablation...

  5. SiC for microwave power transistors

    Energy Technology Data Exchange (ETDEWEB)

    Sriram, S.; Siergiej, R.R.; Clarke, R.C.; Agarwal, A.K.; Brandt, C.D. [Northrop Grumman Sci. and Technol. Center, Pittsburgh, PA (United States)

    1997-07-16

    The advantages of SiC for high power, microwave devices are discussed. The design considerations, fabrication, and experimental results are described for SiC MESFETs and SITs. The highest reported f{sub max} for a 0.5 {mu}m MESFET using semi-insulating 4H-SiC is 42 GHz. These devices also showed a small signal gain of 5.1 dB at 20 GHz. Other 4H-SiC MESFETs have shown a power density of 3.3 W/mm at 850 MHz. The largest SiC power transistor reported is a 450 W SIT measured at 600 MHz. The power output density of this SIT is 2.5 times higher than that of comparable silicon devices. SITs have been designed to operate as high as 3.0 GHz, with a 3 cm periphery part delivering 38 W of output power. (orig.) 28 refs.

  6. Formation mechanism of SiC in C-Si system by ion irradiation

    International Nuclear Information System (INIS)

    Hishita, Shunichi; Aizawa, Takashi; Suehara, Shigeru; Haneda, Hajime

    2003-01-01

    The irradiation effects of 2 MeV He + , Ne + , and Ar + ions on the film structure of the C-Si system were investigated with RHEED and XPS. The ion dose dependence of the SiC formation was kinetically analyzed. The SiC formation at moderate temperature was achieved by 2 MeV ion irradiation when the thickness of the initial carbon films was appropriate. The evolution process of the SiC film thickness consisted of the 3 stages. The first stage was the steep increase of the SiC, and was governed by the inelastic collision. The second was the gentle increase of the SiC, and was governed by the diffusion. The last was the decrease of the SiC, and was caused by the sputtering. The formation mechanism of the SiC was discussed. (author)

  7. Residual stress and mechanical properties of SiC ceramic by heat treatment

    International Nuclear Information System (INIS)

    Yoon, H.K.; Kim, D.H.; Shin, B.C.

    2007-01-01

    Full text of publication follows: Silicon carbide is a compound of relatively low density, high hardness, elevated thermal stability and good thermal conductivity, resulting in good thermal shock resistance. Because of these properties, SiC materials are widely used as abrasives and refractories. In this study, SiC single and poly crystals was grown by the sublimation method using the SiC seed crystal and SiC powder as the source material. Mechanical properties of SiC single and poly crystals are carried out by using the nano-indentation method and small punch test after the heat treatment. As a result, mechanical properties of SiC poly crystal had over double than single. And SiC single and poly crystals were occurred residual stress, but residual stress was shown relaxant properties by the effect of heat treatment. (authors)

  8. Irradiation damages in Ti3SiC2

    International Nuclear Information System (INIS)

    Nappe, J.C.; Grosseau, Ph.; Guilhot, B.; Audubert, F.; Beauvy, M.

    2007-01-01

    Carbides, by their remarkable properties, are considered as possible materials (fuel cans) in reactor of generation IV. Among those studied, Ti 3 SiC 2 is particularly considered because it joins both the ceramics and metals properties. Nevertheless, its behaviour under irradiation is not known. Characterizations have been carried out on samples irradiated at 75 MeV krypton ions. They have revealed that TiO 2 (formed at the surface of Ti 3 SiC 2 ) is pulverized by the irradiation and that the crystal lattice of Ti 3 SiC 2 dilates with c. (O.M.)

  9. CVD-grown horizontally aligned single-walled carbon nanotubes: synthesis routes and growth mechanisms.

    Science.gov (United States)

    Ibrahim, Imad; Bachmatiuk, Alicja; Warner, Jamie H; Büchner, Bernd; Cuniberti, Gianaurelio; Rümmeli, Mark H

    2012-07-09

    Single-walled carbon nanotubes (SWCNTs) have attractive electrical and physical properties, which make them very promising for use in various applications. For some applications however, in particular those involving electronics, SWCNTs need to be synthesized with a high degree of control with respect to yield, length, alignment, diameter, and chirality. With this in mind, a great deal of effort is being directed to the precision control of vertically and horizontally aligned nanotubes. In this review the focus is on the latter, horizontally aligned tubes grown by chemical vapor deposition (CVD). The reader is provided with an in-depth review of the established vapor deposition orientation techniques. Detailed discussions on the characterization routes, growth parameters, and growth mechanisms are also provided. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Use of Kelvin probe force microscopy for identification of CVD grown graphene flakes on copper foil

    Science.gov (United States)

    Kumar, Rakesh; Mehta, B. R.; Kanjilal, D.

    2017-05-01

    Graphene flakes have been grown by chemical vapour deposition (CVD) method on Cu foils. The obtained graphene flakes have been characterized by optical microscopy, field emission scanning electron microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy. The graphene flakes grown on Cu foil comprise mainly single layer graphene and confirm that the nucleation for graphene growth starts very quickly. Moreover, KPFM has been found to be a valuable technique to differentiate between covered and uncovered portion of Cu foil by graphene flakes deposited for shorter duration. The results show that KPFM can be a very useful technique in understanding the mechanism of graphene growth.

  11. A Novel Method for Incorporation of Micron-Sized SiC Particles into Molten Pure Aluminum Utilizing a Co Coating

    Science.gov (United States)

    Mohammadpour, M.; Khosroshahi, R. Azari; Mousavian, R. Taherzadeh; Brabazon, D.

    2015-02-01

    Ceramic particles typically do not have sufficiently high wettability by molten metal for effective bonding during metal matrix composite fabrication. In this study, a novel method has been used to overcome this drawback. Micron-sized SiC particles were coated by a cobalt metallic layer using an electroless deposition method. A layer of cobalt on the SiC particles was produced prior to incorporation in molten pure aluminum in order to improve the injected particle bonding with the matrix. For comparison, magnesium was added to the melt in separate experiments as a wetting agent to assess which method was more effective for particle incorporation. It was found that both of these methods were more effective as regard ceramic particulate incorporation compared with samples produced with as-received SiC particles injected into the pure aluminum matrix. SEM images indicated that cobalt coating of the particles was more effective than magnesium for incorporation of fine SiC particles (below 30 µm), while totally the incorporation percentage of the particles was higher for a sample in which Mg was added as a wetting agent. In addition, microhardness tests revealed that the cobalt coating leads to the fabrication of a harder composite due to increased amount of ceramic incorporation, ceramic-matrix bonding, and possibly also to formation of Al-Co intermetallic phases.

  12. The gate oxide integrity of CVD tungsten polycide

    International Nuclear Information System (INIS)

    Wu, N.W.; Su, W.D.; Chang, S.W.; Tseng, M.F.

    1988-01-01

    CVD tungsten polycide has been demonstrated as a good gate material in recent very large scale integration (VLSI) technology. CVD tungsten silicide offers advantages of low resistivity, high temperature stability and good step coverage. On the other hand, the polysilicon underlayer preserves most characteristics of the polysilicon gate and acts as a stress buffer layer to absorb part of the thermal stress origin from the large thermal expansion coefficient of tungsten silicide. Nevertheless, the gate oxide of CVD tungsten polycide is less stable or reliable than that of polysilicon gate. In this paper, the gate oxide integrity of CVD tungsten polycide with various thickness combinations and different thermal processes have been analyzed by several electrical measurements including breakdown yield, breakdown fluence, room temperature TDDB, I-V characteristics, electron traps and interface state density

  13. Dimensionless Numbers Expressed in Terms of Common CVD Process Parameters

    Science.gov (United States)

    Kuczmarski, Maria A.

    1999-01-01

    A variety of dimensionless numbers related to momentum and heat transfer are useful in Chemical Vapor Deposition (CVD) analysis. These numbers are not traditionally calculated by directly using reactor operating parameters, such as temperature and pressure. In this paper, these numbers have been expressed in a form that explicitly shows their dependence upon the carrier gas, reactor geometry, and reactor operation conditions. These expressions were derived for both monatomic and diatomic gases using estimation techniques for viscosity, thermal conductivity, and heat capacity. Values calculated from these expressions compared well to previously published values. These expressions provide a relatively quick method for predicting changes in the flow patterns resulting from changes in the reactor operating conditions.

  14. Surface modification of pitch-based spherical activated carbon by CVD of NH3 to improve its adsorption to uric acid

    International Nuclear Information System (INIS)

    Liu Chaojun; Liang Xiaoyi; Liu Xiaojun; Wang Qin; Zhan Liang; Zhang Rui; Qiao Wenming; Ling Licheng

    2008-01-01

    Surface chemistry of pitch-based spherical activated carbon (PSAC) was modified by chemical vapor deposition of NH 3 (NH 3 -CVD) to improve the adsorption properties of uric acid. The texture and surface chemistry of PSAC were studied by N 2 adsorption, pH PZC (point of zero charge), acid-base titration and X-ray photoelectron spectroscopy (XPS). NH 3 -CVD has a limited effect on carbon textural characteristics but it significantly changed the surface chemical properties, resulting in positive effects on uric acid adsorption. After modification by NH 3 -CVD, large numbers of nitrogen-containing groups (especially valley-N and center-N) are introduced on the surface of PSAC, which is responsible for the increase of pH PZC , surface basicity and uric acid adsorption capacity. Pseudo-second-order kinetic model can be used to describe the dynamic adsorption of uric acid on PSAC, and the thermodynamic parameters show that the adsorption of uric acid on PSAC is spontaneous, endothermic and irreversible process in nature

  15. Surface modification of pitch-based spherical activated carbon by CVD of NH 3 to improve its adsorption to uric acid

    Science.gov (United States)

    Liu, Chaojun; Liang, Xiaoyi; Liu, Xiaojun; Wang, Qin; Zhan, Liang; Zhang, Rui; Qiao, Wenming; Ling, Licheng

    2008-08-01

    Surface chemistry of pitch-based spherical activated carbon (PSAC) was modified by chemical vapor deposition of NH 3 (NH 3-CVD) to improve the adsorption properties of uric acid. The texture and surface chemistry of PSAC were studied by N 2 adsorption, pH PZC (point of zero charge), acid-base titration and X-ray photoelectron spectroscopy (XPS). NH 3-CVD has a limited effect on carbon textural characteristics but it significantly changed the surface chemical properties, resulting in positive effects on uric acid adsorption. After modification by NH 3-CVD, large numbers of nitrogen-containing groups (especially valley-N and center-N) are introduced on the surface of PSAC, which is responsible for the increase of pH PZC, surface basicity and uric acid adsorption capacity. Pseudo-second-order kinetic model can be used to describe the dynamic adsorption of uric acid on PSAC, and the thermodynamic parameters show that the adsorption of uric acid on PSAC is spontaneous, endothermic and irreversible process in nature.

  16. Detail study of SiC MOSFET switching characteristics

    DEFF Research Database (Denmark)

    Li, Helong; Munk-Nielsen, Stig

    2014-01-01

    This paper makes detail study of the latest SiC MOSFETs switching characteristics in relation to gate driver maximum current, gate resistance, common source inductance and parasitic switching loop inductance. The switching performance of SiC MOSFETs in terms of turn on and turn off voltage...

  17. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  18. Deposition and Characterization of TRISO Coating Layers

    International Nuclear Information System (INIS)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H.

    2007-03-01

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis

  19. Deposition and Characterization of TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H. [KAIST, Daejeon (Korea, Republic of)

    2007-03-15

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis.

  20. Synthesis of graphene on nickel films by CVD method using methane

    International Nuclear Information System (INIS)

    Castro, Manuela O. de; Liebold-Ribeiro, Yvonne; Barros, Eduardo B.; Salomao, Francisco C.C.; Mendes Filho, Josue; Souza Filho, Antonio G.; Chesman, Carlos

    2011-01-01

    Full text: Nanomaterials have opened up many possibilities for groundbreaking innovations in various technologies of modern society. One key example is graphene, which is composed of one-atom-thick sheet of sp2-bonded carbon atoms, arranged in a hexagonal symmetry. However, real world applications of graphene require well-established and large synthesis techniques. The so-called Chemical Vapor Deposition (CVD) is one of the most promising method for synthesizing graphene. The general idea of this technique is to dissolve carbon atoms inside a transition metal melt at a certain temperature, then allowing the dissolved carbon to precipitate at lower temperatures as single layer graphene (SLG). In the present work, we used the CVD method and methane gas as carbon source for the synthesis of graphene on silicon (Si) substrates (300nm thermal oxide) covered with sputtered nickel (Ni) films as catalyst. In order to achieve large-area and defect-free graphene sheets the influence of the different growth parameters (growth temperature and time, gas flow of methane, film thickness and grain size of Ni) on quality and quantity of graphene growth were studied. The obtained graphene films were transferred to a silicon substrate by the polymer coating process, using polymethyl-methacrylate (PMMA) as coating. In order to characterize the transferred graphene we used Scanning Electron Microscopy (SEM), Raman Spectroscopy, Optical Microscopy and Atomic Force Microscopy (AFM). The results show the influence of CVD process parameters on the quality and quantity of graphene growth in our experimental conditions. Acknowledgments: The authors thank Brazilian agencies CNPq and FUNCAP for financial support and Alfonso Reina (MIT, USA) for helpful discussions. (author)

  1. Advances in wide bandgap SiC for optoelectronics

    DEFF Research Database (Denmark)

    Ou, Haiyan; Ou, Yiyu; Argyraki, Aikaterini

    2014-01-01

    Silicon carbide (SiC) has played a key role in power electronics thanks to its unique physical properties like wide bandgap, high breakdown field, etc. During the past decade, SiC is also becoming more and more active in optoelectronics thanks to the progress in materials growth and nanofabrication...

  2. Deposition of silicon oxynitride at room temperature by Inductively Coupled Plasma-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Zambom, Luis da Silva [MPCE-Faculdade de Tecnologia de Sao Paulo - CEETEPS, Pca Coronel Fernando Prestes, 30, Sao Paulo - CEP 01124-060 (Brazil)]. E-mail: zambom@lsi.usp.br; Verdonck, Patrick [PSI-LSI-Escola Politecnica da Universidade de Sao Paulo (Brazil)]. E-mail: patrick@lsi.usp.br

    2006-10-25

    Oxynitride thin films are used in important optical applications and as gate dielectric for MOS devices. Their traditional deposition processes have the drawbacks that high temperatures are needed, high mechanical stresses are induced and the deposition rate is low. Plasma assisted processes may alleviate these problems. In this study, oxynitride films were deposited at room temperature through the chemical reaction of silane, nitrogen and nitrous oxide (N{sub 2}O), in a conventional LPCVD furnace, which was modified into a high density Inductively Coupled Plasma (ICP) reactor. Deposition rates increased with applied coil power and were never lower than 10 nm/min, quite high for room temperature depositions. The films' refractive indexes and FTIR spectra indicate that for processes with low N{sub 2}O gas concentrations, when mixed together with N{sub 2} and SiH{sub 4}, nitrogen was incorporated in the film. This incorporation increased the resistivity, which was up to 70 G{omega} cm, increased the refractive index, from approximately 1.47 to approximately 1.50, and decreased the dielectric constant of these films, which varied in the 4-14 range. These characteristics are adequate for electric applications e.g. for TFT fabrication on glass or polymers which can not stand high temperature steps.

  3. Research Progress on Preparation for Biomass-based SiC Ceramic

    Directory of Open Access Journals (Sweden)

    CUI He-shuai

    2017-08-01

    Full Text Available Silicon carbide (SiC ceramics prepared by the conventional process has excellent properties and wide application prospects, but the increased cost of high-temperature preparation process restricts its further development. In contrast, the abundant porous structure of biomass makes itself to be ideal replacement of SiC ceramic prepared at low temperature. This paper reviewed the structure characteristics, preparation methods, pyrolysis mechanism and influence parameters of biomass-based SiC ceramic, and eventually explored the current problems and development trends of the pretreatment of carbon source and silicon source, the pyrolysis process and the application research on the preparation for biomass-based SiC ceramic.

  4. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat

    Science.gov (United States)

    Suh, Hyo Seon; Kim, Do Han; Moni, Priya; Xiong, Shisheng; Ocola, Leonidas E.; Zaluzec, Nestor J.; Gleason, Karen K.; Nealey, Paul F.

    2017-07-01

    Directed self-assembly (DSA) of the domain structure in block copolymer (BCP) thin films is a promising approach for sub-10-nm surface patterning. DSA requires the control of interfacial properties on both interfaces of a BCP film to induce the formation of domains that traverse the entire film with a perpendicular orientation. Here we show a methodology to control the interfacial properties of BCP films that uses a polymer topcoat deposited by initiated chemical vapour deposition (iCVD). The iCVD topcoat forms a crosslinked network that grafts to and immobilizes BCP chains to create an interface that is equally attractive to both blocks of the underlying copolymer. The topcoat, in conjunction with a chemically patterned substrate, directs the assembly of the grating structures in BCP films with a half-pitch dimension of 9.3 nm. As the iCVD topcoat can be as thin as 7 nm, it is amenable to pattern transfer without removal. The ease of vapour-phase deposition, applicability to high-resolution BCP systems and integration with pattern-transfer schemes are attractive properties of iCVD topcoats for industrial applications.

  5. Estudio de la Citotoxicidad de Cerámicas Biomórficas de SiC Recubiertas con Vidrio Bioactivo

    Directory of Open Access Journals (Sweden)

    Borrajo, J. P.

    2006-04-01

    Full Text Available In the past years there was a need to develop new tough bioactive materials capable to resist high loads when implanted in the body, that led to the production of bioactive coatings on metallic substrates. A new approach, which consists of biomorphic silicon carbide (SiC coated with bioactive glass by Pulsed Laser Deposition (PLD, was recently presented. This new material joins the high mechanical strength, lightness and porosity of biomorphic SiC and the bioactive properties of PLD glass films. In this work, a multiple evaluation of this new material is presented starting from the biomorphic SiC morphology and porosity, following with the bioactivity in simulated body fluid of the coatings, and ending with a deep in vitro study with MG-63 cells. The citotoxicity of the SiC coated and uncoated and the cell proliferation and attachment were studied.

    La necesidad de desarrollar nuevos implantes basados en materiales bioactivos que sean capaces de soportar grandes cargas mecánicas ha llevado a la producción de sustratos metálicos recubiertos con cerámicas bioactivas. Recientemente se ha propuesto un dispositivo alternativo que consiste en un sustrato de carburo de silicio (SiC biomórfico recubierto con vidrio bioactivo, mediante la técnica de Depósito por Láser Pulsado (PLD, y que dispone de la resistencia mecánica adecuada, además de gran ligereza y una porosidad intrínseca muy favorable de cara a la implantación. En este trabajo se presenta un estudio interdisciplinar de este nuevo material centrado en la morfología y porosidad de sustratos de SiC provenientes de diferentes maderas, la bioactividad de los recubrimientos producidos por PLD y en la evaluación in vitro con células de osteosarcoma MG-63 con la que se ha determinado la citotoxicidad de estos materiales y se ha estudiado la influencia de los mismos en la adhesión y la proliferación celular.

  6. Ballistic transport in graphene grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K.; Zhu, Shou-En; Janssen, G. C. A. M.; Watanabe, K.; Taniguchi, T.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene

  7. Ballistic transport in graphene grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K., E-mail: l.m.k.vandersypen@tudelft.nl [Kavli Institute of Nanoscience, Delft University of Technology, 2600 GA Delft (Netherlands); Zhu, Shou-En; Janssen, G. C. A. M. [Micro and Nano Engineering Laboratory, Precision and Microsystems Engineering, Delft University of Technology, 2628 CD Delft (Netherlands); Watanabe, K.; Taniguchi, T. [Advanced Materials Laboratory, National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2014-01-13

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.

  8. Determining the fracture resistance of advanced SiC fiber reinforced SiC matrix composites

    International Nuclear Information System (INIS)

    Nozawa, T.; Katoh, Y.; Kishimoto, H.

    2007-01-01

    Full text of publication follows: One of the perceived advantages for highly-crystalline and stoichiometric silicon carbide (SiC) and SiC composites, e.g., advanced SiC fiber reinforced chemically-vapor-infiltrated (CVI) SiC matrix composites, is the retention of fast fracture properties after neutron irradiation at high-temperatures (∼1000 deg. C) to intermediate-doses (∼15 dpa). Accordingly, it has been clarified that the maximum allowable stress (or strain) limit seems unaffected in certain irradiation conditions. Meanwhile, understanding the mechanism of crack propagation from flaws, as potential weakest link to cause composite failure, is somehow lacking, despite that determining the strength criterion based on the fracture mechanics will eventually become important considering the nature of composites' fracture. This study aims to evaluate crack propagation behaviors of advanced SiC/SiC and to provide fundamentals on fracture resistance of the composites to define the strength limit for the practical component design. For those purposes, the effects of irreversible energies related to interfacial de-bonding, fiber bridging, and microcrack forming on the fracture resistance were evaluated. Two-dimensional SiC/SiC composites were fabricated by CVI or nano-infiltration and transient-eutectic-phase (NITE ) methods. Hi-Nicalon TM Type-S or Tyranno TM -SA fibers were used as reinforcements. In-plane mode-I fracture resistance was evaluated by the single edge notched bend technique. The key finding is the continuous Load increase with the crack growth for any types of advanced composites, while many studies specified the gradual load decrease for the conventional composites once the crack initiates. This high quasi-ductility appeared due primarily to high friction (>100 MPa) at the fiber/matrix interface using rough SiC fibers. The preliminary analysis based on the linear elastic fracture mechanics, which does not consider the effects of irreversible energy

  9. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  10. Urchin-like artificial gallium oxide nanowires grown by a novel MOCVD/CVD-based route for random laser application

    Energy Technology Data Exchange (ETDEWEB)

    Melo, Ronaldo P. de [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Colégio Militar do Recife, Exército Brasileiro, Recife PE 50730-120 (Brazil); Oliveira, Nathalia Talita C. [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Dominguez, Christian Tolentino; Gomes, Anderson S. L.; Araújo, Cid B. de [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Falcão, Eduardo H. L.; Alves, Severino; Luz, Leonis L. da [Departamento de Química Fundamental, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Chassagnon, Remi [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS-Université de Bourgogne, 9 Av. A. Savary, BP 47870, 21078 Dijon Cedex (France); Sacilotti, Marco [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Nanoform Group, Laboratoire Interdisciplinaire Carnot de Bourgogne, Université de Bourgogne, Dijon (France)

    2016-04-28

    A novel procedure based on a two-step method was developed to obtain β-Ga{sub 2}O{sub 3} nanowires by the chemical vapor deposition (CVD) method. The first step consists in the gallium micro-spheres growth inside a metal-organic chemical vapor deposition environment, using an organometallic precursor. Nanoscale spheres covering the microspheres were obtained. The second step involves the CVD oxidization of the gallium micro-spheres, which allow the formation of β-Ga{sub 2}O{sub 3} nanowires on the micro-sphere surface, with the final result being a nanostructure mimicking nature's sea urchin morphology. The grown nanomaterial is characterized by several techniques, including X-ray diffraction, scanning electron microscopy, energy-dispersive X-ray, transmission electron microscopy, and photoluminescence. A discussion about the growth mechanism and the optical properties of the β-Ga{sub 2}O{sub 3} material is presented considering its unknown true bandgap value (extending from 4.4 to 5.68 eV). As an application, the scattering properties of the nanomaterial are exploited to demonstrate random laser emission (around 570 nm) when it is permeated with a laser dye liquid solution.

  11. Ag Transport Through Non-Irradiated and Irradiated SiC

    Energy Technology Data Exchange (ETDEWEB)

    Szlufarska, Izabela [Univ. of Wisconsin, Madison, WI (United States); Morgan, Dane [Univ. of Wisconsin, Madison, WI (United States); Blanchard, James [Univ. of Wisconsin, Madison, WI (United States)

    2016-01-11

    Silicon carbide is the main barrier to diffusion of fission products in the current design of TRistuctural ISOtropic (TRISO) coated fuel particles, and Ag is one of the few fission products that have been shown to escape through this barrier. Because the SiC coating in TRISO is exposed to radiation throughout the lifetime of the fuel, understanding of how radiation changes the transport of the fission products is essential for the safety of the reactor. The goals of this project are: (i) to determine whether observed variation in integral release measurements of Ag through SiC can be explained by differences in grain size and grain boundary (GB) types among the samples; (2) to identify the effects of irradiation on diffusion of Ag through SiC; (3) to discover phenomena responsible for significant solubility of Ag in polycrystalline SiC. To address these goals, we combined experimental analysis of SiC diffusion couples with modeling studies of diffusion mechanisms through bulk and GBs of this material. Comparison between results obtained for pristine and irradiated samples brings in insights into the effects of radiation on Ag transport.

  12. Ag Transport Through Non-Irradiated and Irradiated SiC

    International Nuclear Information System (INIS)

    Szlufarska, Izabela; Morgan, Dane; Blanchard, James

    2016-01-01

    Silicon carbide is the main barrier to diffusion of fission products in the current design of TRistuctural ISOtropic (TRISO) coated fuel particles, and Ag is one of the few fission products that have been shown to escape through this barrier. Because the SiC coating in TRISO is exposed to radiation throughout the lifetime of the fuel, understanding of how radiation changes the transport of the fission products is essential for the safety of the reactor. The goals of this project are: (i) to determine whether observed variation in integral release measurements of Ag through SiC can be explained by differences in grain size and grain boundary (GB) types among the samples; (2) to identify the effects of irradiation on diffusion of Ag through SiC; (3) to discover phenomena responsible for significant solubility of Ag in polycrystalline SiC. To address these goals, we combined experimental analysis of SiC diffusion couples with modeling studies of diffusion mechanisms through bulk and GBs of this material. Comparison between results obtained for pristine and irradiated samples brings in insights into the effects of radiation on Ag transport.

  13. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  14. Preparation and infrared absorption properties of buried SiC layers

    International Nuclear Information System (INIS)

    Yan Hui; Chen Guanghua; Wong, S.P.; Kwok, R.W.M.

    1997-01-01

    Buried SiC layers were formed by using a metal vapor vacuum arc (MEVVA) ion source, with C + ions implanted into Si substrates under different doses. In the present study, the extracted voltage was 50 kV and the ion dose was varied from 3.0 x 10 17 to 1.6 x 10 18 cm -2 . According to infrared absorption measurements, it was fount that the structure of the buried SiC layers depended on the ion dose. Moreover, the results also demonstrated that the buried SiC layers including cubic crystalline SiC could be synthesized at an averaged substrate temperature of lower than 400 degree C with the MEVVA ion source

  15. Study on the low leakage current of an MIS structure fabricated by ICP-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, S-Y; Hon, M-H [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan, 701 Taiwan (China); Lu, Y-M, E-mail: ymlumit@yahoo.com.tw

    2008-03-15

    As the dimensions of electric devices continue to shrink, it is becoming increasingly important to understand how to obtain good quality gate oxide film materials wilth higher carrier mobility, lower leakage current and greater reliability. All of them have become major concerns in the fabrication of thin film oxide transistors. A novel film deposition method called Inductively Coupled Plasma-Chemical Vapor Deposition (ICP-CVD) has received attraction in the semiconductor industry, because it can be capable of generating high density plasmas at extremely low temperature, resulting in less ion bombardment of the material surface. In this work, we present the results of crystallized silicon dioxide films deposited by inductively coupled plasma chemical vapor deposition technique at an extremely low temperature of 90 deg. C. The value of the refractive index of the crystallized ICP-CVD SiO{sub 2} film depends on the r.f. power of the ICP system, and approximates to be 1.46. This value is comparable to that of SiO{sub 2} films prepared by thermal oxidation. As the r.f. power of ICP applied more than 1250 Watts, still only the (111) diffraction peak is observed by XRD, which implies a very strong preferred orientation or single crystal structure. Too low or too high r.f. power both produces amorphous SiO{sub 2} films. From the I-V curve, the MIS device with a SiO{sub 2} dielectric film has a lower leakage current density of 6.8x10{sup -8}A/cm{sup 2} at 1V as the film prepared at 1750 watts. The highest breakdown field in this study is 15.8 MV/cm. From the FTIR analysis, it was found that more hydrogen atoms incorporate into films and form Si-OH bonds as the r.f. power increases. The existence of Si-OH bonds leads to a poor reliability of the MIS device.

  16. Morphological and structural characterization of CrO2/Cr2O3 films grown by Laser-CVD

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Popovici, N.; Conde, O.

    2005-01-01

    This work reports on the synthesis of chromium (III, IV) oxides films by KrF laser-assisted CVD. Films were deposited onto sapphire substrates at room temperature by the photodissociation of Cr(CO) 6 in dynamic atmospheres containing oxygen and argon. A study of the processing parameters has shown that partial pressure ratio of O 2 to Cr(CO) 6 and laser fluence are the prominent parameters that have to be accurately controlled in order to co-deposit both the crystalline oxide phases. Films consistent with such a two-phase system were synthesised for a laser fluence of 75 mJ cm -2 and a partial pressure ratio of about 1

  17. Properties of carbon nano-tubes-Cf/SiC composite by precursor infiltration and pyrolysis process

    International Nuclear Information System (INIS)

    Yu, Haijiao; Zhou, Xingui; Zhang, Wei; Peng, Huaxin; Zhang, Changrui; Sun, Ke

    2011-01-01

    Research highlights: → Carbon nanotubes (CNTs) introduced into carbon fiber reinforced silicon carbide matrix (C f /SiC) composite via the infiltration slurry. → We quantitatively investigate the effects of small quantity CNTs on flexural strength, fracture toughness and RT thermal conductivity of 3D C f /SiC composite. → We combine the advantages of commercial grade CNTs and 3D C f /SiC composite structure with a simple process; provide industry production basis for this composite. -- Abstract: Carbon nanotubes (CNTs) were introduced into the precursor infiltration and pyrolysis (PIP) carbon fiber reinforced silicon carbide matrix (C f /SiC) composite via the infiltration slurry. The weight fraction of CNTs in the composite was 0.765 per mille . The fiber-matrix interface coating was prepared through chemical vapor deposition (CVD) process using methyltrichlorosilane (MTS). Effects of the CNTs on mechanical and thermal properties of the composite were evaluated by three-point bending test, single-edge notched beam (SENB) test, and laser flash method. Attributed to the introduction of the small quantity of CNTs, flexural strength and fracture toughness of the C f /SiC composite both increased by 25%, and thermal conductivity at room temperature increased by 30%.

  18. Mechanical behavior of SiCf/SiC composites with alternating PyC/SiC multilayer interphases

    International Nuclear Information System (INIS)

    Yu, Haijiao; Zhou, Xingui; Zhang, Wei; Peng, Huaxin; Zhang, Changrui

    2013-01-01

    Highlights: ► Superior combination of flexural strength and fracture toughness of the 3D SiC/SiC composite was achieved by interface tailoring. ► Resulted composite possesses a much higher flexural strength and fracture toughness than its counterparts in literatures. ► Mechanisms that PyC/SiC multilayer coatings improve the mechanical properties were illustrated. -- Abstract: In order to tailor the fiber–matrix interface of continuous silicon carbide fiber reinforced silicon carbide (SiC f /SiC) composites for improved fracture toughness, alternating pyrolytic carbon/silicon carbide (PyC/SiC) multilayer coatings were applied to the KD-I SiC fibers using chemical vapor deposition (CVD) method. Three dimensional (3D) KD-I SiC f /SiC composites reinforced by these coated fibers were fabricated using a precursor infiltration and pyrolysis (PIP) process. The interfacial characteristics were determined by the fiber push-out test and microstructural examination using scanning electron microscopy (SEM). The effect of interface coatings on composite mechanical properties was evaluated by single-edge notched beam (SENB) test and three-point bending test. The results indicate that the PyC/SiC multilayer coatings led to an optimum interfacial bonding between fibers and matrix and greatly improved the fracture toughness of the composites.

  19. Tema 8. Principis físics dels semiconductors (Resum)

    OpenAIRE

    Beléndez Vázquez, Augusto

    2011-01-01

    Resum del "Tema 8. Principis físics dels semiconductors" de l'assignatura "Fonaments Físics de l'Enginyeria I" de "Grau en Enginyeria en So i Imatge" impartit a l'Escola Politècnica Superior de la Universitat d'Alacant.

  20. Test of radiation hardness of pcCVD detectors

    Energy Technology Data Exchange (ETDEWEB)

    Schlemme, Steffen [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Enders, Joachim [Technische Universitaet Darmstadt (Germany); Figuera, P.; Salamone, S. [LNS-INFN Catania (Italy); Fruehauf, J.; Kis, Mladen; Kratz, A.; Kurz, N.; Loechner, S.; Nociforo, Chiara; Schirru, Fabio; Szczepanczyk, B.; Traeger, M.; Visinka, R. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Musumarra, A. [LNS-INFN Catania (Italy); University of Catania (Italy)

    2016-07-01

    The new in-flight separator Super-FRS is under construction at the Facility for Antiproton and Ion Research (FAIR, Darmstadt). Ion rates up to 3 x 10{sup 11} {sup 238}U/spill demand an adaption of detectors to a high radiation environment. A test experiment to investigate the radiation hardness of polycrystalline diamond detectors (pcCVD) was performed at the LNS-INFN in Catania using a {sup 12}C beam at 62 MeV/u and intensities of up to 1.5 pnA. The setup consisted of pcCVD strip detectors to measure the beam profile, a single crystal diamond detector to calibrate the ionisation chamber working in current mode as a beam intensity monitor and a pcCVD sample to be irradiated. The IC used was designed for FAIR and showed a stable counting rate allowing us to calibrate and perform beam intensity measurements with it. The total measured counts on the sample were 8.25 x 10{sup 11} counts/mm{sup 2} over a period of 60 hours. Digital waveforms of the pcCVD signals were taken with an oscilloscope and analysed. The results showed no change of the pcCVD signal properties during the entire irradiation.