WorldWideScience

Sample records for depositing thin layers

  1. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  2. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  3. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  4. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  5. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  6. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  7. Layer-by-layer deposition of nanostructured CsPbBr3 perovskite thin films

    Science.gov (United States)

    Reshetnikova, A. A.; Matyushkin, L. B.; Andronov, A. A.; Sokolov, V. S.; Aleksandrova, O. A.; Moshnikov, V. A.

    2017-11-01

    Layer-by-layer deposition of nanostructured perovskites cesium lead halide thin films is described. The method of deposition is based on alternate immersion of the substrate in the precursor solutions or colloidal solution of nanocrystals and methyl acetate/lead nitrate solution using the device for deposition of films by SILAR and dip-coating techniques. An example of obtaining a photosensitive structure based on nanostructures of ZnO nanowires and layers of CsBbBr3 nanocrystals is also shown.

  8. Transparent thin-film transistor exploratory development via sequential layer deposition and thermal annealing

    International Nuclear Information System (INIS)

    Hong, David; Chiang, Hai Q.; Presley, Rick E.; Dehuff, Nicole L.; Bender, Jeffrey P.; Park, Cheol-Hee; Wager, John F.; Keszler, Douglas A.

    2006-01-01

    A novel deposition methodology is employed for exploratory development of a class of high-performance transparent thin-film transistor (TTFT) channel materials involving oxides composed of heavy-metal cations with (n - 1)d 10 ns 0 (n ≥ 4) electronic configurations. The method involves sequential radio-frequency sputter deposition of thin, single cation oxide layers and subsequent post-deposition annealing in order to obtain a multi-component oxide thin film. The viability of this rapid materials development methodology is demonstrated through the realization of high-performance TTFTs with channel layers composed of zinc oxide/tin oxide, and tin oxide/indium oxide

  9. Characterisation by optical spectroscopy of a plasma of depositions of thins layers

    International Nuclear Information System (INIS)

    Chouan, Yannick

    1984-01-01

    This research thesis reports a work which, by correlating emission and absorption spectroscopic measurements with properties of deposited thin layers, aimed at being a complement to works undertaken by a team in charge of the realisation of a flat screen. In a first part, the author reports the study of a cathodic pulverisation of a silicon target. He describes the experimental set-up, presents correlations obtained between plasma electric properties (target self-polarisation voltage), emission spectroscopic measurements (line profile and intensity) and absorption spectroscopic measurements (density of metastables), and the composition of deposited thin layers for two reactive pulverisation plasmas (Ar-H_2 and Ar-CH_4). The second part addresses the relationship between experimental conditions and spectroscopic characteristics (emission and absorption lines, excitation and rotation temperature) of a He-SiH_4 plasma. The author also determined the most adapted spectroscopic measurements to the 'control' of deposition, and which result in an optimisation of electronic properties and of the deposition rate for the hydrogenated amorphous silicon. The third part reports the characterisation of depositions. Electric and optic measurements are reported. Then, for both deposition techniques, the author relates the influence of experimental conditions to deposition properties and to spectroscopic diagnosis. The author finally presents static characteristics of a thin-layer-based transistor

  10. Investigation of vanadium and nitride alloys thin layers deposited by PVD

    Directory of Open Access Journals (Sweden)

    Nouveau C.

    2012-06-01

    Full Text Available In this work we present the technique of magnetron vapor deposition and the effect of several deposition parameters on the structural and morphological properties of prepared thin films. It was noted that the deposition time has an effect on the crystallinity, mechanical properties such as residual stress, roughness surface and the layer composition from target products. Studies were carried out on layers of vanadium (V and the nitride vanadium (VN.

  11. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  12. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  13. Sputter Deposited TiOx Thin-Films as Electron Transport Layers in Organic Solar Cells

    DEFF Research Database (Denmark)

    Mirsafaei, Mina; Bomholt Jensen, Pia; Lakhotiya, Harish

    transparency and favorable energy-level alignment with many commonly used electron-acceptor materials. There are several methods available for fabricating compact TiOx thin-films for use in organic solar cells, including sol-gel solution processing, spray pyrolysis and atomic-layer deposition; however...... of around 7%, by incorporating sputter deposited TiOx thin-films as electron-transport and exciton-blocking layers. In the work, we report on the effect of different TiOx deposition temperatures and thicknesses on the organic-solar-cell device performance. Besides optical characterization, AFM and XRD...... analyses are performed to characterize the morphology and crystal structure of the films, and external quantum efficiency measurements are employed to shed further light on the device performance. Our study presents a novel method for implementation of TiOx thin-films as electron-transport layer in organic...

  14. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  15. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  16. Growth and characterization of ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films deposited by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Vlad, A., E-mail: angela.vlad@gmail.com [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Matei, A.; Ion, V.; Luculescu, C.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Zavoianu, R. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania)

    2016-09-01

    Layered double hydroxides (LDHs) are a class of layered materials consisting of positively charged brucite-like layers and exchangeable interlayer anions. Layered double hydroxides containing a transition metal which undergoes a reversible redox reaction in the useful potential range have been proposed as electrode coating materials due to their properties of charge transport and redox catalysts in basic solutions. Ni–Al,(Ni,Mg)–Al and, as reference, non-electronically conductive Mg–Al double hydroxides thin films were obtained via pulsed laser deposition technique. The thin films were deposited on different substrates (Si, glass) by using a Nd:YAG laser (1064 nm) working at a repetition rate of 10 Hz. X-ray diffraction, Atomic Force Microscopy, Energy Dispersive X-ray spectroscopy, Fourier Transform Infra-Red Spectroscopy, Secondary Ions Mass Spectrometry, Impedance Analyzer and ellipsometry were the techniques used for the as deposited thin films investigation. The optical properties of Ni based LDH thin films and the effect of the Ni amount on the structural, morphological and optical response are evidenced. The optical band gap values, covering a domain between 3.84 eV and 4.38 eV, respond to the Ni overall concentration: the higher Ni amount the lower the band gap value. - Highlights: • Ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films were deposited. • The effect of the nickel is evidenced. • The possibility to tailor the materials accompanied by an optical response is shown.

  17. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  18. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  19. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  20. Chemical bath deposition of thin semiconductor films for use as buffer layers in CuInS2 thin film solar cells

    International Nuclear Information System (INIS)

    Kaufmann, C.A.

    2002-01-01

    A CulnS 2 thin film solar cell is a multilayered semiconductor device. The solar cells discussed have a layer sequence Mo/CulnS 2 /buffer/i-ZnO/ZnO:Ga, where a heterojunction establishes between the p-type absorber and the n-type front contact. Conventionally the buffer consists of CdS, deposited by chemical bath deposition (CBD). Apart from providing process oriented benefits the buffer layer functions as a tool for engineering the energy band line-up at the heterojunction interface. Motivated through environmental concern and EU legislation it is felt necessary to substitute this potentially toxic layer by an alternative, Cd-free component. This thesis investigates the suitability of various Zn- and In-compounds, in particular In(OH,O) x S y , as alternative buffer layer materials using CBD. Initial experiments were carried out depositing Zn-based compounds from aqueous solutions. Characterization of the layers, the solution and the processed solar cells was performed. This thesis focuses on the investigation of the CBD process chemistry for the deposition of In-compound thin films. A careful study of the morphology and composition of the deposited thin films was conducted using electron microscopy (SEM, HREM), elastic recoil detection analysis, X-ray photoelectron spectroscopy and optical transmission spectroscopy. This allowed conclusions concerning the nucleation and film growth mechanism from the chemical bath. Connections between bath chemistry, different growth phases, layer morphology and solar cell performance were sought and an improved deposition process was developed. As a result, Cd-free CulnS 2 thin film solar cells with efficiencies of up to 10.6%) (total area) could be produced. Overall the substitution of CdS is shown to be possible by different alternative compounds, such as Zn(OH,O) x S y or In(OH,O) x S y . In the case of In(OH,O) x S y , an understanding of the CBD process and the effect of different growth phases on the resulting solar cell

  1. Atomic layer deposition of Al-doped ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit; Okazaki, Ryuji; Terasaki, Ichiro [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland); Department of Physics, Nagoya University, Nagoya 464-8602 (Japan)

    2013-01-15

    Atomic layer deposition has been used to fabricate thin films of aluminum-doped ZnO by depositing interspersed layers of ZnO and Al{sub 2}O{sub 3} on borosilicate glass substrates. The growth characteristics of the films have been investigated through x-ray diffraction, x-ray reflection, and x-ray fluorescence measurements, and the efficacy of the Al doping has been evaluated through optical reflectivity and Seebeck coefficient measurements. The Al doping is found to affect the carrier density of ZnO up to a nominal Al dopant content of 5 at. %. At nominal Al doping levels of 10 at. % and higher, the structure of the films is found to be strongly affected by the Al{sub 2}O{sub 3} phase and no further carrier doping of ZnO is observed.

  2. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  3. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  4. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  5. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  6. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    International Nuclear Information System (INIS)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H.; Kinge, Sachin

    2015-01-01

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO 2 layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10 −2  A W −1 and a shot-derived specific detectivity of 3 × 10 9  Jones at 1530 nm wavelength

  7. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H., E-mail: ted.sargent@utoronto.ca [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada); Kinge, Sachin [Advanced Technology, Materials and Research, Research and Development, Hoge Wei 33- Toyota Technical Centre, B-1930 Zaventem (Belgium)

    2015-10-12

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO{sub 2} layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10{sup −2} A W{sup −1} and a shot-derived specific detectivity of 3 × 10{sup 9} Jones at 1530 nm wavelength.

  8. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  9. Magnetic domain observation of FeCo thin films fabricated by alternate monoatomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ohtsuki, T., E-mail: ohtsuki@spring8.or.jp; Kotsugi, M.; Ohkochi, T. [Japan Synchrotron Radiation Research Institute (JASRI), 1-1-1 Koto, Sayo-cho, Sayo-gun, Hyogo 679-5198 (Japan); Kojima, T.; Mizuguchi, M.; Takanashi, K. [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2014-01-28

    FeCo thin films are fabricated by alternate monoatomic layer deposition method on a Cu{sub 3}Au buffer layer, which in-plane lattice constant is very close to the predicted value to obtain a large magnetic anisotropy constant. The variation of the in-plane lattice constant during the deposition process is investigated by reflection high-energy electron diffraction. The magnetic domain images are also observed by a photoelectron emission microscope in order to microscopically understand the magnetic structure. As a result, element-specific magnetic domain images show that Fe and Co magnetic moments align parallel. A series of images obtained with various azimuth reveal that the FeCo thin films show fourfold in-plane magnetic anisotropy along 〈110〉 direction, and that the magnetic domain structure is composed only of 90∘ wall.

  10. Chemical Bath Deposition and Characterization of CdS layer for CZTS Thin Film Solar Cell

    OpenAIRE

    Kamal, Tasnim; Parvez, Sheikh; Matin, Rummana; Bashar, Mohammad Shahriar; Hossain, Tasnia; Sarwar, Hasan; Rashid, Mohammad Junaebur

    2016-01-01

    CZTS is a new type of an absorber and abundant materials for thin film solar cells (TFSC). Cadmium sulfide (CdS) is the n-type buffer layer of it with band gap of 2.42 eV. Cadmium sulfide (CdS) buffer layer of CZTS solar cell was deposited on soda-lime glass substrates by the Chemical Bath Deposition(CBD) method, using anhydrous Cadmium chloride(CdCl_2) and Thiourea (CS(NH_2)_2). Deposition of CdS using CBD is based on the slow release of Cd^ ions and S^ ions in an alkaline bath which is achi...

  11. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  12. Ion - beam assisted process in the physical deposition of organic thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Dimov, D; Spassova, E; Assa, J; Danev, G [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria); Georgiev, A, E-mail: dean@clf.bas.b [University of Chemical Technology and Metallurgy, 8 Kl. Ohridski Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    A novel method was developed for physical deposition of thin polyimide layers by applying an argon plasma assisted process. The influence was investigated of the plasma on the combined molecular flux of the two thermally evaporated precursors - oxydianiline and pyromellitic dianhydride. The effects observed on the properties of the deposited films are explained with the increased energy of the precursor molecules resulting from the ion-molecular collisions. As could be expected, molecules with higher energy possess higher mobility and thus determine the modification of the films structure and their electrical properties.

  13. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  14. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  15. Low-temperature atomic layer deposition of TiO2 thin layers for the processing of memristive devices

    International Nuclear Information System (INIS)

    Porro, Samuele; Conti, Daniele; Guastella, Salvatore; Ricciardi, Carlo; Jasmin, Alladin; Pirri, Candido F.; Bejtka, Katarzyna; Perrone, Denis; Chiolerio, Alessandro

    2016-01-01

    Atomic layer deposition (ALD) represents one of the most fundamental techniques capable of satisfying the strict technological requirements imposed by the rapidly evolving electronic components industry. The actual scaling trend is rapidly leading to the fabrication of nanoscaled devices able to overcome limits of the present microelectronic technology, of which the memristor is one of the principal candidates. Since their development in 2008, TiO 2 thin film memristors have been identified as the future technology for resistive random access memories because of their numerous advantages in producing dense, low power-consuming, three-dimensional memory stacks. The typical features of ALD, such as self-limiting and conformal deposition without line-of-sight requirements, are strong assets for fabricating these nanosized devices. This work focuses on the realization of memristors based on low-temperature ALD TiO 2 thin films. In this process, the oxide layer was directly grown on a polymeric photoresist, thus simplifying the fabrication procedure with a direct liftoff patterning instead of a complex dry etching process. The TiO 2 thin films deposited in a temperature range of 120–230 °C were characterized via Raman spectroscopy and x-ray photoelectron spectroscopy, and electrical current–voltage measurements taken in voltage sweep mode were employed to confirm the existence of resistive switching behaviors typical of memristors. These measurements showed that these low-temperature devices exhibit an ON/OFF ratio comparable to that of a high-temperature memristor, thus exhibiting similar performances with respect to memory applications

  16. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  17. A simple model for quantifying the degree of layer-by-layer growth in low energy ion deposition of thin films

    International Nuclear Information System (INIS)

    Huhtamaeki, T.; Jahma, M.O.; Koponen, I.T.

    2007-01-01

    Layer-by-layer growth of thin films can be promoted by using low energy ion deposition (LEID) techniques. The basic process affecting the growth are often quite diverse, but often the ion impact induced inter layer mass transfer processes due to adatom insertion to lower step edges or pile-ups to step edges above dominate. In this paper we propose a simple phenomenological model which describes the growth of thin films in LEID under these conditions. The model makes possible to distinguish the dominant growth, the detection of the transition from the 3D growth to 2D growth, and it can be used to quantify the degree of layer-by-layer growth. The model contains only two parameters, which can be phenomenologically related to the properties of the bombarding ion beam

  18. Electromagnetic shielding effectiveness of a thin silver layer deposited onto PET film via atmospheric pressure plasma reduction

    Science.gov (United States)

    Oh, Hyo-Jun; Dao, Van-Duong; Choi, Ho-Suk

    2018-03-01

    This study presents the first use of a plasma reduction reaction under atmospheric pressure to fabricate a thin silver layer on polyethylene terephthalate (PET) film without the use of toxic chemicals, high voltages, or an expensive vacuum apparatus. The developed film is applied to electromagnetic interference (EMI) shielding. After repeatedly depositing a silver layer through a plasma reduction reaction on PET, we can successfully fabricate a uniformly deposited thin silver layer. It was found that both the particle size and film thickness of thin silver layers fabricated at different AgNO3 concentrations increase with an increase in the concentration of AgNO3. However, the roughness of the thin silver layer decreases when increasing the concentration of AgNO3 from 100 to 500 mM, and the roughness increases with a further increase in the concentration of AgNO3. The EMI shielding effectiveness (SE) of the film is measured in the frequency range of 0.045 to 1 GHz. As a result of optimizing the electrical conductivity by measuring sheet resistance of the thin silver layer, the film fabricated from 500 mM AgNO3 exhibits the highest EMI SE among all fabricated films. The maximum values of the EMI SE are 60.490 dB at 0.1 GHz and 54.721 dB at 1.0 GHz with minimum sheet resistance of 0.244 Ω/□. Given that the proposed strategy is simple and effective, it is promising for fabricating various low-cost metal films with high EMI SE.

  19. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  20. Room temperature deposition of ZnSe thin films by successive ionic layer adsorption and reaction (SILAR) method

    International Nuclear Information System (INIS)

    Kale, R.B.; Lokhande, C.D.

    2004-01-01

    The zinc selenide (ZnSe) thin films are deposited onto glass substrate using relatively simple and inexpensive successive ionic layer adsorption and reaction (SILAR) method. The films are deposited using zinc acetate sodium selenosulphate precursors. The concentration, pH, immersion and rinsing times and number of immersion cycles have been optimized to obtain good quality ZnSe thin films. The X-ray diffraction (XRD) study and scanning electron microscopy (SEM) studies reveals nanocrystalline nature alongwith some amorphous phase present in ZnSe thin films. Energy dispersive X-ray (EDAX) analysis shows that the films are Se deficient. From optical absorption data, the optical band gap 'E g ' for as-deposited thin film was found to be 2.8 eV and electrical resistivity in the order of 10 7 Ω cm

  1. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  2. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  3. Deposition of yttrium oxysulfide thin films by atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kukli, K.; University of Tartu, Tartu,; Johansson, L-S.; Nykaenen, E.; Peussa, M.; Ninistoe, L.

    1998-01-01

    Full text: Yttrium oxysulfide is a highly interesting material for optoelectronic applications. It is industrially exploited in the form of doped powder in catholuminescent phosphors, e.g. Y 2 O 2 S: Eu 3+ for colour TV. Attempts to grow thin films of Y 2 O 2 S have not been frequent and only partially successful due to the difficulties in obtaining crystalline films at a reasonable temperature. Furthermore, sputtering easily leads to a sulphur deficiency. Evaporation of the elements from a multi-source offers a better control of the stoichiometry resulting in hexagonal (0002) oriented films at 580 deg C. In this paper we present the first successful thin film growth experiments using a chemical process with molecular precursors. Atomic layer epitaxy (ALE) allows the use of a relatively low deposition temperature and thus compatibility with other technologies. Already at 425 deg C the reaction between H 2 S and Y(thd) 3 (thd = 2,2,6,6 - tetramethyl-heptane-3,5- dione) yields a crystalline Y 2 O 2 S thin film which was characterized by XRD, XRF and XPS

  4. Effect of active layer deposition temperature on the performance of sputtered amorphous In—Ga—Zn—O thin film transistors

    International Nuclear Information System (INIS)

    Wu Jie; Shi Junfei; Dong Chengyuan; Chen Yuting; Zhou Daxiang; Hu Zhe; Zhan Runze; Zou Zhongfei

    2014-01-01

    The effect of active layer deposition temperature on the electrical performance of amorphous InGaZnO (a-IGZO) thin film transistors (TFTs) is investigated. With increasing annealing temperature, TFT performance is firstly improved and then degraded generally. Here TFTs with best performance defined as ''optimized-annealed'' are selected to study the effect of active layer deposition temperature. The field effect mobility reaches maximum at deposition temperature of 150 °C while the room-temperature fabricated device shows the best subthreshold swing and off-current. From Hall measurement results, the carrier concentration is much higher for intentional heated a-IGZO films, which may account for the high off-current in the corresponding TFT devices. XPS characterization results also reveal that deposition temperature affects the atomic ratio and O1s spectra apparently. Importantly, the variation of field effect mobility of a-IGZO TFTs with deposition temperature does not coincide with the tendencies in Hall mobility of a-IGZO thin films. Based on the further analysis of the experimental results on a-IGZO thin films and the corresponding TFT devices, the trap states at front channel interface rather than IGZO bulk layer properties may be mainly responsible for the variations of field effect mobility and subthreshold swing with IGZO deposition temperature. (semiconductor devices)

  5. Electrochemical lithiation of thin silicon based layers potentiostatically deposited from ionic liquid

    International Nuclear Information System (INIS)

    Vlaic, Codruta Aurelia; Ivanov, Svetlozar; Peipmann, Ralf; Eisenhardt, Anja; Himmerlich, Marcel; Krischok, Stefan; Bund, Andreas

    2015-01-01

    Thin silicon layers containing about 20% carbon and 20% oxygen were deposited on copper substrates by potentiostatic electroreduction from a 1 M SiCl 4 1-butyl-1-methyl-pyrrolidinium bis (trifluoromethyl) sulfonylimide [BMP][TFSI] electrolyte. The electrodeposition process was investigated by means of voltammetric techniques, coupled with in-situ microgravimetry (quartz crystal microbalance, QCM). The electrochemical and QCM data suggest a possible contribution of a partial Si 4+ to Si 2+ reduction and/or a restructuring of the metallic substrate. Considerable impact of side reactions parallel to the deposition process was indicated by QCM measurements performed under potentiostatic and potentiodynamic conditions. The deposition of silicon-based films was confirmed by energy dispersive X-ray analysis (EDX). Analysis of the chemical composition of the deposit and its elemental distribution were achieved by depth profiling X-ray photoelectron spectroscopy (XPS). The electrodeposited silicon containing layers showed stable lithiation and delithiation with capacity values of about 1200 mAhg −1 and 80% capacity retention after 300 cycles in standard EC/DMC electrolytes. In ionic liquid (IL) the material displayed lower capacity of ca. 500 mAhg −1 , which can be attributed to the higher viscosity of this electrolyte and deposition of IL decomposition products during lithiation

  6. Organo-layered double hydroxides composite thin films deposited by laser techniques

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Vlad, A., E-mail: angela.vlad@gmail.com [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Matei, A.; Dumitru, M.; Stokker-Cheregi, F.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Zavoianu, R. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest 030018 (Romania); Raditoiu, V.; Corobea, M.C. [National R.& D. Institute for Chemistry and Petrochemistry, ICECHIM, 202 Splaiul Independentei Str., CP-35-274, 060021 Bucharest (Romania)

    2016-06-30

    Highlights: • PLD and MAPLE was successfully used to produce organo-layered double hydroxides. • The organic anions (dodecyl sulfate-DS) were intercalated in co-precipitation step. • Zn2.5Al-LDH (Zn/Al = 2.5) and Zn2.5Al-DS thin films obtained in this work could be suitable for further applications as hydrophobic surfaces. - Abstract: We used laser techniques to create hydrophobic thin films of layered double hydroxides (LDHs) and organo-modified LDHs. A LDH based on Zn-Al with Zn{sup 2+}/Al{sup 3+} ratio of 2.5 was used as host material, while dodecyl sulfate (DS), which is an organic surfactant, acted as guest material. Pulsed laser deposition (PLD) and matrix assisted pulsed laser evaporation (MAPLE) were employed for the growth of the films. The organic anions were intercalated in co-precipitation step. The powders were subsequently used either as materials for MAPLE, or they were pressed and used as targets for PLD. The surface topography of the thin films was investigated by atomic force microscopy (AFM), the crystallographic structure of the powders and films was checked by X-ray diffraction. FTIR spectroscopy was used to evidence DS interlayer intercalation, both for powders and the derived films. Contact angle measurements were performed in order to establish the wettability properties of the as-prepared thin films, in view of functionalization applications as hydrophobic surfaces, owing to the effect of DS intercalation.

  7. Synthesis of layered birnessite-type manganese oxide thin films on plastic substrates by chemical bath deposition for flexible transparent supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Hu Yu; Zhu Hongwei; Wang Jun [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Chen Zhenxing, E-mail: chenzx65@mail.sysu.edu.cn [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2011-10-20

    Highlights: > Layered birnessite-type MnO{sub 2} thin films are fabricated on ITO/PET substrates through a facile chemical bath deposition at room temperature. > The transmittance of the MnO{sub 2} thin films at 550 nm is up to 77.4%. > MnO{sub 2} thin films exhibit a special capacitance of 229.2 F g{sup -1} and 9.2 mF cm{sup -2}. > MnO{sub 2} thin films show a capacitance retention ratio of 83% after 1000 CV cycles. > MnO{sub 2} thin film electrodes show great mechanical flexibility and electrochemical stability even after 200 tensile and compressive bending cycles. - Abstract: Layered birnessite-type manganese oxide thin films are successfully fabricated on indium tin oxide coated polyethylene terephthalate substrates for flexible transparent supercapacitors by a facile, effective and inexpensive chemical bath deposition technology from an alkaline KMnO{sub 4} aqueous solution at room temperature. The effects of deposition conditions, including KMnO{sub 4} concentration, initial molar ratio of NH{sub 3}.H{sub 2}O and KMnO{sub 4}, bath temperature, and reaction time, on the electrochemical properties of MnO{sub 2} thin films are investigated. Layered birnessite-type MnO{sub 2} thin films deposited under optimum conditions display three-dimensional porous morphology, high hydrophilicity, and a transmittance of 77.4% at 550 nm. A special capacitance of 229.2 F g{sup -1} and a capacitance retention ratio of 83% are obtained from the films after 1000 cycles at 10 mV s{sup -1} in 1 M Na{sub 2}SO{sub 4}. Compressive and tensile bending tests show that as-prepared MnO{sub 2} thin film electrodes possess excellent mechanical flexibility and electrochemical stability.

  8. Research Update: Atmospheric pressure spatial atomic layer deposition of ZnO thin films: Reactors, doping, and devices

    Energy Technology Data Exchange (ETDEWEB)

    Hoye, Robert L. Z., E-mail: rlzh2@cam.ac.uk, E-mail: jld35@cam.ac.uk; MacManus-Driscoll, Judith L., E-mail: rlzh2@cam.ac.uk, E-mail: jld35@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Muñoz-Rojas, David [LMGP, University Grenoble-Alpes, CNRS, F-3800 Grenoble (France); Nelson, Shelby F. [Kodak Research Laboratories, Eastman Kodak Company, Rochester, New York 14650 (United States); Illiberi, Andrea; Poodt, Paul [Holst Centre/TNO Thin Film Technology, Eindhoven, 5656 AE (Netherlands); Roozeboom, Fred [Holst Centre/TNO Thin Film Technology, Eindhoven, 5656 AE (Netherlands); Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven, 5600 MB (Netherlands)

    2015-04-01

    Atmospheric pressure spatial atomic layer deposition (AP-SALD) has recently emerged as an appealing technique for rapidly producing high quality oxides. Here, we focus on the use of AP-SALD to deposit functional ZnO thin films, particularly on the reactors used, the film properties, and the dopants that have been studied. We highlight how these films are advantageous for the performance of solar cells, organometal halide perovskite light emitting diodes, and thin-film transistors. Future AP-SALD technology will enable the commercial processing of thin films over large areas on a sheet-to-sheet and roll-to-roll basis, with new reactor designs emerging for flexible plastic and paper electronics.

  9. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  10. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  11. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  12. Nano-crystalline thin and nano-particulate thick TiO2 layer: Cost effective sequential deposition and study on dye sensitized solar cell characteristics

    International Nuclear Information System (INIS)

    Das, P.; Sengupta, D.; Kasinadhuni, U.; Mondal, B.; Mukherjee, K.

    2015-01-01

    Highlights: • Thin TiO 2 layer is deposited on conducting substrate using sol–gel based dip coating. • TiO 2 nano-particles are synthesized using hydrothermal route. • Thick TiO 2 particulate layer is deposited on prepared thin layer. • Dye sensitized solar cells are made using thin and thick layer based photo-anode. • Introduction of thin layer in particulate photo-anode improves the cell efficiency. - Abstract: A compact thin TiO 2 passivation layer is introduced between the mesoporous TiO 2 nano-particulate layer and the conducting glass substrate to prepare photo-anode for dye-sensitized solar cell (DSSC). In order to understand the effect of passivation layer, other two DSSCs are also developed separately using TiO 2 nano-particulate and compact thin film based photo-anodes. Nano-particles are prepared using hydrothermal synthesis route and the compact passivation layer is prepared by simply dip coating the precursor sol prepared through wet chemical route. The TiO 2 compact layer and the nano-particles are characterised in terms of their micro-structural features and phase formation behavior. It is found that introduction of a compact TiO 2 layer in between the mesoporous TiO 2 nano-particulate layer and the conducting substrate improves the solar to electric conversion efficiency of the fabricated cell. The dense thin passivation layer is supposed to enhance the photo-excited electron transfer and prevent the recombination of photo-excited electrons

  13. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  14. Conduction mechanisms in thin atomic layer deposited Al2O3 layers

    International Nuclear Information System (INIS)

    Spahr, Holger; Montzka, Sebastian; Reinker, Johannes; Hirschberg, Felix; Kowalsky, Wolfgang; Johannes, Hans-Hermann

    2013-01-01

    Thin Al 2 O 3 layers of 2–135 nm thickness deposited by thermal atomic layer deposition at 80 °C were characterized regarding the current limiting mechanisms by increasing voltage ramp stress. By analyzing the j(U)-characteristics regarding ohmic injection, space charge limited current (SCLC), Schottky-emission, Fowler-Nordheim-tunneling, and Poole-Frenkel-emission, the limiting mechanisms were identified. This was performed by rearranging and plotting the data in a linear scale, such as Schottky-plot, Poole-Frenkel-plot, and Fowler-Nordheim-plot. Linear regression then was applied to the data to extract the values of relative permittivity from Schottky-plot slope and Poole-Frenkel-plot slope. From Fowler-Nordheim-plot slope, the Fowler-Nordheim-energy-barrier was extracted. Example measurements in addition to a statistical overview of the results of all investigated samples are provided. Linear regression was applied to the region of the data that matches the realistic values most. It is concluded that ohmic injection and therefore SCLC only occurs at thicknesses below 12 nm and that the Poole-Frenkel-effect is no significant current limiting process. The extracted Fowler-Nordheim-barriers vary in the range of up to approximately 4 eV but do not show a specific trend. It is discussed whether the negative slope in the Fowler-Nordheim-plot could in some cases be a misinterpreted trap filled limit in the case of space charge limited current

  15. Pulsed Laser Deposition of Tungsten Thin Films on Graphite

    International Nuclear Information System (INIS)

    Kassem, W.; Tabbal, M.; Roumie, M.

    2011-01-01

    Thin coatings of Tungsten were deposited on substrates fabricated by pre-depositing graphite thin layers on Si(100) wafers. We ablate pure W target using a 20 ns KrF excimer laser (248 nm) in an Ar ambient. The effect of background gas pressure, substrate temperature, and laser fluence, on the properties of the deposited W layers is studied using several techniques including X-Ray Diffraction, Atomic Force Microscopy, surface profilometry, and Rutherford Back-Scattering spectrometry. Our results indicate that the deposited layers consist of the well-crystallized body-centered-cubic α-W phase with bulk-like properties, particularly for films deposited at a substrate temperature of 450 0 C, laser fluence greater than 400mJ, and pressure of about 10mTorr. (author)

  16. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  17. Research Update: Atmospheric pressure spatial atomic layer deposition of ZnO thin films: Reactors, doping, and devices

    Directory of Open Access Journals (Sweden)

    Robert L. Z. Hoye

    2015-04-01

    Full Text Available Atmospheric pressure spatial atomic layer deposition (AP-SALD has recently emerged as an appealing technique for rapidly producing high quality oxides. Here, we focus on the use of AP-SALD to deposit functional ZnO thin films, particularly on the reactors used, the film properties, and the dopants that have been studied. We highlight how these films are advantageous for the performance of solar cells, organometal halide perovskite light emitting diodes, and thin-film transistors. Future AP-SALD technology will enable the commercial processing of thin films over large areas on a sheet-to-sheet and roll-to-roll basis, with new reactor designs emerging for flexible plastic and paper electronics.

  18. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Peng [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Hudak, Michael R.; Lerner, Allan [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Grubbs, Robert K. [Sandia National Laboratories, P.O. Box 5800, Albuquerque, NM 87185 (United States); Wang, Shanmin [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Zhang, Zhan; Karapetrova, Evguenia [Advance Photon Source, Argonne National Laboratory, 9700S Cass Ave, Argonne, IL 60439 (United States); Hickmott, Donald [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Majewski, Jaroslaw, E-mail: jarek@lanl.gov [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States)

    2014-08-28

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO{sub 3}) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al{sub 2}O{sub 3} buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al{sub 2}O{sub 3} buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial

  19. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    International Nuclear Information System (INIS)

    Wang, Peng; Hudak, Michael R.; Lerner, Allan; Grubbs, Robert K.; Wang, Shanmin; Zhang, Zhan; Karapetrova, Evguenia; Hickmott, Donald; Majewski, Jaroslaw

    2014-01-01

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO 3 ) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al 2 O 3 buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al 2 O 3 buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial interactions

  20. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  1. Characterization of Ultra thin chromium layers deposited ou to SiO2 using the Le-PIXE and the RB S techniques

    International Nuclear Information System (INIS)

    Zahraman, K.; Nsouli, B.; Roumie, M.

    2007-01-01

    In this paper, we demonstrate the ability of the Le-PIXE (Low Energy PIXE) technique, using proton energies < 1 MeV, for the monitoring of the thickness and the thickness uniformity of ultra thin (0.5 nm < t < 20 nm) chromium layers deposited onto quartz substrates. Chromium is a good candidate for obtaining conductive ultra thin layers on insulator substrates such as quartz (SiO2). The resistivity of such layers is highly related to the quality of the deposited chromium film. In order to optimize the deposition process, there is a need for rapid and accurate monitoring of such films (film thickness, thickness uniformity over a big surface...). The acquisition time needed to obtain results with less than 3-4 % precision was 5 minutes for the thinnest layers. The validation for the use of the Le-PIXE technique was checked by means of conventional RB S technique.

  2. Laser damage properties of TiO2/Al2O3 thin films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wei Yaowei; Liu Hao; Sheng Ouyang; Liu Zhichao; Chen Songlin; Yang Liming

    2011-01-01

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO 2 /Al 2 O 3 films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the films deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm Φ samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO 2 /Al 2 O 3 films, the LIDTs were 6.73±0.47 J/cm 2 and 6.5±0.46 J/cm 2 at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.

  3. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    International Nuclear Information System (INIS)

    Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali

    2014-01-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli

  4. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)

    2014-07-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.

  5. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, Mohamed N.; Wang, Q. X.; Alshareef, Husam N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling

  6. Inverted fractal analysis of TiO{sub x} thin layers grown by inverse pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Égerházi, L., E-mail: egerhazi.laszlo@gmail.com [University of Szeged, Faculty of Medicine, Department of Medical Physics and Informatics, Korányi fasor 9., H-6720 Szeged (Hungary); Smausz, T. [University of Szeged, Faculty of Science, Department of Optics and Quantum Electronics, Dóm tér 9., H-6720 Szeged (Hungary); Bari, F. [University of Szeged, Faculty of Medicine, Department of Medical Physics and Informatics, Korányi fasor 9., H-6720 Szeged (Hungary)

    2013-08-01

    Inverted fractal analysis (IFA), a method developed for fractal analysis of scanning electron microscopy images of cauliflower-like thin films is presented through the example of layers grown by inverse pulsed laser deposition (IPLD). IFA uses the integrated fractal analysis module (FracLac) of the image processing software ImageJ, and an objective thresholding routine that preserves the characteristic features of the images, independently of their brightness and contrast. IFA revealed f{sub D} = 1.83 ± 0.01 for TiO{sub x} layers grown at 5–50 Pa background pressures. For a series of images, this result was verified by evaluating the scaling of the number of still resolved features on the film, counted manually. The value of f{sub D} not only confirms the fractal structure of TiO{sub x} IPLD thin films, but also suggests that the aggregation of plasma species in the gas atmosphere may have only limited contribution to the deposition.

  7. Undoped TiO2 and nitrogen-doped TiO2 thin films deposited by atomic layer deposition on planar and architectured surfaces for photovoltaic applications

    International Nuclear Information System (INIS)

    Tian, Liang; Soum-Glaude, Adurey; Volpi, Fabien; Salvo, Luc; Berthomé, Grégory; Coindeau, Stéphane; Mantoux, Arnaud; Boichot, Raphaël; Lay, Sabine; Brizé, Virginie; Blanquet, Elisabeth; Giusti, Gaël; Bellet, Daniel

    2015-01-01

    Undoped and nitrogen doped TiO 2 thin films were deposited by atomic layer deposition on planar substrates. Deposition on 3D-architecture substrates made of metallic foams was also investigated to propose architectured photovoltaic stack fabrication. All the films were deposited at 265 °C and nitrogen incorporation was achieved by using titanium isopropoxide, NH 3 and/or N 2 O as precursors. The maximum nitrogen incorporation level obtained in this study was 2.9 at. %, resulting in films exhibiting a resistivity of 115 Ω cm (+/−10 Ω cm) combined with an average total transmittance of 60% in the 400–1000 nm wavelength range. Eventually, TiO 2 thin films were deposited on the 3D metallic foam template

  8. Facing-target sputtering deposition of ZnO films with Pt ultra-thin layers for gas-phase photocatalytic application

    International Nuclear Information System (INIS)

    Zhang Zhonghai; Hossain, Md. Faruk.; Arakawa, Takuya; Takahashi, Takakazu

    2010-01-01

    In this paper, various zinc oxide (ZnO) films are deposited by a versatile and effective dc-reactive facing-target sputtering method. The ratios of Ar to O 2 in the mixture gas are varied from 8:2 to 6:4 at a fixed sputtering pressure of 1.0 Pa. X-ray diffraction, spectrophotometer and scanning electron microscope are used to study the crystal structure, optical property and surface morphology of the as-deposited films. The Pt ultra-thin layer, ∼2 nm thick, is deposited on the surface of ZnO film by dc diode sputtering with a mesh mask controlling the coated area. The photocatalytic activity of ZnO films and Pt-ZnO films is evaluated by decomposition of methanol under UV-vis light irradiation. The variation of photocatalytic activity depends on the ratios of Ar to O 2 , which is mainly attributed to the different grain size and carrier mobility. Though the pure ZnO film normally shows a low gas-phase photocatalytic activity, its activity is significantly enhanced by depositing Pt ultra-thin layer.

  9. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  10. Effect of precursor concentration and film thickness deposited by layer on nanostructured TiO2 thin films

    Science.gov (United States)

    Affendi, I. H. H.; Sarah, M. S. P.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    Sol-gel spin coating method is used in the production of nanostructured TiO2 thin film. The surface topology and morphology was observed using the Atomic Force Microscopy (AFM) and Field Emission Scanning Electron Microscopy (FESEM). The electrical properties were investigated by using two probe current-voltage (I-V) measurements to study the electrical resistivity behavior, hence the conductivity of the thin film. The solution concentration will be varied from 14.0 to 0.01wt% with 0.02wt% interval where the last concentration of 0.02 to 0.01wt% have 0.01wt% interval to find which concentrations have the highest conductivity then the optimized concentration's sample were chosen for the thickness parameter based on layer by layer deposition from 1 to 6 layer. Based on the result, the lowest concentration of TiO2, the surface becomes more uniform and the conductivity will increase. As the result, sample of 0.01wt% concentration have conductivity value of 1.77E-10 S/m and will be advanced in thickness parameter. Whereas in thickness parameter, the 3layer deposition were chosen as its conductivity is the highest at 3.9098E9 S/m.

  11. Nano-crystalline thin and nano-particulate thick TiO{sub 2} layer: Cost effective sequential deposition and study on dye sensitized solar cell characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Das, P.; Sengupta, D. [Centre for Advanced Materials Processing, CSIR-Central Mechanical Engineering Research Institute, Durgapur, 713209 West Bengal (India); CSIR-Central Mechanical Engineering Research Institute, Academy of Scientific and Innovative Research (AcSIR), Durgapur, 713209 West Bengal (India); Kasinadhuni, U. [Department of Engineering Physics, Bengal College of Engineering and Technology, Durgapur, West Bengal (India); Mondal, B. [Centre for Advanced Materials Processing, CSIR-Central Mechanical Engineering Research Institute, Durgapur, 713209 West Bengal (India); Mukherjee, K., E-mail: kalisadhanm@yahoo.com [Centre for Advanced Materials Processing, CSIR-Central Mechanical Engineering Research Institute, Durgapur, 713209 West Bengal (India)

    2015-06-15

    Highlights: • Thin TiO{sub 2} layer is deposited on conducting substrate using sol–gel based dip coating. • TiO{sub 2} nano-particles are synthesized using hydrothermal route. • Thick TiO{sub 2} particulate layer is deposited on prepared thin layer. • Dye sensitized solar cells are made using thin and thick layer based photo-anode. • Introduction of thin layer in particulate photo-anode improves the cell efficiency. - Abstract: A compact thin TiO{sub 2} passivation layer is introduced between the mesoporous TiO{sub 2} nano-particulate layer and the conducting glass substrate to prepare photo-anode for dye-sensitized solar cell (DSSC). In order to understand the effect of passivation layer, other two DSSCs are also developed separately using TiO{sub 2} nano-particulate and compact thin film based photo-anodes. Nano-particles are prepared using hydrothermal synthesis route and the compact passivation layer is prepared by simply dip coating the precursor sol prepared through wet chemical route. The TiO{sub 2} compact layer and the nano-particles are characterised in terms of their micro-structural features and phase formation behavior. It is found that introduction of a compact TiO{sub 2} layer in between the mesoporous TiO{sub 2} nano-particulate layer and the conducting substrate improves the solar to electric conversion efficiency of the fabricated cell. The dense thin passivation layer is supposed to enhance the photo-excited electron transfer and prevent the recombination of photo-excited electrons.

  12. Building a Better Capacitor with Thin-Film Atomic Layer Deposition Processing

    Energy Technology Data Exchange (ETDEWEB)

    Pike, Christopher [North Seattle College, WA (United States)

    2015-08-28

    The goal of this research is to determine procedures for creating ultra-high capacity supercapacitors by using nanofabrication techniques and high k-value dielectrics. One way to potentially solve the problem of climate change is to switch the source of energy to a source that doesn’t release many tons of greenhouse gases, gases which cause global warming, into the Earth’s atmosphere. These trap in more heat from the Sun’s solar energy and cause global temperatures to rise. Atomic layer deposition will be used to create a uniform thin-film of dielectric to greatly enhance the abilities of our capacitors and will build them on the nanoscale.

  13. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  14. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  15. Simulation and growing study of Cu–Al–S thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duclaux, L., E-mail: loraine-externe.duclaux@edf.fr [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Donsanti, F.; Vidal, J. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Bouttemy, M. [Lavoisier Institute of Versailles, UMR 8180, 45 avenue des Etats-Unis, 78035 Versailles cedex (France); Schneider, N.; Naghavi, N. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France)

    2015-11-02

    In this paper, we have explored the potential of Cu–Al–S compounds as p-type transparent conducting material by means of atomistic simulation using CuAlS{sub 2} as a reference ternary compound and atomic layer deposition (ALD) growth. We have identified key intrinsic point defects acting either as shallow acceptor or deep donor which define the conductivity of CuAlS{sub 2}. Higher p-type conductivity was found to be achievable under metal-poor and chalcogen-rich growth conditions. According to this precept, ALD growth of Cu{sub x}Al{sub y}S{sub z} was attempted using Cu(acac){sub 2} and Al(CH{sub 3}){sub 3} as precursors for Cu and Al respectively and under H{sub 2}S atmosphere. While as grown thin films present low content of Al, it influences the band gap values as well as the obtained structures. - Highlights: • Ab-initio investigation of CuAlS{sub 2} • Indentification of two opposite main-contributive intrinsic defects on the conductivity: V{sub Cu} and Al{sub Cu} • Synthesis of Cu-Al-S ternary compound using atomic layer deposition • Impact of aluminum insertion on the optical and structural properties of the films.

  16. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  17. The influence of the electrical asymmetry effect on deposition uniformity of thin silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Hrunski, D., E-mail: Dzmitry.Hrunski@leyboldoptics.com; Janssen, A.; Fritz, T.; Hegemann, T.; Clark, C.; Schreiber, U.; Grabosch, G.

    2013-04-01

    The deposition of amorphous and microcrystalline silicon is an important step in the production of thin silicon film solar panels. Deposition rate, layer uniformity and material quality are key attributes for achieving high efficiency in such panels. Due to the multilayer structure of tandem solar cells (more than 6 thin silicon layers), it is becoming increasingly important to improve the uniformity of deposition without sacrificing deposition rate and material quality. This paper reports the results of an investigation into the influence of the electrical asymmetry effect (EAE) on the uniformity of deposited layers. 13.56 MHz + 27.12 MHz excitation frequencies were used for thin silicon film deposition in a Gen5 reactor (1100 × 1400 mm). To change the plasma properties, the DC self bias voltage on the RF electrode was varied by adjustment of the phase angle between the two frequencies applied. It was found that the layers deposited by EAE method have better uniformity than layers deposited in single frequency 27.12 MHz discharge. The EAE provides additional opportunities for improvement of uniformity, deposition rate and material quality. - Highlights: ► The electrical asymmetry effect technique tested for thin silicon film deposition ► Bias voltage has an influence on film uniformity. ► Minimized the deterioration of layer uniformity while increasing discharge frequency.

  18. Layer-by-layer assembly of thin film oxygen barrier

    International Nuclear Information System (INIS)

    Jang, Woo-Sik; Rawson, Ian; Grunlan, Jaime C.

    2008-01-01

    Thin films of sodium montmorillonite clay and cationic polyacrylamide were grown on a polyethylene terephthalate film using layer-by-layer assembly. After 30 clay-polymer layers are deposited, with a thickness of 571 nm, the resulting transparent film has an oxygen transmission rate (OTR) below the detection limit of commercial instrumentation ( 2 /day/atm). This low OTR, which is unprecedented for a clay-filled polymer composite, is believed to be due to a brick wall nanostructure comprised of completely exfoliated clay in polymeric mortar. With an optical transparency greater than 90% and potential for microwaveability, this thin composite is a good candidate for foil replacement in food packaging and may also be useful for flexible electronics packaging

  19. Deposition of metal chalcogenide thin films by successive ionic layer

    Indian Academy of Sciences (India)

    ) method, has emerged as one of the solution methods to deposit a variety of compound materials in thin film form. The SILAR method is inexpensive, simple and convenient for large area deposition. A variety of substrates such as insulators, ...

  20. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  1. Measurement of Young’s modulus and residual stress of atomic layer deposited Al2O3 and Pt thin films

    Science.gov (United States)

    Purkl, Fabian; Daus, Alwin; English, Timothy S.; Provine, J.; Feyh, Ando; Urban, Gerald; Kenny, Thomas W.

    2017-08-01

    The accurate measurement of mechanical properties of thin films is required for the design of reliable nano/micro-electromechanical devices but is increasingly challenging for thicknesses approaching a few nanometers. We apply a combination of resonant and static mechanical test structures to measure elastic constants and residual stresses of 8-27 nm thick Al2O3 and Pt layers which have been fabricated through atomic layer deposition. Young’s modulus of poly-crystalline Pt films was found to be reduced by less than 15% compared to the bulk value, whereas for amorphous Al2O3 it was reduced to about half of its bulk value. We observed no discernible dependence of the elastic constant on thickness or deposition method for Pt, but the use of plasma-enhanced atomic layer deposition was found to increase Young’s modulus of Al2O3 by 10% compared to a thermal atomic layer deposition. As deposited, the Al2O3 layers had an average tensile residual stress of 131 MPa. The stress was found to be higher for thinner layers and layers deposited without the help of a remote plasma. No residual stress values could be extracted for Pt due to insufficient adhesion of the film without an underlying layer to promote nucleation.

  2. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  3. Mg-doped ZnO thin films deposited by the atomic layer chemical vapor deposition for the buffer layer of CIGS solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zhao-Hui [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Center for Photovoltaic and Solar Energy, Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen city 518055 (China); Cho, Eou-Sik [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Kwon, Sang Jik, E-mail: sjkwon@gachon.ac.kr [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of)

    2014-09-30

    Highlights: • Mg-doped ZnO film as CIGS buffer was prepared by ALD process. • The grain size of ZnO-like hexagonal phase decreased with Mg content. • The transmittance and crystallinity increased but the band gap decreased with temperature. - Abstract: Mg-doped ZnO [(Zn, Mg)O] thin films were prepared by atomic layer chemical vapor deposition (ALCVD) process with different Mg content, using diethyl zinc, biscyclopentadienyl magnesium, and water as the metal and oxygen sources, respectively. The ratio of Mg to Zn was varied by changing the pulse ratio of MgCp{sub 2} to DEZn precursor to study its effect on the properties of (Zn, Mg)O thin films. From the experimental results, it was shown that the grain size of the ZnO-like hexagonal phase (Zn, Mg)O decreased as the Mg content increased. But the transmittance and optical band gap of (Zn, Mg)O films increased with the increase of the Mg content. In addition, the effect of the substrate temperature on the properties of (Zn, Mg)O films was also investigated. The deposition rate, transmittance, and crystallinity of (Zn, Mg)O films increased as the substrate temperature increased. But its band gap decreased slightly with the increase of substrate temperature.

  4. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  5. Compositional characterization of atomic layer deposited alumina

    International Nuclear Information System (INIS)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev

    2014-01-01

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al 2 O 3 is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra

  6. Compositional characterization of atomic layer deposited alumina

    Energy Technology Data Exchange (ETDEWEB)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev [Department of Instrumentation, Cochin University of Science and Technology, Cochin-22, Kerala (India)

    2014-01-28

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al{sub 2}O{sub 3} is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra.

  7. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  8. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  9. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  10. Ultra-thin Metal and Dielectric Layers for Nanophotonic Applications

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Leandro, Lorenzo; Malureanu, Radu

    2015-01-01

    In our talk we first give an overview of the various thin films used in the field of nanophotonics. Then we describe our own activity in fabrication and characterization of ultra-thin films of high quality. We particularly focus on uniform gold layers having thicknesses down to 6 nm fabricated by......-beam deposition on dielectric substrates and Al-oxides/Ti-oxides multilayers prepared by atomic layer deposition in high aspect ratio trenches. In the latter case we show more than 1:20 aspect ratio structures can be achieved....

  11. Methods for producing thin film charge selective transport layers

    Science.gov (United States)

    Hammond, Scott Ryan; Olson, Dana C.; van Hest, Marinus Franciscus Antonius Maria

    2018-01-02

    Methods for producing thin film charge selective transport layers are provided. In one embodiment, a method for forming a thin film charge selective transport layer comprises: providing a precursor solution comprising a metal containing reactive precursor material dissolved into a complexing solvent; depositing the precursor solution onto a surface of a substrate to form a film; and forming a charge selective transport layer on the substrate by annealing the film.

  12. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Science.gov (United States)

    Schaefer, Michael; Schlaf, Rudy

    2015-08-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru0) and its oxide (RuO2) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru0 and RuO2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO2 and 0.04 Å/cycle for Ru.0 An interface dipole of up to -0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO2/OH compound whose surface is saturated with hydroxyl groups.

  13. Stability of nanocrystalline electrochemically deposited layers

    DEFF Research Database (Denmark)

    Pantleon, Karen; Somers, Marcel A. J.

    2009-01-01

    have different microstructure and properties compared to bulk materials and the thermodynamic non-equilibrium state of as-deposited layers frequently results in changes of the microstructure as a function of time and/or temperature. The evolving microstructure affects the functionality and reliability......The technological demand for manufacturing components with complex geometries of micrometer or sub-micrometer dimensions and ambitions for ongoing miniaturization have attracted particular attention to electrochemical deposition methods. Thin layers of electrochemically deposited metals and alloys...... of electrodeposited components, which can be beneficial, as for the electrical conductivity of copper interconnect lines, or detrimental, as for reduced strength of nickel in MEMS applications. The present work reports on in-situ studies of the microstructure stability of as-deposited nanocrystalline Cu-, Ag- and Ni...

  14. ZnS nanostructured thin-films deposited by successive ionic layer adsorption and reaction

    Science.gov (United States)

    Deshmukh, S. G.; Jariwala, Akshay; Agarwal, Anubha; Patel, Chetna; Panchal, A. K.; Kheraj, Vipul

    2016-04-01

    ZnS thin films were grown on glass substrate using successive ionic layer adsorption and reaction (SILAR) technique at room temperature. Aqueous solutions of ZnCl2 and Na2S were used as precursors. The X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), Raman spectroscopy and optical absorption measurements were applied to study the structural, surface morphology and optical properties of as-deposited ZnS thin films. The X-ray diffraction profiles revealed that ZnS thin films consist of crystalline grains with cubic phase. Spherical nano grains of random size and well covered on the glass substrate were observed from FESEM. The average grain size were found to be 77 nm, 100 nm and 124 nm for 20 cycles, 40 cycles and 60 cycles samples respectively. For 60 cycle sample, Raman spectra show two prominent peaks at 554 cm-1 and 1094 cm-1. The optical band gap values were found to be 3.76 eV, 3.72 eV and 3.67 eV for 20 cycle, 40 cycle and 60 cycle samples respectively.

  15. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    International Nuclear Information System (INIS)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Gordon, Roy G.; Mankad, Ravin; Haight, Richard; Gunawan, Oki; Mitzi, David B.

    2014-01-01

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 10 19 to 10 20 cm −3 with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 10 19 to 10 14 cm −3 for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications

  16. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  17. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  18. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  19. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  20. Design of Faraday cup ion detectors built by thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Szalkowski, G.A., E-mail: gszalkowski3@gatech.edu [Department of Nuclear Engineering, Georgia Institute of Technology, 770 State St., Atlanta, GA 30332 (United States); Darrow, D.S., E-mail: ddarrow@pppl.gov [Princeton Plasma Physics Laboratory, P. O. Box 451, Princeton, NJ 08543 (United States); Cecil, F.E., E-mail: fcecil@mines.edu [Department of Physics, Colorado School of Mines, Golden, CO 80401 (United States)

    2017-03-11

    Thin film Faraday cup detectors can provide measurements of fast ion loss from magnetically confined fusion plasmas. These multilayer detectors can resolve the energy distribution of the lost ions in addition to giving the total loss rate. Prior detectors were assembled from discrete foils and insulating sheets. Outlined here is a design methodology for creating detectors using thin film deposition that are suited to particular scientific goals. The intention is to use detectors created by this method on the Joint European Torus (JET) and the National Spherical Torus Experiment-Upgrade (NSTX-U). The detectors will consist of alternating layers of aluminum and silicon dioxide, with layer thicknesses chosen to isolate energies of interest. Thin film deposition offers the advantage of relatively simple and more mechanically robust construction compared to other methods, as well as allowing precise control of film thickness. Furthermore, this depositional fabrication technique places the layers in intimate thermal contact, providing for three-dimensional conduction and dissipation of the ion-produced heating in the layers, rather than the essentially two-dimensional heat conduction in the discrete foil stack implementation.

  1. Microstructure evolution in pulsed laser deposited epitaxial Ge-Sb-Te chalcogenide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ross, Ulrich; Lotnyk, Andriy, E-mail: andriy.lotnyk@iom-leipzig.de; Thelander, Erik; Rauschenbach, Bernd

    2016-08-15

    The thin film deposition and structure of highly oriented telluride compounds is of particular interest for phase-change applications in next-generation non-volatile memory such as heterostructure designs, as well as for the investigation of novel optical, thermoelectric and ferroelectric properties in layered telluride compounds. In this work, epitaxial Ge-Sb-Te thin films were successfully produced by pulsed laser deposition on silicon with and without amorphous SiO{sub x} interlayer at elevated process temperatures from a Ge{sub 2}Sb{sub 2}Te{sub 5} target. Aberration-corrected high-resolution scanning transmission electron microscopy (STEM) imaging reveals a distinct interface configuration of the trigonal phase connected by a quasi van der Waals gap (vacancy) to the Sb/Te-passivated single crystalline Si substrate, yet also an intermediate textured growth regime in which the substrate symmetry is only weakly coupled to the thin film orientation, as well as strong deviation of composition at high deposition temperatures. Textured growth of Ge-Sb-Te thin film was also observed on SiO{sub x}/Si substrate with no evidence of an intermediate Sb/Te surface layer on top of an SiO{sub x} layer. In addition, particular defect structures formed by local reorganization of the stacking sequence across the vacancy gap are observed and appear to be intrinsic to these van der Waals-layered compounds. Theoretical image simulations of preferred stacking sequences can be matched to individual building blocks in the Ge-Sb-Te grain. - Highlights: • Atomic-resolution Cs-corrected STEM imaging of PLD deposited Ge-Sb-Te thin films. • Changing of overall composition with increasing deposition temperature. • Direct imaging of surface passivation Sb/Te layer at the Ge-Sb-Te/Si(111) interface. • The Sb/Te passivation layer is not a prerequisite for highly oriented growth of Ge-Sb-Te thin films.

  2. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  3. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Schaefer, Michael, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Physics, University of South Florida, Tampa, Florida 33620 (United States); Schlaf, Rudy, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Electrical Engineering, University of South Florida, Tampa, Florida 33620 (United States)

    2015-08-14

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru{sup 0}) and its oxide (RuO{sub 2}) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru{sup 0} and RuO{sub 2} films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO{sub 2} and 0.04 Å/cycle for Ru.{sup 0} An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO{sub 2}/OH compound whose surface is saturated with hydroxyl groups.

  4. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    International Nuclear Information System (INIS)

    Schaefer, Michael; Schlaf, Rudy

    2015-01-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru 0 ) and its oxide (RuO 2 ) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru 0 and RuO 2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO 2 and 0.04 Å/cycle for Ru. 0 An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO 2 /OH compound whose surface is saturated with hydroxyl groups

  5. Thin films of In2O3 by atomic layer deposition using In(acac)3

    International Nuclear Information System (INIS)

    Nilsen, O.; Balasundaraprabhu, R.; Monakhov, E.V.; Muthukumarasamy, N.; Fjellvag, H.; Svensson, B.G.

    2009-01-01

    Thin films of indium oxide have been deposited using the atomic layer deposition (ALD) technique using In(acac) 3 (acac = acetylacetonate, pentane-2,4-dione) and either H 2 O or O 3 as precursors. Successful growth using In(acac) 3 is contradictory to what has been reported previously in the literature [J.W. Elam, A.B.F. Martinson, M.J. Pellin, J.T. Hupp, Chem. Mater. 18 (2006) 3571.]. Investigation of the dependence of temperature on the deposition shows windows where the growth rates are relatively unaffected by temperature in the ranges 165-200 o C for In(acac) 3 and H 2 O, 165-225 o C for In(acac) 3 and O 3 . The growth rates obtained are of the order 20 pm/cycle for In(acac) 3 and H 2 O, 12 pm/cycle for In(acac) 3 .

  6. Ultra-Thin Atomic Layer Deposited TiN Films: Non-Linear I–V Behaviour and the Importance of Surface Passivation

    NARCIS (Netherlands)

    Van Hao, B.; Aarnink, Antonius A.I.; Kovalgin, Alexeij Y.; Wolters, Robertus A.M.

    2011-01-01

    We report the electrical resistivity of atomic layer deposited TiN thin films in the thickness range 2.5-20 nm. The measurements were carried out using the circular transfer length method structures. For the films with thickness in the range of 10-20 nm, the measurements exhibited linear

  7. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Gordon, Roy G., E-mail: gordon@chemistry.harvard.edu [Harvard University, Cambridge, Massachusetts 02138 (United States); Mankad, Ravin; Haight, Richard; Gunawan, Oki [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Mitzi, David B. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Duke University, Durham, North Carolina 27708 (United States)

    2014-11-17

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 10{sup 19} to 10{sup 20} cm{sup −3} with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 10{sup 19} to 10{sup 14} cm{sup −3} for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications.

  8. MultiLayer solid electrolyte for lithium thin film batteries

    Science.gov (United States)

    Lee, Se -Hee; Tracy, C. Edwin; Pitts, John Roland; Liu, Ping

    2015-07-28

    A lithium metal thin-film battery composite structure is provided that includes a combination of a thin, stable, solid electrolyte layer [18] such as Lipon, designed in use to be in contact with a lithium metal anode layer; and a rapid-deposit solid electrolyte layer [16] such as LiAlF.sub.4 in contact with the thin, stable, solid electrolyte layer [18]. Batteries made up of or containing these structures are more efficient to produce than other lithium metal batteries that use only a single solid electrolyte. They are also more resistant to stress and strain than batteries made using layers of only the stable, solid electrolyte materials. Furthermore, lithium anode batteries as disclosed herein are useful as rechargeable batteries.

  9. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    , ultra-thin layer of encapsulating ZnS is coated on the surface of GaSb and GaSb/InAs substrates. The 2 nm-thick ZnS film is found to provide a long-term protection against reoxidation for one order and a half longer times than prior reported passivation likely due to its amorphous structure without pinholes. Finally, a combination of binary ALD processes is developed and demonstrated for the growth of yttria-stabilized zirconia films using alkylamido-cyclopentadiengyls zirconium and tris(isopropyl-cyclopentadienyl)yttrium, as zirconium and yttrium precursors, respectively, with ozone being the oxidant. The desired cubic structure of YSZ films is apparently achieved after post-deposition annealing. Further, platinum is atomic layer deposited as electrode on YSZ (8 mol% of Yttria) within the same system. In order to control the morphology of as-deposited Pt thin structure, the nucleation behavior of Pt on amorphous and cubic YSZ is investigated. Three different morphologies of Pt are observed, including nanoparticle, porous and dense films, which are found to depend on the ALD cycle number and the structure and morphology of they underlying ALD YSZ films.

  10. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  11. ZnS nanostructured thin-films deposited by successive ionic layer adsorption and reaction

    Energy Technology Data Exchange (ETDEWEB)

    Deshmukh, S. G., E-mail: deshmukhpradyumn@gmail.com; Jariwala, Akshay; Agarwal, Anubha; Patel, Chetna; Kheraj, Vipul, E-mail: vipulkheraj@gmail.com [Department of Applied Physics, Sardar Vallabhbhai National Institute of Technology, Ichchhanath, Surat (India); Panchal, A. K. [Department of Electrical Engineering, Sardar Vallabhbhai National Institute of Technology, Ichchhanath, Surat (India)

    2016-04-13

    ZnS thin films were grown on glass substrate using successive ionic layer adsorption and reaction (SILAR) technique at room temperature. Aqueous solutions of ZnCl{sub 2} and Na{sub 2}S were used as precursors. The X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), Raman spectroscopy and optical absorption measurements were applied to study the structural, surface morphology and optical properties of as-deposited ZnS thin films. The X-ray diffraction profiles revealed that ZnS thin films consist of crystalline grains with cubic phase. Spherical nano grains of random size and well covered on the glass substrate were observed from FESEM. The average grain size were found to be 77 nm, 100 nm and 124 nm for 20 cycles, 40 cycles and 60 cycles samples respectively. For 60 cycle sample, Raman spectra show two prominent peaks at 554 cm{sup −1} and 1094 cm{sup −1}. The optical band gap values were found to be 3.76 eV, 3.72 eV and 3.67 eV for 20 cycle, 40 cycle and 60 cycle samples respectively.

  12. Deposition of thin layer (monoatomic layer) of barium on gold single crystal surfaces and studies of its oxidation employing X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ahmad, H.; Ahmad, R.; Khalid, M.; Alvi, R.A.

    2007-01-01

    Due to the high reactivity of barium with oxygen, some oxygen diffuse into the bulk to form bulk oxide and it is very difficult to differentiate the oxide over layer and the bulk oxide. To study the oxidation of barium surface layer, a thin layer (monolayer) of barium is developed over gold single crystal surface. Gold is selected as support because it is one of the least reactive metal in transition metal group and have very low probability of reaction with oxygen at room temperature (300K). Nitrous oxide (N/sub 2/O) was used as oxidant. Thin layer of barium was deposited on Au(100) surface. The barium coverage on gold surface was calculated that varied from 0.4 to 1.4 monolayer (ML). Photoelectron spectra for O(ls), N(ls), Ba (3d), and Au (4f) have been recorded on X-ray photoelectron spectrometer at different binding energy region specific for each element. The decomposition of nitrous oxide has been observed in all cases. It has found that nitrogen is evolved in the gaseous state and oxygen is adsorbed/chemisorbed on barium over layer. (author)

  13. Mechanical characteristics of a tool steel layer deposited by using direct energy deposition

    Science.gov (United States)

    Baek, Gyeong Yun; Shin, Gwang Yong; Lee, Eun Mi; Shim, Do Sik; Lee, Ki Yong; Yoon, Hi-Seak; Kim, Myoung Ho

    2017-07-01

    This study focuses on the mechanical characteristics of layered tool steel deposited using direct energy deposition (DED) technology. In the DED technique, a laser beam bonds injected metal powder and a thin layer of substrate via melting. In this study, AISI D2 substrate was hardfaced with AISI H13 and M2 metal powders for mechanical testing. The mechanical and metallurgical characteristics of each specimen were investigated via microstructure observation and hardness, wear, and impact tests. The obtained characteristics were compared with those of heat-treated tool steel. The microstructures of the H13- and M2-deposited specimens show fine cellular-dendrite solidification structures due to melting and subsequent rapid cooling. Moreover, the cellular grains of the deposited M2 layer were smaller than those of the H13 structure. The hardness and wear resistance were most improved in the M2-deposited specimen, yet the H13-deposited specimen had higher fracture toughness than the M2-deposited specimen and heat-treated D2.

  14. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  15. Photon up-converting (Yb,Er){sub 2}O{sub 3} thin films by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tuomisto, Minnea [Department of Chemistry, University of Turku (Finland); Doctoral Programme in Physical and Chemical Sciences, University of Turku Graduate School (UTUGS), Turku (Finland); Giedraityte, Zivile; Karppinen, Maarit [Department of Chemistry and Materials Science, School of Chemical Engineering, Aalto University (Finland); Lastusaari, Mika [Department of Chemistry, University of Turku (Finland); Turku University Centre for Materials and Surfaces (MatSurf), Turku (Finland)

    2017-06-15

    We report up-converting (Yb,Er){sub 2}O{sub 3} thin films grown with the atomic layer deposition (ALD) technique. The films are crystalline and show a homogeneous morphology with a roughness less than 1 nm for 40 nm thick films. High-intensity near-infrared (NIR) to green and red two-photon up-conversion emission is obtained with 974 nm excitation through an absorption by Yb{sup 3+}, followed by a Yb{sup 3+}-Er{sup 3+} energy transfer and emission from Er{sup 3+}. The ALD technique promises to be excellent for producing up-converting films for many applications such as near-infrared radiation absorbing layers for solar cells and sensors in point-of-care biomedical diagnostics. Schematic picture of the ALD-grown (Yb,Er){sub 2}O{sub 3} thin film including the up-conversion emission spectra. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Aluminosilicate glass thin films elaborated by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Carlier, Thibault [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Saitzek, Sébastien [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Méar, François O., E-mail: francois.mear@univ-lille1.fr [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Blach, Jean-François; Ferri, Anthony [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Huvé, Marielle; Montagne, Lionel [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France)

    2017-03-01

    Highlights: • Successfully deposition of a glassy thin film by PLD. • A good homogeneity and stoichiometry of the coating. • Influence of the deposition temperature on the glassy thin-film structure. - Abstract: In the present work, we report the elaboration of aluminosilicate glass thin films by Pulsed Laser Deposition at various temperatures deposition. The amorphous nature of glass thin films was highlighted by Grazing Incidence X-Ray Diffraction and no nanocristallites were observed in the glassy matrix. Chemical analysis, obtained with X-ray Photoelectron Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy, showed a good transfer and homogeneous elementary distribution with of chemical species from the target to the film a. Structural studies performed by Infrared Spectroscopy showed that the substrate temperature plays an important role on the bonding configuration of the layers. A slight shift of Si-O modes to larger wavenumber was observed with the synthesis temperature, assigned to a more strained sub-oxide network. Finally, optical properties of thins film measured by Spectroscopic Ellipsometry are similar to those of the bulk aluminosilicate glass, which indicate a good deposition of aluminosilicate bulk glass.

  17. Layered double hydroxides/polymer thin films grown by matrix assisted pulsed laser evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R.; Matei, A.; Mitu, B.; Ionita, M.D.; Filipescu, M.; Stokker-Cheregi, F.; Luculescu, C.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest–Magurele (Romania); Zavoianu, R.; Pavel, O.D. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania); Corobea, M.C. [National R. and S. Institute for Chemistry and Petrochemistry, ICECHIM, 202 Splaiul Independentei Str., CP-35-274, 060021, Bucharest (Romania)

    2013-09-30

    Due to their highly tunable properties, layered double hydroxides (LDHs) are an emerging class of the favorably layered crystals used for the preparation of multifunctional polymer/layered crystal nanocomposites. In contrast to cationic clay materials with negatively charge layers, LDHs are the only host lattices with positively charged layers (brucite-like), with interlayer exchangeable anions and intercalated water. In this work, the deposition of thin films of Mg and Al based LDH/polymers nanocomposites by laser techniques is reported. Matrix assisted pulsed laser evaporation was the method used for thin films deposition. The Mg–Al LDHs capability to act as a host for polymers and to produce hybrid LDH/polymer films has been investigated. Polyethylene glycol with different molecular mass compositions and ethylene glycol were used as polymers. The structure and surface morphology of the deposited LDH/polymers films were examined by X-ray diffraction, Fourier transform infra-red spectroscopy, atomic force microscopy and scanning electron microscopy. - Highlights: • Hybrid composites deposited by matrix assisted pulsed laser evaporation (MAPLE). • Mg–Al layered double hydroxides (LDH) and polyethylene glycol (PEG) are used. • Mixtures of PEG1450 and LDH were deposited by MAPLE. • Deposited thin films preserve the properties of the starting material. • The film wettability can be controlled by the amount of PEG.

  18. Integration of atomic layer deposition CeO2 thin films with functional complex oxides and 3D patterns

    International Nuclear Information System (INIS)

    Coll, M.; Palau, A.; Gonzalez-Rosillo, J.C.; Gazquez, J.; Obradors, X.; Puig, T.

    2014-01-01

    We present a low-temperature, < 300 °C, ex-situ integration of atomic layer deposition (ALD) ultrathin CeO 2 layers (3 to 5 unit cells) with chemical solution deposited La 0.7 Sr 0.3 MnO 3 (LSMO) functional complex oxides for multilayer growth without jeopardizing the morphology, microstructure and physical properties of the functional oxide layer. We have also extended this procedure to pulsed laser deposited YBa 2 Cu 3 O 7 (YBCO) thin films. Scanning force microscopy, X-ray diffraction, aberration corrected scanning transmission electron microscopy and macroscopic magnetic measurements were used to evaluate the quality of the perovskite films before and after the ALD process. By means of microcontact printing and ALD we have prepared CeO 2 patterns using an ozone-robust photoresist that will avoid the use of hazardous lithography processes directly on the device components. These bilayers, CeO 2 /LSMO and CeO 2 /YBCO, are foreseen to have special interest for resistive switching phenomena in resistive random-access memory. - Highlights: • Integration of atomic layer deposition (ALD) CeO 2 layers on functional complex oxides • Resistive switching is identified in CeO 2 /La 0.7 Sr 0.3 MnO 3 and CeO 2 /YBa 2 Cu 3 O 7 bilayers. • Study of the robustness of organic polymers for area-selective ALD • Combination of ALD and micro-contact printing to obtain 3D patterns of CeO 2

  19. Physical vapor deposition of CdTe thin films at low temperature for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Heisler, Christoph; Brueckner, Michael; Lind, Felix; Kraft, Christian; Reisloehner, Udo; Ronning, Carsten; Wesch, Werner [Institute of Solid State Physics, University of Jena, Max-Wien-Platz 1, D-07743 Jena (Germany)

    2012-07-01

    Cadmium telluride is successfully utilized as an absorber material for thin film solar cells. Industrial production makes use of high substrate temperatures for the deposition of CdTe absorber layers. However, in order to exploit flexible substrates and to simplify the manufacturing process, lower deposition temperatures are beneficial. Based on the phase diagram of CdTe, predictions on the stoichiometry of CdTe thin films grown at low substrate temperatures are made in this work. These predictions were verified experimentally using additional sources of Cd and Te during the deposition of the CdTe thin films at different substrate temperatures. The deposited layers were analyzed with energy-dispersive X-ray spectroscopy. In case of CdTe layers which were deposited at substrate temperatures lower than 200 C without usage of additional sources we found a non-stoichiometric growth of the CdTe layers. The application of the additional sources leads to a stoichiometric growth for substrate temperatures down to 100 C which is a significant reduction of the substrate temperature during deposition.

  20. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  1. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  2. Luminescent thin films by the chemical aerosol deposition technology (CADT)

    NARCIS (Netherlands)

    Martin, F.J.; Martin, F.J.; Albers, H.; Lambeck, Paul; Popma, T.J.A.; van de Velde, G.M.H.

    1992-01-01

    Zinc sulphide thin films have been deposited with CART using zinc chlorideand zinc acetylacetonate as Zn compounds and thiourea and 1,1,3,3-tetramethylthiourea as S compounds soluted in methanol, ethanol, isopropanol and cellosolve. After optimalization of the deposition process homogeneous layers

  3. Effect of Cu buffer layer on magnetic anisotropy of cobalt thin films deposited on MgO(001 substrate

    Directory of Open Access Journals (Sweden)

    Syed Sheraz Ahmad

    2016-11-01

    Full Text Available Cobalt thin films with 5 nm thickness were prepared on single-crystal MgO (001 substrates with different thickness Cu buffer (0 nm, 5 nm, 10 nm, 20 nm. The structure, magnetic properties and transport behaviors were investigated by employing low-energy-electron-diffraction (LEED, magneto-optical Kerr effect (MOKE and anisotropic magnetoresistance (AMR. By comparing the magnetic properties of the sample as-deposited (without Cu buffer layer one with those having the buffer Cu, we found that the magnetic anisotropy was extremely affected by the Cu buffer layer. The magnetic anisotropy of the as-deposited, without buffer layer, sample shows the uniaxial magnetic anisotropy (UMA. We found that the symmetry of the magnetic anisotropy is changed from UMA to four-fold when the thickness of the Cu buffer layer reaches to 20 nm. Meanwhile, the coercivity increased from 49 Oe (without buffer layer to 300 Oe (with 20 nm Cu buffer, in the easy axis direction, as the thickness of the buffer layer increases. Moreover, the magnitudes of various magnetic anisotropy constants were determined from torque curves on the basis of AMR results. These results support the phenomenon shown in the MOKE.

  4. Graphene crystal growth by thermal precipitation of focused ion beam induced deposition of carbon precursor via patterned-iron thin layers

    Directory of Open Access Journals (Sweden)

    Rius Gemma

    2014-01-01

    Full Text Available Recently, relevant advances on graphene as a building block of integrated circuits (ICs have been demonstrated. Graphene growth and device fabrication related processing has been steadily and intensively powered due to commercial interest; however, there are many challenges associated with the incorporation of graphene into commercial applications which includes challenges associated with the synthesis of this material. Specifically, the controlled deposition of single layer large single crystal graphene on arbitrary supports, is particularly challenging. Previously, we have reported the first demonstration of the transformation of focused ion beam induced deposition of carbon (FIBID-C into patterned graphitic layers by metal-assisted thermal treatment (Ni foils. In this present work, we continue exploiting the FIBID-C approach as a route for graphene deposition. Here, thin patterned Fe layers are used for the catalysis of graphenization and graphitization. We demonstrate the formation of high quality single and few layer graphene, which evidences, the possibility of using Fe as a catalyst for graphene deposition. The mechanism is understood as the minute precipitation of atomic carbon after supersaturation of some iron carbides formed under a high temperature treatment. As a consequence of the complete wetting of FIBID-C and patterned Fe layers, which enable graphene growth, the as-deposited patterns do not preserve their original shape after the thermal treatment

  5. Deposition of very thin uniform indium sulfide layers over metallic nano-rods by the Spray-Ion Layer Gas Reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Genduso, G. [Dipartimento di Ingegneria Chimica, Gestionale, Informatica, Meccanica, Università di Palermo, Viale delle Scienze, 90100 Palermo (Italy); Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); Inguanta, R.; Sunseri, C.; Piazza, S. [Dipartimento di Ingegneria Chimica, Gestionale, Informatica, Meccanica, Università di Palermo, Viale delle Scienze, 90100 Palermo (Italy); Kelch, C.; Sáez-Araoz, R. [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); Zykov, A. [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); present address: Institut für Physik, Humboldt-Universität zu Berlin, Newtonstr. 15,12489 Berlin (Germany); Fischer, Ch.-H., E-mail: fischer@helmholtz-berlin.de [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); second affiliation: Free University Berlin, Chemistry Institute, Takustr. 3, D-14195 Berlin (Germany)

    2013-12-02

    Very thin and uniform layers of indium sulfide were deposited on nickel nano-rods using the sequential and cyclical Spray-ILGAR® (Ion Layer Gas Reaction) technique. Substrates were fabricated by electrodeposition of Ni within the pores of polycarbonate membranes and subsequent chemical dissolution of the template. With respect to the depositions on flat substrates, experimental conditions were modified and optimized for the present geometry. Our results show that nano-rods up to a length of 10 μm were covered uniformly along their full length and with an almost constant film growth rate, thus allowing a good control of the coating thickness; the effect of the deposition temperature was also investigated. However, for high numbers of process steps, i.e. thickness, the films became uneven and crusty, especially at higher temperature, mainly owing to the simultaneous side reaction of the metallic Ni forming nickel sulfide at the surface of the rods. However, such a problem occurs only in the case of reactive nano-rod materials, such as less noble metals. It could be strongly reduced by doubling the spray step duration and thereby sealing the metallic surface before the process step of the sulfurization. Thus, quite smooth, about 100 nm thick coatings could be obtained. - Highlights: • Ni nano-rod substrates were grown within polycarbonate membranes. • We can coat nano-rods uniformly by the Ion Layer Gas Reaction method. • As a model we deposited up to about 100 nm In{sub 2}S{sub 3} on Ni nanorods (250 nm × 10 μm). • Element mapping at insulated rods showed homogenous coating over the full length. • Parameter optimization reduced effectively the Ni sulfide formation.

  6. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  7. Ion beams as a means of deposition and in-situ characterization of thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Gruen, D.M.; Lin, Y.P.; Schmidt, H.; Liu, Y.L.; Barr, T.; Chang, R.P.H.

    1992-01-01

    Ion beam-surface interactions produce many effects in thin film deposition which are similar to those encountered in plasma deposition processes. However, because of the lower pressures and higher directionality associated with the ion beam process, it is easier to avoid some sources of film contamination and to provide better control of ion energies and fluxes. Additional effects occur in the ion beam process because of the relatively small degree of thermalization resulting from gas phase collisions with both the ion beam and atoms sputtered from the target. These effects may be either beneficial or detrimental to the film properties, depending on the material and deposition conditions. Ion beam deposition is particularly suited to the deposition of multi-component films and layered structures, and can in principle be extended to a complete device fabrication process. However, complex phenomena occur in the deposition of many materials of high technical interest which make it desirable to monitor the film growth at the monolayer level. It is possible to make use of ion-surface interactions to provide a full suite of surface analytical capabilities in one instrument, and this data may be obtained at ambient pressures which are far too high for conventional surface analysis techniques. Such an instrument is under development and its current performance characteristics and anticipated capabilities are described

  8. Studies on morphology, electrical and optical characteristics of Al-doped ZnO thin films grown by atomic layer deposition

    Science.gov (United States)

    Chen, Li; Chen, Xinliang; Zhou, Zhongxin; Guo, Sheng; Zhao, Ying; Zhang, Xiaodan

    2018-03-01

    Al doped ZnO (AZO) films deposited on glass substrates through the atomic layer deposition (ALD) technique are investigated with various temperatures from 100 to 250 °C and different Zn : Al cycle ratios from 20 : 0 to 20 : 3. Surface morphology, structure, optical and electrical properties of obtained AZO films are studied in detail. The Al composition of the AZO films is varied by controlling the ratio of Zn : Al. We achieve an excellent AZO thin film with a resistivity of 2.14 × 10‑3 Ω·cm and high optical transmittance deposited at 150 °C with 20 : 2 Zn : Al cycle ratio. This kind of AZO thin films exhibit great potential for optoelectronics device application. Project supported by the State Key Development Program for Basic Research of China (Nos. 2011CBA00706, 2011CBA00707) and the Tianjin Applied Basic Research Project and Cutting-Edge Technology Research Plan (No. 13JCZDJC26900).

  9. Morphology and gas sensing properties of as-deposited and thermally treated doped thin SnO{sub x} layers

    Energy Technology Data Exchange (ETDEWEB)

    Georgieva, B; Pirov, J; Podolesheva, I [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria); Nihtianova, D, E-mail: biliana@clf.bas.b [Central Laboratory of Mineralogy and Crystallography, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.107, 1113 Sofia (Bulgaria)

    2010-04-01

    Thin layers intended for gas sensors are prepared by vacuum co-evaporation of TeO{sub 2} and Sn. The as-deposited layers consist of a nanosized oxide matrix and finely dispersed dopants (Te, Sn, TeO{sub 2} or SnTe, depending on the atomic ratio R{sub Sn/Te}). In order to improve the characteristics of the layers they are additionally doped with platinum. The gas sensing properties are strongly dependent on the atomic ratio R{sub Sn/Te}, as well as on the structure, composition and surface morphology. The as-deposited layers with R{sub Sn/Te} 0.8 are highly sensitive humidity sensors working at room temperature. Thermally treated Pt-doped layers with R{sub Sn/Te} 2.3 are promising as ethanol sensors. With the aim of obtaining more detailed knowledge about the surface morphology, structure and composition of layers sensitive to different environments, various techniques -TEM, SAED, SEM, EDS in SEM and white light interferometry (WLI), are applied. It is shown that all layers with 1.0 > R{sub Sn/Te} > 2, as-deposited and thermally treated, exhibit a columnar structure and a very smooth surface along with the nanograined matrix. The thermal treatment causes changes in the structure and composition of the layers. The ethanol-sensitive layers consist of nanosized polycrystalline phases of SnO{sub 2}, Sn{sub 2}O{sub 3}, Sn{sub 3}O{sub 4} and TeO{sub 2}. This knowledge could help us understand better the behaviour and govern the characteristics of layers obtained by co-evaporation of Sn and TeO{sub 2}.

  10. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  11. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  12. Quasicubic α-Fe{sub 2}O{sub 3} nanoparticles embedded in TiO{sub 2} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Seinberg, Liis [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kozlova, Jekaterina [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Link, Joosep [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Pikma, Piret [University of Tartu, Institute of Chemistry, Ravila 14A, 50411 Tartu (Estonia); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-08-01

    Monodispersed quasicubic α-Fe{sub 2}O{sub 3} nanoparticles were synthesized from ferric nitrite (Fe(NO{sub 3}){sub 3}), N,N-dimethyl formamide and poly(N-vinyl-2-pyrrolidone). Layers of nanoparticles were attached to HF-etched Si substrates by dip coating and subsequently embedded in thin titanium oxide films grown by atomic layer deposition from TiCl{sub 4} and H{sub 2}O. The deposition of TiO{sub 2} onto Fe{sub 2}O{sub 3} nanoparticles covered the nanoparticles uniformly and anatase phase of TiO{sub 2} was observed in Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructures. In Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructure magnetic domains, observable by magnetic force microscopy, were formed and these nanostructures implied ferromagnetic-like behavior at room temperature with the saturative magnetization and coercivity of 10 kA/m. - Highlights: • Cubic-shaped iron oxide crystallites were supported by thin titanium oxide films. • The process chemistry applied allowed formation of heterogeneous composite. • Atomic layer deposition of titanium oxide on nanocubes was uniform and conformal. • The nanostructures formed can be regarded as magnetically susceptible materials.

  13. Microscratch testing method for systematic evaluation of the adhesion of atomic layer deposited thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kilpi, Lauri, E-mail: Lauri.Kilpi@vtt.fi; Ylivaara, Oili M. E.; Vaajoki, Antti; Puurunen, Riikka L.; Ronkainen, Helena [VTT Technical Research Centre of Finland Ltd., P.O. Box 1000, FI-02044 VTT (Finland); Malm, Jari [Department of Physics, University of Jyväskylä, P.O. Box 35, Jyväskylä 40014 (Finland); Sintonen, Sakari [Department of Micro- and Nanosciences, Aalto University School of Electrical Engineering, P.O. Box 13500, FI-00076 AALTO (Finland); Tuominen, Marko [ASM Microchemistry Oy, Pietari Kalmin katu 1 F 2, FIN-00560 Helsinki (Finland)

    2016-01-15

    The scratch test method is widely used for adhesion evaluation of thin films and coatings. Usual critical load criteria designed for scratch testing of coatings were not applicable to thin atomic layer deposition (ALD) films on silicon wafers. Thus, the bases for critical load evaluation were established and the critical loads suitable for ALD coating adhesion evaluation on silicon wafers were determined in this paper as L{sub CSi1}, L{sub CSi2}, L{sub CALD1}, and L{sub CALD2}, representing the failure points of the silicon substrate and the coating delamination points of the ALD coating. The adhesion performance of the ALD Al{sub 2}O{sub 3}, TiO{sub 2}, TiN, and TaCN+Ru coatings with a thickness range between 20 and 600 nm and deposition temperature between 30 and 410 °C on silicon wafers was investigated. In addition, the impact of the annealing process after deposition on adhesion was evaluated for selected cases. The tests carried out using scratch and Scotch tape test showed that the coating deposition and annealing temperature, thickness of the coating, and surface pretreatments of the Si wafer had an impact on the adhesion performance of the ALD coatings on the silicon wafer. There was also an improved load carrying capacity due to Al{sub 2}O{sub 3}, the magnitude of which depended on the coating thickness and the deposition temperature. The tape tests were carried out for selected coatings as a comparison. The results show that the scratch test is a useful and applicable tool for adhesion evaluation of ALD coatings, even when carried out for thin (20 nm thick) coatings.

  14. Transparent conductive ZnO layers on polymer substrates: Thin film deposition and application in organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Dosmailov, M. [Institute of Applied Physics, Johannes Kepler University Linz, A-4040 Linz (Austria); Leonat, L.N. [Linz Institute for Organic Solar Cells (LIOS)/Institute of Physical Chemistry, Johannes Kepler University Linz, A-4040 Linz (Austria); Patek, J. [Institute of Applied Physics, Johannes Kepler University Linz, A-4040 Linz (Austria); Roth, D.; Bauer, P. [Institute of Experimental Physics, Johannes Kepler University Linz, A-4040 Linz (Austria); Scharber, M.C.; Sariciftci, N.S. [Linz Institute for Organic Solar Cells (LIOS)/Institute of Physical Chemistry, Johannes Kepler University Linz, A-4040 Linz (Austria); Pedarnig, J.D., E-mail: johannes.pedarnig@jku.at [Institute of Applied Physics, Johannes Kepler University Linz, A-4040 Linz (Austria)

    2015-09-30

    Aluminum doped ZnO (AZO) and pure ZnO thin films are grown on polymer substrates by pulsed-laser deposition and the optical, electrical, and structural film properties are investigated. Laser fluence, substrate temperature, and oxygen pressure are varied to obtain transparent, conductive, and stoichiometric AZO layers on polyethylene terephthalate (PET) that are free of cracks. At low fluence (1 J/cm{sup 2}) and low pressure (10{sup −3} mbar), AZO/PET samples of high optical transmission in the visible range, low electrical sheet resistance, and high figure of merit (FOM) are produced. AZO films on fluorinated ethylene propylene have low FOM. The AZO films on PET substrates are used as electron transport layer in inverted organic solar cell devices employing P3HT:PCBM as photovoltaic polymer-fullerene bulk heterojunction. - Highlights: • Aluminum doped and pure ZnO thin films are grown on polyethylene terephthalate. • Growth parameters laser fluence, temperature, and gas pressure are optimized. • AZO films on PET have high optical transmission and electrical conductance (FOM). • Organic solar cells on PET using AZO as electron transport layer are made. • Power conversion efficiency of these OSC devices is measured.

  15. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  16. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  17. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  18. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  19. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager

    2017-03-15

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.

  20. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.; Alshareef, Husam N.

    2013-01-01

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  1. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.

    2013-01-09

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  2. Barrier properties of plastic films coated with an Al{sub 2}O{sub 3} layer by roll-to-toll atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hirvikorpi, Terhi, E-mail: Terhi.Hirvikorpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Laine, Risto, E-mail: Risto.Laine@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vähä-Nissi, Mika, E-mail: Mika.Vaha-Nissi@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kilpi, Väinö, E-mail: Vaino.Kilpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Salo, Erkki, E-mail: Erkki.Salo@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Li, Wei-Min, E-mail: Wei-Min.Li@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Lindfors, Sven, E-mail: Sven.Lindfors@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vartiainen, Jari, E-mail: Jari.Vartiainen@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kenttä, Eija, E-mail: Eija.Kentta@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Nikkola, Juha, E-mail: Juha.Nikkola@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1300, FI-33101 Tampere (Finland); Harlin, Ali, E-mail: Ali.Harlin@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kostamo, Juhana, E-mail: Juhana.Kostamo@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland)

    2014-01-01

    Thin (30–40 nm) and highly uniform Al{sub 2}O{sub 3} coatings have been deposited at relatively low temperature of 100 °C onto various polymeric materials employing the atomic layer deposition (ALD) technique, both batch and roll-to-roll (R2R) mode. The applications for ALD have long been limited those feasible for batch processing. The work demonstrates that R2R ALD can deposit thin films with properties that are comparable to the film properties fabricated by in batch. This accelerates considerably the commercialization of many products, such as flexible, printed electronics, organic light-emitting diode lighting, third generation thin film photovoltaic devices, high energy density thin film batteries, smart textiles, organic sensors, organic/recyclable packaging materials, and flexible displays, to name a few. - Highlights: • Thin and uniform Al{sub 2}O{sub 3} coatings have been deposited onto polymers materials. • Batch and roll-to-roll (R2R) atomic layer deposition (ALD) have been employed. • Deposition with either process improved the barrier properties. • Sensitivity of coated films to defects affects barrier obtained with R2R ALD.

  3. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rui [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Han, Lihao [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Huang, Zhuangqun; Ferrer, Ivonne M. [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Smets, Arno H.M.; Zeman, Miro [Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Brunschwig, Bruce S., E-mail: bsb@caltech.edu [Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Lewis, Nathan S., E-mail: nslewis@caltech.edu [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA 91125 (United States)

    2015-07-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe{sub 3} and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films.

  4. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    International Nuclear Information System (INIS)

    Liu, Rui; Han, Lihao; Huang, Zhuangqun; Ferrer, Ivonne M.; Smets, Arno H.M.; Zeman, Miro; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe 3 and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films

  5. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  6. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    Science.gov (United States)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  7. Fabrication of PVDF-based blend membrane with a thin hydrophilic deposition layer and a network structure supporting layer via the thermally induced phase separation followed by non-solvent induced phase separation process

    Science.gov (United States)

    Wu, Zhiguo; Cui, Zhenyu; Li, Tianyu; Qin, Shuhao; He, Benqiao; Han, Na; Li, Jianxin

    2017-10-01

    A simple strategy of thermally induced phase separation followed by non-solvent induced phase separation (TIPS-NIPS) is reported to fabricate poly (vinylidene fluoride) (PVDF)-based blend membrane. The dissolved poly (styrene-co-maleic anhydride) (SMA) in diluent prevents the crystallization of PVDF during the cooling process and deposites on the established PVDF matrix in the later extraction. Compared with traditional coating technique, this one-step TIPS-NIPS method can not only fabricate a supporting layer with an interconnected network structure even via solid-liquid phase separation of TIPS, but also form a uniform SMA skin layer approximately as thin as 200 nm via surface deposition of NIPS. Besides the better hydrophilicity, what's interesting is that the BSA rejection ratio increases from 48% to 94% with the increase of SMA, which indicates that the separation performance has improved. This strategy can be conveniently extended to the creation of firmly thin layer, surface functionalization and structure controllability of the membrane.

  8. Fundamental Mechanisms of Roughening and Smoothing During Thin Film Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Headrick, Randall [Univ. of Vermont, Burlington, VT (United States)

    2016-03-18

    In this research program, we have explored the fundamental limits for thin film deposition in both crystalline and amorphous (i.e. non-crystalline) materials systems. For vacuum-based physical deposition processes such as sputter deposition, the background gas pressure of the inert gas (usually argon) used as the process gas has been found to be a key variable. Both a roughness transition and stress transition as a function of pressure have been linked to a common mechanism involving collisions of energetic particles from the deposition source with the process inert gas. As energetic particles collide with gas molecules in the deposition process they lose their energy rapidly if the pressure (and background gas density) is above a critical value. Both roughness and stress limit important properties of thin films for applications. In the area of epitaxial growth we have also discovered a related effect; there is a critical pressure below which highly crystalline layers grow in a layer-by-layer mode. This effect is also though to be due to energetic particle thermalization and scattering. Several other important effects such as the observation of coalescence dominated growth has been observed. This mode can be likened to the behavior of two-dimensional water droplets on the hood of a car during a rain storm; as the droplets grow and touch each other they tend to coalesce rapidly into new larger circular puddles, and this process proceeds exponentially as larger puddles overtake smaller ones and also merge with other large puddles. This discovery will enable more accurate simulations and modeling of epitaxial growth processes. We have also observed that epitaxial films undergo a roughening transition as a function of thickness, which is attributed to strain induced by the crystalline lattice mismatch with the substrate crystal. In addition, we have studied another physical deposition process called pulsed laser deposition. It differs from sputter deposition due to the

  9. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers

    International Nuclear Information System (INIS)

    Costa e Silva, Danilo Lopes

    2015-01-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  10. A high-efficiency solution-deposited thin-film photovoltaic device

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)

    2008-10-02

    High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  11. Development of Functional Thin Polymer Films Using a Layer-by-Layer Deposition Technique.

    Science.gov (United States)

    Yoshida, Kentaro

    2017-01-01

    Functional thin films containing insulin were prepared using layer-by-layer (LbL) deposition of insulin and negatively- or positively-charged polymers on the surface of solid substrates. LbL films composed of insulin and negatively-charged polymers such as poly(acrylic acid) (PAA), poly(vinylsulfate) (PVS), and dextran sulfate (DS) were prepared through electrostatic affinity between the materials. The insulin/PAA, insulin/PVS, and insulin/DS films were stable in acidic solutions, whereas they decomposed under physiological conditions as a result of a change in the net electric charge of insulin from positive to negative. Interestingly, the insulin-containing LbL films were stable even in the presence of a digestive-enzyme (pepcin) at pH 1.4 (stomach pH). In contrast, LbL films consisting of insulin and positively-charged polymers such as poly(allylamine hydrochloride) (PAH) decomposed in acidic solutions due to the positive charges of insulin generated in acidic media. The insulin-containing LbL films can be prepared not only on the surface of flat substrates, such as quartz slides, but also on the surface of microparticles, such as poly(lactic acid) (PLA) microbeads. Thus, insulin-containing LbL film-coated PLA microbeads can be handled as a powder. In addition, insulin-containing microcapsules were prepared by coating LbL films on the surface of insulin-doped calcium carbonate (CaCO 3 ) microparticles, followed by dissolution of the CaCO 3 core. The release of insulin from the microcapsules was accelerated at pH 7.4, whereas it was suppressed in acidic solutions. These results suggest the potential use of insulin-containing microcapsules in the development of oral formulations of insulin.

  12. Quality improvement of organic thin films deposited on vibrating substrates

    Energy Technology Data Exchange (ETDEWEB)

    Paredes, Y.A.; Caldas, P.G.; Prioli, R.; Cremona, M., E-mail: cremona@fis.puc-rio.br

    2011-12-30

    Most of the Organic Light-Emitting Diodes (OLEDs) have a multilayered structure composed of functional organic layers sandwiched between two electrodes. Thin films of small molecules are generally deposited by thermal evaporation onto glass or other rigid or flexible substrates. The interface state between two organic layers in OLED device depends on the surface morphology of the layers and affects deeply the OLED performance. The morphology of organic thin films depends mostly on substrate temperature and deposition rate. Generally, the control of the substrate temperature allows improving the quality of the deposited films. For organic compounds substrate temperature cannot be increased too much due to their poor thermal stability. However, studies in inorganic thin films indicate that it is possible to modify the morphology of a film by using substrate vibration without increasing the substrate temperature. In this work, the effect of the resonance vibration of glass and silicon substrates during thermal deposition in high vacuum environment of tris(8-quinolinolate)aluminum(III) (Alq{sub 3}) and N,N Prime -Bis(naphthalene-2-yl)-N,N Prime -bis(phenyl)-benzidine ({beta}-NPB) organic thin films with different deposition rates was investigated. The vibration used was in the range of hundreds of Hz and the substrates were kept at room temperature during the process. The nucleation and subsequent growth of the organic films on the substrates have been studied by atomic force microscopy technique. For Alq{sub 3} and {beta}-NPB films grown with 0.1 nm/s as deposition rate and using a frequency of 100 Hz with oscillation amplitude of some micrometers, the results indicate a reduction of cluster density and a roughness decreasing. Moreover, OLEDs fabricated with organic films deposited under these conditions improved their power efficiency, driven at 4 mA/cm{sup 2}, passing from 0.11 lm/W to 0.24 lm/W with an increase in their luminance of about 352 cd/m{sup 2

  13. Morphology, composition and electrical properties of SnO{sub 2}:Cl thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Hsyi-En, E-mail: sean@mail.stust.edu.tw; Wen, Chia-Hui; Hsu, Ching-Ming [Department of Electro-Optical Engineering, Southern Taiwan University of Science and Technology, Tainan 71005, Taiwan (China)

    2016-01-15

    Chlorine doped SnO{sub 2} thin films were prepared using atomic layer deposition at temperatures between 300 and 450 °C using SnCl{sub 4} and H{sub 2}O as the reactants. Composition, structure, surface morphology, and electrical properties of the as-deposited films were examined. Results showed that the as-deposited SnO{sub 2} films all exhibited rutile structure with [O]/[Sn] ratios between 1.35 and 1.40. The electrical conductivity was found independent on [O]/[Sn] ratio but dependent on chlorine doping concentration, grain size, and surface morphology. The 300 °C-deposited film performed a higher electrical conductivity of 315 S/cm due to its higher chlorine doping level, larger grain size, and smoother film surface. The existence of Sn{sup 2+} oxidation state was demonstrated to minimize the effects of chlorine on raising the electrical conductivity of films.

  14. Fabrication of ATO/Graphene Multi-layered Transparent Conducting Thin Films

    Science.gov (United States)

    Li, Na; Chen, Fei; Shen, Qiang; Wang, Chuanbin; Zhang, Lianmeng

    2013-03-01

    A novel transparent conducting oxide based on the ATO/graphene multi-layered thin films has been developed to satisfy the application of transparent conductive electrode in solar cells. The ATO thin films are prepared by pulsed laser deposition method with high quality, namely the sheet resistance of 49.5 Ω/sq and average transmittance of 81.9 %. The prepared graphene sheet is well reduced and shows atomically thin, spotty distributed appearance on the top of the ATO thin films. The XRD and optical micrographs are used to confirm the successfully preparation of the ATO/graphene multi-layered thin films. The Hall measurements and UV-Vis spectrophotometer are conducted to evaluate the sheet resistance and optical transmittance of the innovative structure. It is found that graphene can improve the electrical properties of the ATO thin films with little influence on the optical transmittance.

  15. Fabrication of ATO/Graphene Multi-layered Transparent Conducting Thin Films

    International Nuclear Information System (INIS)

    Li Na; Chen Fei; Shen Qiang; Wang Chuanbin; Zhang Lianmeng

    2013-01-01

    A novel transparent conducting oxide based on the ATO/graphene multi-layered thin films has been developed to satisfy the application of transparent conductive electrode in solar cells. The ATO thin films are prepared by pulsed laser deposition method with high quality, namely the sheet resistance of 49.5 Ω/sq and average transmittance of 81.9 %. The prepared graphene sheet is well reduced and shows atomically thin, spotty distributed appearance on the top of the ATO thin films. The XRD and optical micrographs are used to confirm the successfully preparation of the ATO/graphene multi-layered thin films. The Hall measurements and UV-Vis spectrophotometer are conducted to evaluate the sheet resistance and optical transmittance of the innovative structure. It is found that graphene can improve the electrical properties of the ATO thin films with little influence on the optical transmittance.

  16. Deuterium trapping in tungsten deposition layers formed by deuterium plasma sputtering

    International Nuclear Information System (INIS)

    Alimov, V.Kh.; Roth, J.; Shu, W.M.; Komarov, D.A.; Isobe, K.; Yamanishi, T.

    2010-01-01

    A study of the influence of the deposition conditions on the surface morphology and deuterium (D) concentration in tungsten (W) deposition layers formed by magnetron sputtering and in the linear plasma generator has been carried out. Thick W layers (≥0.4 μm) deposited onto copper substrates demonstrate areas of pilling and, after post-deposition heating to 1300 K, flaking-off and fracturing. For thin W layers (≤80 nm) deposited onto stainless steel (SS) and W substrates, no areas of flaking-off and fracturing exist both after deposition and after post-deposition heating to 673 K for the SS substrate and to 1300 K for the W substrate. The concentration of deuterium in the W layers was found to decrease with increasing substrate temperature and with increasing tungsten deposition rate. For layers with relatively high concentration of oxygen (0.20-0.60 O/W), a decrease of the D concentration with increasing substrate temperature is more pronounced than that for layers deposited in good vacuum conditions. To describe the evolution of the D/W ratio with the substrate temperature and the tungsten deposition rate, an empirical equation proposed by De Temmerman and Doerner [J. Nucl. Mater. 389 (2009) 479] but with alternative parameters has been used.

  17. Effects of annealing on the properties of atomic layer deposited Ru thin films deposited by NH{sub 3} and H{sub 2} as reactants

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Joon; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr

    2016-08-01

    Atomic layer deposition (ALD) of Ru using a non-oxidizing reactant is indispensable considering its application as a seed layer for Cu electroplating and a bottom electrode for dynamic random access memory capacitors. In this study, ALD-Ru films were deposited using a sequential supply of dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C{sub 16}H{sub 22}O{sub 6}Ru) and potential non-oxidizing reducing agents, NH{sub 3} or H{sub 2}, as the reactants at a substrate temperature of 250 °C, and the effects of post-annealing in a H{sub 2} ambient on the film properties were investigated. The highly conformal deposition of Ru films was possible using the present reaction scheme but its resistivity was as high as ~ 750 μΩ-cm due to carbon incorporation into the film and the formation of an amorphous structure. Low temperature annealing at 300 °C at H{sub 2} ambient after deposition was found to improve the properties significantly in terms of the resistivity, impurities contents and crystallinity. For example, the film resistivity was decreased drastically to ~ 40 μΩ-cm with both the release of C in the film and crystallization after annealing based on secondary ion mass spectrometry and transmission electron microscopy, whereas perfect step coverage at a very small-sized dual trench (aspect ratio: ~ 3, the top opening size of 45 nm and bottom size of 20 nm) was maintained after annealing. - Highlights: • Ru thin films were deposited by atomic layer deposition (ALD) using NH{sub 3} and H{sub 2} molecules. • Effects of low temperature (300 °C) post-annealing on the film properties were investigated. • Post annealing improved the properties of ALD-Ru films. • Perfect step coverage of ALD-Ru was confirmed at trench structure (top opening width: 45 nm).

  18. Growth and characterization of tin disulfide (SnS2) thin film deposited by successive ionic layer adsorption and reaction (SILAR) technique

    International Nuclear Information System (INIS)

    Deshpande, N.G.; Sagade, A.A.; Gudage, Y.G.; Lokhande, C.D.; Sharma, Ramphal

    2007-01-01

    Thin films of tin disulfide (SnS 2 ) have been deposited by using low cost successive ionic layer adsorption and reaction (SILAR) technique. The deposition parameters such as SILAR cycles (60), immersion time (20 s), rinsing time (10 s) and deposition temperature (27 o C) were optimized to obtain good quality of films. Physical investigations were made to study the structural, optical and electrical properties. X-ray diffraction (XRD) patterns reveal that the deposited SnS 2 thin films have hexagonal crystal structure. Energy dispersive X-ray analysis (EDAX) indicated elemental ratio close to those for tin disulfide (SnS (2.02) ). Uniform deposition of the material over the entire glass substrate was revealed by scanning electron microscopy (SEM). Atomic force microscopy (AFM) showed the film is uniform and the substrate surface is well covered with small spherical grains merged in each other. A direct band gap of 2.22 eV was obtained. Photoluminescence (PL) showed two strong peaks corresponding to green and red emission. Ag/SnS 2 junction showed Schottky diode like I-V characteristics. The barrier height calculated was 0.22 eV. Thermoelectric power (TEP) properties showed that tin disulfide exhibits n-type conductivity

  19. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  20. Granular nanocrystalline zirconia electrolyte layers deposited on porous SOFC cathode substrates

    International Nuclear Information System (INIS)

    Seydel, Johannes; Becker, Michael; Ivers-Tiffee, Ellen; Hahn, Horst

    2009-01-01

    Thin granular yttria-stabilized zirconia (YSZ) electrolyte layers were prepared by chemical vapor synthesis and deposition (CVD/CVS) on a porous substoichiometric lanthanum-strontium-manganite (ULSM) solid oxide fuel cell cathode substrate. The substrate porosity was optimized with a screen printed fine porous buffer layer. Structural analysis by scanning electron microscopy showed a homogeneous, granular nanocrystalline layer with a microstructure that was controlled via reactor settings. The CVD/CVS gas-phase process enabled the deposition of crack-free granular YSZ films on porous ULSM substrates. The electrolyte layers characterized with impedance spectroscopy exhibited enhanced grain boundary conductivity.

  1. Ellipsometric investigations of pyrolytically deposited thin indium oxide films

    International Nuclear Information System (INIS)

    Winkler, U.

    1980-01-01

    Ellipsometric measurements have been carried out of thin indium oxide films deposited pyrolytically on glass substrates. It was found that the roughness of the films affected the measuring results. Therefore, only after applying a two-layer model a reasonable interpretation of the measuring results became possible

  2. Effect of multi-layered bottom electrodes on the orientation of strontium-doped lead zirconate titanate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bhaskaran, M. [Microelectronics and Materials Technology Centre, School of Electrical and Computer Engineering, RMIT University, GPO Box 2476V, Melbourne, Victoria 3001 (Australia)], E-mail: madhu.bhaskaran@gmail.com; Sriram, S. [Microelectronics and Materials Technology Centre, School of Electrical and Computer Engineering, RMIT University, GPO Box 2476V, Melbourne, Victoria 3001 (Australia); Mitchell, D.R.G.; Short, K.T. [Institute of Materials Engineering, Australian Nuclear Science and Technology Organisation (ANSTO), PMB 1, Menai, New South Wales 2234 (Australia); Holland, A.S. [Microelectronics and Materials Technology Centre, School of Electrical and Computer Engineering, RMIT University, GPO Box 2476V, Melbourne, Victoria 3001 (Australia)

    2008-09-30

    This article discusses the results from X-ray diffraction (XRD) analysis of piezoelectric strontium-doped lead zirconate titanate (PSZT) thin films deposited on multi-layer coatings on silicon. The films were deposited by RF magnetron sputtering on a metal coated substrate. The aim was to exploit the pronounced piezoelectric effect that is theoretically expected normal to the substrate. This work highlighted the influence that the bottom electrode architecture exerts on the final crystalline orientation of the deposited thin films. A number of bottom electrode architectures were used, with the uppermost metal layer on which PSZT was deposited being gold or platinum. The XRD analysis revealed that the unit cell of the PSZT thin films deposited on gold and on platinum were deformed, relative to expected unit cell dimensions. Experimental results have been used to estimate the unit cell parameters. The XRD results were then indexed based on these unit cell parameters. The choice and the thickness of the intermediate adhesion layers influenced the relative intensity, and in some cases, the presence of perovskite peaks. In some cases, undesirable reactions between the bottom electrode layers were observed, and layer architectures to overcome these reactions are also discussed.

  3. Influence of Magnetron Effect on Barium Hexaferrite Thin Layers

    International Nuclear Information System (INIS)

    Hassane, H.; Chatelon, J.P.; Rousseau, J.J; Siblini, A.; Kriga, A.

    2011-01-01

    In this paper, we study the effects of a magnet, located in the cathode, on barium hexaferrite thin films deposited by RF magnetron sputtering technique. During the process, these effects can modify thickness, roughness and stress of coatings. The characteristics of the deposited layers depend on the substrate position that is located opposite of magnetron cathode. In the m agnetron area , one can observe that the high stress can produce cracks or detachment of layers and the increasing of both depositing rate and surface roughness. After sputtering elaboration, barium hexaferrite films are in a compressive stress mode. But, after the post-deposition heat treatment these films are in a tensile stress mode. To improve the quality of BaM films, the subsrtate has to be set outside the magnetron area. (author)

  4. Interfacial passivation of CdS layer to CdSe quantum dots-sensitized electrodeposited ZnO nanowire thin films

    International Nuclear Information System (INIS)

    Zhang, Jingbo; Sun, Chuanzhen; Bai, Shouli; Luo, Ruixian; Chen, Aifan; Sun, Lina; Lin, Yuan

    2013-01-01

    ZnO porous thin films with nanowire structure were deposited by the one-step electrochemical deposition method. And a CdS layer was coated on the as-deposited ZnO nanowire thin films by successive ionic layer adsorption and reaction (SILAR) method to passivate surface states. Then the films were further sensitized by CdSe quantum dots (QDs) to serve as a photoanode for fabricating quantum dots-sensitized solar cells (QDSSCs). The effect of the CdS interfacial passivation layer on the performance of the QDSSCs was systematically investigated by varying the SILAR cycle number and heating the passivation layer. The amorphous CdS layer with an optimized thickness can effectively suppress the recombination of the injected electrons with holes on QDs and the redox electrolyte. The newly formed CdS layer on the surface of the ZnO nanowire thin film obviously prolongs the electron lifetime in the passivated ZnO nanoporous thin film because of the lower surface trap density in the ZnO nanowires after CdS deposition, which is favorable to the higher short-circuit photocurrent density (J sc ). For the CdSe QDs-sensitized ZnO nanoporous thin film with the interfacial passivation layer, the J sc and conversion efficiency can reach a maximum of 8.36 mA cm −2 and 2.36%, respectively. The conversion efficiency was improved by 83.47% compared with that of the cell based on the CdSe QDs-sensitized ZnO nanoporous thin film without CdS interfacial passivation (0.39%)

  5. Effect of p-layer properties on nanocrystalline absorber layer and thin film silicon solar cells

    International Nuclear Information System (INIS)

    Chowdhury, Amartya; Adhikary, Koel; Mukhopadhyay, Sumita; Ray, Swati

    2008-01-01

    The influence of the p-layer on the crystallinity of the absorber layer and nanocrystalline silicon thin film solar cells has been studied. Boron doped Si : H p-layers of different crystallinities have been prepared under different power pressure conditions using the plasma enhanced chemical vapour deposition method. The crystalline volume fraction of p-layers increases with the increase in deposition power. Optical absorption of the p-layer reduces as the crystalline volume fraction increases. Structural studies at the p/i interface have been done by Raman scattering studies. The crystalline volume fraction of the i-layer increases as that of the p-layer increases, the effect being more prominent near the p/i interface. Grain sizes of the absorber layer decrease from 9.2 to 7.2 nm and the density of crystallites increases as the crystalline volume fraction of the p-layer increases and its grain size decreases. With increasing crystalline volume fraction of the p-layer solar cell efficiency increases

  6. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  7. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  8. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  9. Copper Benzenetricarboxylate Metal-Organic Framework Nucleation Mechanisms on Metal Oxide Powders and Thin Films formed by Atomic Layer Deposition.

    Science.gov (United States)

    Lemaire, Paul C; Zhao, Junjie; Williams, Philip S; Walls, Howard J; Shepherd, Sarah D; Losego, Mark D; Peterson, Gregory W; Parsons, Gregory N

    2016-04-13

    Chemically functional microporous metal-organic framework (MOF) crystals are attractive for filtration and gas storage applications, and recent results show that they can be immobilized on high surface area substrates, such as fiber mats. However, fundamental knowledge is still lacking regarding initial key reaction steps in thin film MOF nucleation and growth. We find that thin inorganic nucleation layers formed by atomic layer deposition (ALD) can promote solvothermal growth of copper benzenetricarboxylate MOF (Cu-BTC) on various substrate surfaces. The nature of the ALD material affects the MOF nucleation time, crystal size and morphology, and the resulting MOF surface area per unit mass. To understand MOF nucleation mechanisms, we investigate detailed Cu-BTC MOF nucleation behavior on metal oxide powders and Al2O3, ZnO, and TiO2 layers formed by ALD on polypropylene substrates. Studying both combined and sequential MOF reactant exposure conditions, we find that during solvothermal synthesis ALD metal oxides can react with the MOF metal precursor to form double hydroxy salts that can further convert to Cu-BTC MOF. The acidic organic linker can also etch or react with the surface to form MOF from an oxide metal source, which can also function as a nucleation agent for Cu-BTC in the mixed solvothermal solution. We discuss the implications of these results for better controlled thin film MOF nucleation and growth.

  10. Characterization of the porosity of silicon nitride thin layers by Electrochemical Impedance Spectroscopy

    International Nuclear Information System (INIS)

    Barrès, T.; Tribollet, B.; Stephan, O.; Montigaud, H.; Boinet, M.; Cohin, Y.

    2017-01-01

    Silicon nitride thin films are widely used as diffusion barriers within stacks in the glass industry but turn out to be porous at the nanometric scale. EIS measurements were conducted on SiNx thin layers deposited on a gold layer. An electrochemical model was established to fit the EIS measurements making use of data from other complementary techniques. In particular, Transmission Electron Microscopy was performed on these thin layers to determine the diameter and the qualitative morphology of the pores. A quantitative determination of the through-porosity of the layer was deduced from the EIS model and was in good agreement with TEM measurements. Moreover, combining EIS with local observations enabled inhomogeneities in the layer to be probed by highlighting a specific region in the layer.

  11. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  12. Pulsed laser deposition and characterization of cellulase thin films

    Science.gov (United States)

    Cicco, N.; Morone, A.; Verrastro, M.; Viggiano, V.

    2013-08-01

    Thin films of cellulase were obtained by pulsed laser deposition (PLD) on an appropriate substrate. Glycoside hydrolase cellulase has received our attention because it emerges among the antifouling enzymes (enzymes being able to remove and prevent the formation of micro-organism biofilms) used in industry and medicine field. Pressed cellulase pellets, used as target material, were ablated with pulses of a Nd-YAG laser working at wavelength of 532 nm. In this work, we evaluated the impact of PLD technique both on molecular structure and hydrolytic activity of cellulase. Characteristic chemical bonds and morphology of deposited layers were investigated by FTIR spectroscopy and SEM respectively. The hydrolytic activity of cellulase thin films was detected by a colorimetric assay.

  13. Channel layer thickness dependence of In-Ti-Zn-O thin-film transistors fabricated using pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhang, Q.; Shan, F. K.; Liu, G. X.; Liu, A.; Lee, W. J.; Shin, B. C.

    2014-01-01

    Amorphous indium-titanium-zinc-oxide (ITZO) thin-film transistors (TFTs) with various channel thicknesses were fabricated at room temperature by using pulsed laser deposition. The channel layer thickness (CLT) dependence of the TFTs was investigated. All the ITZO thin films were amorphous, and the surface roughnesses decreased slightly first and then increased with increasing CLT. With increasing CLT from 35 to 140 nm, the on/off current ratio and the field-effect mobility increased, and the subthreshold swing decreased. The TFT with a CLT of 210 nm exhibited the worst performance, while the ITZO TFT with a CLT of 140 nm exhibited the best performance with a subthreshold voltage of 2.86 V, a mobility of 53.9 cm 2 V -1 s -1 , a subthreshold swing of 0.29 V/decade and an on/off current ratio of 10 9 .

  14. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  15. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    Science.gov (United States)

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  16. Chemically deposited In2S3–Ag2S layers to obtain AgInS2 thin films by thermal annealing

    International Nuclear Information System (INIS)

    Lugo, S.; Peña, Y.; Calixto-Rodriguez, M.; López-Mata, C.; Ramón, M.L.; Gómez, I.; Acosta, A.

    2012-01-01

    Highlights: ► We obtained polycrystalline silver indium sulfide thin films through the annealing of chemically deposited In 2 S 3 –Ag 2 S films. ► According to XRD chalcopyrite structure of AgInS 2 was obtained. ► AgInS 2 thin film has a band gap of 1.86 eV and a conductivity value of 1.2 × 10 −3 (Ω cm) −1 . - Abstract: AgInS 2 thin films were obtained by the annealing of chemical bath deposited In 2 S 3 –Ag 2 S layers at 400 °C in N 2 for 1 h. According to the XRD and EDX results the chalcopyrite structure of AgInS 2 has been obtained. These films have an optical band gap, E g , of 1.86 eV and an electrical conductivity value of 1.2 × 10 −3 (Ω cm) −1 .

  17. Zinc Sulfide Buffer Layer for CIGS Solar Cells Prepared by Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Rui-Wei You

    2016-11-01

    Full Text Available In this study, ZnS thin films were successfully synthesized by chemical bath deposition (CBD with starting materials of NH2-NH2, SC(NH22, and ZnSO4‧7H2O. ZnS thin films were deposited with different time on glass substrates by CBD at 80oC and pH=9. Based on X-ray diffraction (XRD patterns, it is found that the ZnS thin films exhibit cubic polycrystalline phase. It was found that the optimum deposition time is 90 min for preparing ZnS thin film that is suitable as buffer layer for CuIn1-xGaxSe2 solar cells. The thin film deposited for 90 min has high transmittance up to 80% in the spectra range from 350 nm to 800 nm, and the optical band gap is about 3.59 eV.

  18. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  19. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    Science.gov (United States)

    Gesheva, K.; Ivanova, T.; Bodurov, G.; Szilágyi, I. M.; Justh, N.; Kéri, O.; Boyadjiev, S.; Nagy, D.; Aleksandrova, M.

    2016-02-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing.

  20. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    International Nuclear Information System (INIS)

    Gesheva, K; Ivanova, T; Bodurov, G; Szilágyi, I M; Justh, N; Kéri, O; Boyadjiev, S; Nagy, D; Aleksandrova, M

    2016-01-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing. (paper)

  1. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  2. Deposition of antimony telluride thin film by ECALE

    Institute of Scientific and Technical Information of China (English)

    GAO; Xianhui; YANG; Junyou; ZHU; Wen; HOU; Jie; BAO; Siqian; FAN; Xi'an; DUAN; Xingkai

    2006-01-01

    The process of Sb2Te3 thin film growth on the Pt substrate by electrochemical atomic layer epitaxy (ECALE) was studied. Cyclic voltammetric scanning was performed to analyze the electrochemical behavior of Te and Sb on the Pt substrate. Sb2Te3 film was formed using an automated flow deposition system by alternately depositing Te and Sb atomic layers for 400 circles. The deposited Sb2Te3 films were characterized by XRD, EDX, FTIR and FESEM observation. Sb2Te3 compound structure was confirmed by XRD pattern and agreed well with the results of EDX quantitative analysis and coulometric analysis. FESEM micrographs showed that the deposit was composed of fine nano particles with size of about 20 nm. FESEM image of the cross section showed that the deposited films were very smooth and dense with thickness of about 190 nm. The optical band gap of the deposited Sb2Te3 film was determined as 0.42 eV by FTIR spectroscopy, and it was blue shifted in comparison with that of the bulk Sb2Te3 single crystal due to its nanocrystalline microstructure.

  3. Synthesis and characterization of titanium dioxide thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Escobar A, L.; Camps C, E.; Falcon B, T.; Carapia M, L.; Haro P, E.; Camacho L, M.A.

    2000-01-01

    In this work are presented the results obtained when TiO 2 thin films were deposited using the laser ablation technique. Thin films were deposited at different substrate temperatures, and different oxygen pressures, with the purpose of studying the influence of this deposit parameters in the structural characteristics of the films obtained. The structural characterization was realized through Raman Spectroscopy and X-ray Diffraction (XRD), the surface morphology of the layers deposited was verified by Scanning Electron Microscopy (Sem). The results show that the films obtained are of TiO 2 in rutile phase, getting this at low substrate temperatures, its morphology shows a soft surface with some spattered particles and good adherence. (Author)

  4. Bioactive glass and hydroxyapatite thin films obtained by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gyorgy, E. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania) and Consejo Superior de Investigaciones Cientificas, Instituto de Ciencia de Materiales de Barcelona, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: egyorgy@icmab.es; Grigorescu, S. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Socol, G. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Janackovic, D. [Faculty of Technology and Metallurgy, University of Belgrade, Karnegijeva 4, 11000 Belgrade (Serbia); Dindune, A. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Kanepe, Z. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Palcevskis, E. [Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Zdrentu, E.L. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania); Petrescu, S.M. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania)

    2007-07-31

    Bioactive glass (BG), calcium hydroxyapatite (HA), and ZrO{sub 2} doped HA thin films were grown by pulsed laser deposition on Ti substrates. An UV KrF{sup *} ({lambda} = 248 nm, {tau} {>=} 7 ns) excimer laser was used for the multi-pulse irradiation of the targets. The substrates were kept at room temperature or heated during the film deposition at values within the (400-550 deg. C) range. The depositions were performed in oxygen and water vapor atmospheres, at pressure values in the range (5-40 Pa). The HA coatings were heat post-treated for 6 h in a flux of hot water vapors at the same temperature as applied during deposition. The surface morphology, chemical composition, and crystalline quality of the obtained thin films were studied by scanning electron microscopy, atomic force microscopy, and X-ray diffractometry. The films were seeded for in vitro tests with Hek293 (human embryonic kidney) cells that revealed a good adherence on the deposited layers. Biocompatibility tests showed that cell growth was better on HA than on BG thin films.

  5. Phase-coherent electron transport in (Zn, Al)Ox thin films grown by atomic layer deposition

    Science.gov (United States)

    Saha, D.; Misra, P.; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M.

    2014-11-01

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)Ox thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al2O3 sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length ( l φ ∝ T - 3 / 4 ), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  6. Experimental studies of thin films deposition by magnetron sputtering method for CIGS solar cell fabrication

    Science.gov (United States)

    Gułkowski, Sławomir; Krawczak, Ewelina

    2017-10-01

    Among a variety of the thin film solar cell technologies of second generation, copper-indium-gallium-diselenide device (CIGS) with the latest highest lab cell efficiency record of 22.4 % seems to be the most promising for the power generation. This is partly due to the advantages of using low cost films of few microns thick not only as a metallic contacts but also as a main structure of the solar cell consisted of high quality semiconductor layers. This paper reports the experimental studies of the CIGS absorber formation on Soda Lime Glass substrate covered by thin molybdenum film as a back contact layer. All structures were deposited with the use of magnetron sputtering method only. Technological parameters of the deposition process such as deposition power, pressure and deposition time were optimized for each layer of the structure. Mo back contact was examined in terms of resistivity. EDS measurements were carried out to verify stoichiometric composition of CIGS absorber. Thin film of Al was used as a top contact in order to examine the quality of p-n junction. The I-V electrical characteristic of the p-n junction was analysed in terms of solar cell application.

  7. Growth of Fe2O3 thin films by atomic layer deposition

    International Nuclear Information System (INIS)

    Lie, M.; Fjellvag, H.; Kjekshus, A.

    2005-01-01

    Thin films of α-Fe 2 O 3 (α-Al 2 O 3 -type crystal structure) and γ-Fe 2 O 3 (defect-spinel-type crystal structure) have been grown by the atomic layer deposition (ALD) technique with Fe(thd) 3 (iron derivative of Hthd = 2,2,6,6-tetramethylheptane-3,5-dione) and ozone as precursors. It has been shown that an ALD window exists between 160 and 210 deg. C. The films have been characterized by various techniques and are shown to comprise (001)-oriented columns of α-Fe 2 O 3 with no in-plane orientation when grown on soda-lime-glass and Si(100) substrates. Good quality films have been made with thicknesses ranging from 10 to 130 nm. Films grown on α-Al 2 O 3 (001) and MgO(100) substrates have the α-Fe 2 O 3 and γ-Fe 2 O 3 crystal structure, respectively, and consist of highly oriented columns with in-plane orientations matching those of the substrates

  8. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  9. Room temperature deposition of magnetite thin films on organic substrate

    International Nuclear Information System (INIS)

    Arisi, E.; Bergenti, I.; Cavallini, M.; Murgia, M.; Riminucci, A.; Ruani, G.; Dediu, V.

    2007-01-01

    We report on the growth of magnetite films directly on thin layers of organic semiconductors by means of an electron beam ablation method. The deposition was performed at room temperature in a reactive plasma atmosphere. Thin films show ferromagnetic (FM) hysteresis loops and coercive fields of hundreds of Oersted. Micro Raman analysis indicates no presence of spurious phases. The morphology of the magnetite film is strongly influenced by the morphology of the underlayer of the organic semiconductor. These results open the way for the application of magnetite thin films in the field of organic spintronics

  10. Application of Thin ZnO ALD Layers in Fiber-Optic Fabry-Pérot Sensing Interferometers

    Directory of Open Access Journals (Sweden)

    Daria Majchrowicz

    2016-03-01

    Full Text Available In this paper we investigated the response of a fiber-optic Fabry-Pérot sensing interferometer with thin ZnO layers deposited on the end faces of the optical fibers forming the cavity. Standard telecommunication single-mode optical fiber (SMF-28 segments were used with the thin ZnO layers deposited by Atomic Layer Deposition (ALD. Measurements were performed with the interferometer illuminated by two broadband sources operating at 1300 nm and 1550 nm. Reflected interference signal was acquired by an optical spectrum analyzer while the length of the air cavity was varied. Thickness of the ZnO layers used in the experiments was 50 nm, 100 nm, and 200 nm. Uncoated SMF-28 fiber was also used as a reference. Based on the results of measurements, the thickness of the ZnO layers and the length of the cavity were selected in order to achieve good visibility. Following, the interferometer was used to determine the refractive index of selected liquids.

  11. Spontaneous nano-clustering of ZrO2 in atomic layer deposited LayZr1-yOx thin films: Part 1 - Material characterization

    NARCIS (Netherlands)

    Klootwijk, J.H.; Jinesh, K.B.; Wolters, R.A.M.; Roozeboom, F.; Besling, W.

    2008-01-01

    During atomic layer deposition (ALD) of uniform LayZr1-yOx thin films, spontaneous segregation of ZrO2 nanocrystals takes place that are embedded in an amorphous La2O3 matrix. This occurs if the Zr content in the LayZr1-yOx film is above 30% i.e. if the pulse ratio between the lanthanum precursor

  12. Thin films of NdFeB deposited by PLD technique

    International Nuclear Information System (INIS)

    Constantinescu, C.; Scarisoreanu, N.; Moldovan, A.; Dinescu, M.; Petrescu, L.; Epureanu, G.

    2007-01-01

    Neodymium-iron-boron (NdFeB) is a material with important magnetic properties, mostly used in permanent magnet fabrication. Thin layers of NdFeB are needed for miniaturization in electrical engineering, electronics and for high-tech devices. In this paper we applied pulsed lased deposition (PLD) in vacuum for obtaining thin films of NdFeB from stoichiometric targets. The influence of different buffer layers and of the laser parameters (wavelength and fluence) on the NdFeB structures, composition and magnetic properties have been investigated. The obtained structures were characterized by atomic force microscopy (AFM) and optical microscopy. Vibrating sample magnetometry (VSM) has been performed for specific magnetic characterization

  13. Thin films of NdFeB deposited by PLD technique

    Energy Technology Data Exchange (ETDEWEB)

    Constantinescu, C. [National Institute for Laser, Plasma and Radiation Physics, P.O. Box MG 16, RO-077125 Magurele, Bucharest (Romania); Scarisoreanu, N. [National Institute for Laser, Plasma and Radiation Physics, P.O. Box MG 16, RO-077125 Magurele, Bucharest (Romania); Moldovan, A. [National Institute for Laser, Plasma and Radiation Physics, P.O. Box MG 16, RO-077125 Magurele, Bucharest (Romania); Dinescu, M. [National Institute for Laser, Plasma and Radiation Physics, P.O. Box MG 16, RO-077125 Magurele, Bucharest (Romania)]. E-mail: dinescum@ifin.nipne.ro; Petrescu, L. [Department of Electrical Engineering, ' Politehnica' University of Bucharest, 313 Spl. Independentei, 060042 Bucharest (Romania); Epureanu, G. [Department of Electrical Engineering, ' Politehnica' University of Bucharest, 313 Spl. Independentei, 060042 Bucharest (Romania)

    2007-07-31

    Neodymium-iron-boron (NdFeB) is a material with important magnetic properties, mostly used in permanent magnet fabrication. Thin layers of NdFeB are needed for miniaturization in electrical engineering, electronics and for high-tech devices. In this paper we applied pulsed lased deposition (PLD) in vacuum for obtaining thin films of NdFeB from stoichiometric targets. The influence of different buffer layers and of the laser parameters (wavelength and fluence) on the NdFeB structures, composition and magnetic properties have been investigated. The obtained structures were characterized by atomic force microscopy (AFM) and optical microscopy. Vibrating sample magnetometry (VSM) has been performed for specific magnetic characterization.

  14. Microstructure and mechanical behavior of a shape memory Ni-Ti bi-layer thin film

    Energy Technology Data Exchange (ETDEWEB)

    Mohri, Maryam [School of Metallurgy and Materials Engineering, College of Engineering, University of Tehran, Tehran (Iran, Islamic Republic of); Karlsruhe Institute of Technology, Institute of Nanotechnology, 76021 Karlsruhe (Germany); Nili-Ahmadabadi, Mahmoud, E-mail: nili@ut.ac.ir [School of Metallurgy and Materials Engineering, College of Engineering, University of Tehran, Tehran (Iran, Islamic Republic of); Center of Excellence for High Performance Materials, University of Tehran, Tehran (Iran, Islamic Republic of); Ivanisenko, Julia [Karlsruhe Institute of Technology, Institute of Nanotechnology, 76021 Karlsruhe (Germany); Schwaiger, Ruth [Karlsruhe Institute of Technology, Institute for Applied Materials, 76021 Karlsruhe (Germany); Hahn, Horst; Chakravadhanula, Venkata Sai Kiran [Karlsruhe Institute of Technology, Institute of Nanotechnology, 76021 Karlsruhe (Germany)

    2015-05-29

    Two different single-layers and a bi-layer Ni-Ti thin films with chemical compositions of Ni{sub 45}Ti{sub 50}Cu{sub 5}, Ni{sub 50.8}Ti{sub 49.2} and Ni{sub 50.8}Ti{sub 49.2}/Ni{sub 45}Ti{sub 50}Cu{sub 5} (numbers indicate at.%) determined by energy dispersive X-ray spectroscopy were deposited on Si (111) substrates using DC magnetron sputtering. The structures, surface morphology and transformation temperatures of annealed thin films at 500 °C for 15 min and 1 h were studied using grazing incidence X-ray diffraction, transmission electron microscopy (TEM), atomic force microscopy and differential scanning calorimetry (DSC), respectively. Nanoindentation was used to characterize the mechanical properties. The DSC and X-ray diffraction results indicated the austenitic structure of the Ni{sub 50.8}Ti{sub 49.2} and martensitic structure of the Ni{sub 45}Ti{sub 50}Cu{sub 5} thin films while the bi-layer was composed of austenitic and martensitic thin films. TEM study revealed that copper encourages crystallization in the bi-layer such that crystal structure containing nano-precipitates in the Ni{sub 45}Ti{sub 50}Cu{sub 5} layer was detected after 15 min annealing while the Ni{sub 50.8}Ti{sub 49.2} layer crystallized after 60 min at 500 °C. Furthermore, after annealing at 500 °C for 15 min, a precipitate free zone and thin layer amorphous were observed closely to the interface in the top layer. The bi-layer was completely crystallized at 500 °C for 1 h and the orientation of the Ni-rich precipitates indicated a stress gradient in the bi-layer. The bi-layer thin film showed different transformation temperatures and mechanical behavior from the single-layers. The developed bi-layer has different phase transformation temperatures, the higher temperatures of shape memory effect and lower temperature of pseudo-elastic behavior compared to the single-layers. Also, the bi-layer thin film exhibited a combined pseudo-elastic behavior and shape memory effect with a reduced

  15. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  16. Deposition of thin ultrafiltration membranes on commercial SiC microfiltration tubes

    DEFF Research Database (Denmark)

    Facciotti, Marco; Boffa, Vittorio; Magnacca, Giuliana

    2014-01-01

    Porous SiC based materials present high mechanical, chemical and thermal robustness, and thus have been largely applied to water-filtration technologies. In this study, commercial SiC microfiltration tubes with nominal pore size of 0.04 m were used as carrier for depositing thin aluminium oxide....... After 5 times coating, a 5.6 µm thick γ-Al2O3 layer was obtained. This membrane shows retention of ~75% for polyethylene glycol molecules with Mn of 8 and 35 kDa, indicating that, despite their intrinsic surface roughness, commercial SiC microfiltration tubes can be applied as carrier for thin...... ultrafiltration membranes. This work also indicates that an improvement of the commercial SiC support surface smoothness may greatly enhance permeance and selectivity of Υ-Al2O3 ultrafiltration membranes by allowing the deposition of thinner defect-free layers....

  17. Enhancement of absorption in vertically-oriented graphene sheets growing on a thin copper layer

    Energy Technology Data Exchange (ETDEWEB)

    Rozouvan, Tamara; Poperenko, Leonid [Taras Shevchenko National University of Kyiv, Department of Physics 4, Prospect Glushkova, Kyiv, 03187 (Ukraine); Kravets, Vasyl, E-mail: vasyl_kravets@yahoo.com [School of Physics and Astronomy, University of Manchester, Manchester, M13 9PL (United Kingdom); Shaykevich, Igor [Taras Shevchenko National University of Kyiv, Department of Physics 4, Prospect Glushkova, Kyiv, 03187 (Ukraine)

    2017-02-28

    Highlights: • The optical properties and surface structure of graphene films. • Chemical vapour deposition method. • Scanning tunneling microscopy revealed vertical crystal lattice structure of graphene layer. • We report a significant enhancement of the absorption band in the vertically-oriented graphene sheets. - Abstract: The optical properties and surface structure of graphene films grown on thin copper Cu (1 μm) layer using chemical vapour deposition method were investigated via spectroscopic ellipsometry and nanoscopic measurements. Angle variable ellipsometry measurements were performed to analyze the features of dispersion of the complex refractive index and optical conductivity. It was observed significant enhancement of the absorption band in the vertically-oriented graphene sheets layer with respect to the bulk graphite due to interaction between excited localized surface plasmon at surface of thin Cu layer and graphene’s electrons. Scanning tunneling microscopy measurements with atomic spatial resolution revealed vertical crystal lattice structure of the deposited graphene layer. The obtained results provide direct evidence of the strong influence of the growing condition and morphology of nanostructure on electronic and optical behaviours of graphene film.

  18. Polymer thin film as coating layer to prevent corrosion of metal/metal oxide film

    Science.gov (United States)

    Sarkar, Suman; Kundu, Sarathi

    2018-04-01

    Thin film of polymer is used as coating layer and the corrosion of metal/metal oxide layer is studied with the variation of the thickness of the coating layer. The thin layer of polystyrene is fabricated using spin coating method on copper oxide (CuO) film which is deposited on glass substrate using DC magnetron sputtering technique. Thickness of the polystyrene and the CuO layers are determined using X-ray reflectivity (XRR) technique. CuO thin films coated with the polystyrene layer are exposed to acetic acid (2.5 v/v% aqueous CH3COOH solution) environments and are subsequently analyzed using UV-Vis spectroscopy and atomic force microscopy (AFM). Surface morphology of the film before and after interaction with the acidic environment is determined using AFM. Results obtained from the XRR and UV-Vis spectroscopy confirm that the thin film of polystyrene acts as an anticorrosion coating layer and the strength of the coating depends upon the polymer layer thickness at a constant acid concentration.

  19. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  20. Ultra-thin Cu2ZnSnS4 solar cell by pulsed laser deposition

    DEFF Research Database (Denmark)

    Cazzaniga, Andrea Carlo; Crovetto, Andrea; Yan, Chang

    2017-01-01

    We report on the fabrication of a 5.2% efficiency Cu2ZnSnS4 (CZTS) solar cell made by pulsed laser deposition (PLD) featuring an ultra-thin absorber layer (less than 450 nm). Solutions to the issues of reproducibility and micro-particulate ejection often encountered with PLD are proposed. At the ......We report on the fabrication of a 5.2% efficiency Cu2ZnSnS4 (CZTS) solar cell made by pulsed laser deposition (PLD) featuring an ultra-thin absorber layer (less than 450 nm). Solutions to the issues of reproducibility and micro-particulate ejection often encountered with PLD are proposed...

  1. Thin-film transistors with a channel composed of semiconducting metal oxide nanoparticles deposited from the gas phase

    International Nuclear Information System (INIS)

    Busch, C.; Schierning, G.; Theissmann, R.; Nedic, A.; Kruis, F. E.; Schmechel, R.

    2012-01-01

    The fabrication of semiconducting functional layers using low-temperature processes is of high interest for flexible printable electronics applications. Here, the one-step deposition of semiconducting nanoparticles from the gas phase for an active layer within a thin-film transistor is described. Layers of semiconducting nanoparticles with a particle size between 10 and 25 nm were prepared by the use of a simple aerosol deposition system, excluding potentially unwanted technological procedures like substrate heating or the use of solvents. The nanoparticles were deposited directly onto standard thin-film transistor test devices, using thermally grown silicon oxide as gate dielectric. Proof-of-principle experiments were done deploying two different wide-band gap semiconducting oxides, tin oxide, SnO x , and indium oxide, In 2 O 3 . The tin oxide spots prepared from the gas phase were too conducting to be used as channel material in thin-film transistors, most probably due to a high concentration of oxygen defects. Using indium oxide nanoparticles, thin-film transistor devices with significant field effect were obtained. Even though the electron mobility of the investigated devices was only in the range of 10 −6 cm 2V−1s−1 , the operability of this method for the fabrication of transistors was demonstrated. With respect to the possibilities to control the particle size and layer morphology in situ during deposition, improvements are expected.

  2. Method for Aluminum Oxide Thin Films Prepared through Low Temperature Atomic Layer Deposition for Encapsulating Organic Electroluminescent Devices

    Directory of Open Access Journals (Sweden)

    Hui-Ying Li

    2015-02-01

    Full Text Available Preparation of dense alumina (Al2O3 thin film through atomic layer deposition (ALD provides a pathway to achieve the encapsulation of organic light emitting devices (OLED. Unlike traditional ALD which is usually executed at higher reaction n temperatures that may affect the performance of OLED, this application discusses the development on preparation of ALD thin film at a low temperature. One concern of ALD is the suppressing effect of ambient temperature on uniformity of thin film. To mitigate this issue, the pumping time in each reaction cycle was increased during the preparation process, which removed reaction byproducts and inhibited the formation of vacancies. As a result, the obtained thin film had both high uniformity and density properties, which provided an excellent encapsulation performance. The results from microstructure morphology analysis, water vapor transmission rate, and lifetime test showed that the difference in uniformity between thin films prepared at low temperatures, with increased pumping time, and high temperatures was small and there was no obvious influence of increased pumping time on light emitting performance. Meanwhile, the permeability for water vapor of the thin film prepared at a low temperature was found to reach as low as 1.5 × 10−4 g/(m2·day under ambient conditions of 25 °C and 60% relative humidity, indicating a potential extension in the lifetime for the OLED.

  3. Fabrication and characterization of vacuum deposited fluorescein thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)

    2011-03-31

    Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.

  4. Industrial Application of Thin Films (TiAl)N Deposited on Thermo-Wells

    International Nuclear Information System (INIS)

    Velez, G.; Jaramillo, S.; Arango, Y. C.; Devia, D.; Quintero, J.; Devia, A.

    2006-01-01

    The thermo-well is formed by two layers, one layer is a ceramic and the other layer is anviloy (comprised tungsten). They are used to coat the thermocouple in the control temperature system during the Aluminum-Silicon alloy melting process. After two weeks of continuous work at 750 deg. C of temperature (the alloy temperature), a high wear in this material is observed, affecting the ceramic. (TiAl)N thin films are deposited directly on the anviloy substrates by the PAPVD (Plasma Assisted Physics Vapor Deposition) in arc pulsed technique, using a TiAl target in a mono-vaporizer system, composed by a reactor and a power controlled system. Two opposite electrodes are placed into the reactor and discharge is produced by a controlled power system. The XRD (X-ray diffraction) patterns show the presence of the (TiAl)N thin film peaks. The morphological characteristics are studied by the scanning probe microscopy (SPM)

  5. Effect of atomic layer deposited Al2O3:ZnO alloys on thin-film silicon photovoltaic devices

    Science.gov (United States)

    Abdul Hadi, Sabina; Dushaq, Ghada; Nayfeh, Ammar

    2017-12-01

    In this work, we present the effects of the Al2O3:ZnO ratio on the optical and electrical properties of aluminum doped ZnO (AZO) layers deposited by atomic layer deposition, along with AZO application as the anti-reflective coating (ARC) layer and in heterojunction configurations. Here, we report complex refractive indices for AZO layers with different numbers of aluminum atomic cycles (ZnO:Al2O3 = 1:0, 39:1, 19:1, and 9:1) and we confirm their validity by fitting models to experimental data. Furthermore, the most conductive layer (ZnO:Al2O3 = 19:1, conductivity ˜4.6 mΩ cm) is used to fabricate AZO/n+/p-Si thin film solar cells and AZO/p-Si heterojunction devices. The impact of the AZO layer on the photovoltaic properties of these devices is studied by different characterization techniques, resulting in the extraction of recombination and energy band parameters related to the AZO layer. Our results confirm that AZO 19:1 can be used as a low cost and effective conductive ARC layer for solar cells. However, AZO/p-Si heterojunctions suffer from an insufficient depletion region width (˜100 nm) and recombination at the interface states, with an estimated potential barrier of ˜0.6-0.62 eV. The work function of AZO (ZnO:Al2O3 = 19:1) is estimated to be in the range between 4.36 and 4.57 eV. These material properties limit the use of AZO as an emitter in Si solar cells. However, the results imply that AZO based heterojunctions could have applications as low-cost photodetectors or photodiodes, operating under relatively low reverse bias.

  6. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  7. Experimental studies of thin films deposition by magnetron sputtering method for CIGS solar cell fabrication

    Directory of Open Access Journals (Sweden)

    Gułkowski Sławomir

    2017-01-01

    Full Text Available Among a variety of the thin film solar cell technologies of second generation, copper-indium-gallium-diselenide device (CIGS with the latest highest lab cell efficiency record of 22.4 % seems to be the most promising for the power generation. This is partly due to the advantages of using low cost films of few microns thick not only as a metallic contacts but also as a main structure of the solar cell consisted of high quality semiconductor layers. This paper reports the experimental studies of the CIGS absorber formation on Soda Lime Glass substrate covered by thin molybdenum film as a back contact layer. All structures were deposited with the use of magnetron sputtering method only. Technological parameters of the deposition process such as deposition power, pressure and deposition time were optimized for each layer of the structure. Mo back contact was examined in terms of resistivity. EDS measurements were carried out to verify stoichiometric composition of CIGS absorber. Thin film of Al was used as a top contact in order to examine the quality of p-n junction. The I-V electrical characteristic of the p-n junction was analysed in terms of solar cell application.

  8. Magnetic properties of Cobalt thin films deposited on soft organic layers

    Energy Technology Data Exchange (ETDEWEB)

    Bergenti, I. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy)]. E-mail: i.bergenti@bo.ismn.cnr.it; Riminucci, A. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy); Arisi, E. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy); Murgia, M. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy); Cavallini, M. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy); Solzi, M. [Dipartimento di Fisica dell' Universita di Parma and CNISM, Parco Area delle Scienze 7/A, Parma 43100 (Italy); Casoli, F. [IMEM-CNR Parco Area delle Scienze 37/A, Parma 43100 (Italy); Dediu, V. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy)

    2007-09-15

    Magnetic and morphological properties of Cobalt thin films grown by RF sputtering on organic Alq3 layers were investigated by magneto-optical Kerr effect (MOKE) technique and atomic force microscopy (AFM). The AFM images indicate a template growth of Co layers on top of Alq3, the magnetic film 'decorates' the surface of organic material. This peculiar morphology induces a strong uniaxial magnetic anisotropy in the Co films, as detected by MOKE measurements. Results are important for the operation of a new class of devices-vertical organic spin valves.

  9. Characterization of thin CeO{sub 2} films electrochemically deposited on HOPG

    Energy Technology Data Exchange (ETDEWEB)

    Faisal, Firas [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Toghan, Arafat, E-mail: arafat.toghan@yahoo.com [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Chemistry Department, Faculty of Science, South Valley University, 83523 Qena (Egypt); Khalakhan, Ivan; Vorokhta, Mykhailo; Matolin, Vladimír [Department of Surface and Plasma Science, Charles University in Prague, V Holešovičkách 747/2, 180 00 Prague 8 (Czech Republic); Libuda, Jörg [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Erlangen Catalysis Resource Center, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany)

    2015-09-30

    Graphical abstract: - Highlights: • Preparation of proton exchange membrane fuel cells catalyst using electrochemical thin film deposition. • Electrodeposition thin films of CeO{sub 2} on HOPG substrates. • The samples were characterized by in-situ AFM and ex-situ XPS. • XPS results reveal that the electrochemically deposited cerium oxide films are stoichiometric. • Exposing the films to ambient air, cracking structures are formed. - Abstract: Electrodeposition is widely used for industrial applications to deposit thin films, coatings, and adhesion layers. Herein, CeO{sub 2} thin films were deposited on a highly oriented pyrolytic graphite (HOPG) substrate by cathodic electrodeposition. The influence of the deposition parameters on the yield and on the film morphology is studied and discussed. Morphology and composition of the electrodeposited films were characterized by in-situ atomic force microscopy (AFM), scanning electron microscopy (SEM), Energy Dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). By AFM we show that the thickness of CeO{sub 2} films can be controlled via the Ce{sup 3+} concentration in solution and the deposition time. After exposing the films to ambient air, cracking structures are formed, which were analyzed by AFM in detail. The chemical composition of the deposits was analyzed by XPS indicating the formation of nearly stoichiometric CeO{sub 2}.

  10. Characterization of nanostructured photosensitive cadmium sulphide thin films grown by SILAR deposition technique

    International Nuclear Information System (INIS)

    Ubale, A.U.; Bargal, A.N.

    2010-01-01

    This paper reports the preparation of photosensitive nanostructured CdS thin films by successive ionic layer adsorption and reaction (SILAR) method at room temperature. To obtain good quality CdS thin films, preparative conditions such as concentration of cationic and anionic precursors, adsorption and rinsing time durations etc. are optimized. The structural, optical and electrical characterizations of the as-deposited and annealed CdS thin films were carried out using X-ray diffraction, scanning electron microscopy, optical absorption and electrical resistivity methods. The photoconductivity studies showed that the annealed films are more than that photosensitive. The TEP measurement shows that deposited films are of n-type. (author)

  11. Morphological and crystalline characterization of pulsed laser deposited pentacene thin films for organic transistor applications

    Science.gov (United States)

    Pereira, Antonio; Bonhommeau, Sébastien; Sirotkin, Sergey; Desplanche, Sarah; Kaba, Mamadouba; Constantinescu, Catalin; Diallo, Abdou Karim; Talaga, David; Penuelas, Jose; Videlot-Ackermann, Christine; Alloncle, Anne-Patricia; Delaporte, Philippe; Rodriguez, Vincent

    2017-10-01

    We show that high-quality pentacene (P5) thin films of high crystallinity and low surface roughness can be produced by pulsed laser deposition (PLD) without inducing chemical degradation of the molecules. By using Raman spectroscopy and X-ray diffraction measurements, we also demonstrate that the deposition of P5 on Au layers result in highly disordered P5 thin films. While the P5 molecules arrange within the well-documented 1.54-nm thin-film phase on high-purity fused silica substrates, this ordering is indeed destroyed upon introducing an Au interlayer. This observation may be one explanation for the low electrical performances measured in P5-based organic thin film transistors (OTFTs) deposited by laser-induced forward transfer (LIFT).

  12. Atomic Layer Control of Thin Film Growth Using Binary Reaction Sequence Chemistry

    National Research Council Canada - National Science Library

    George, Steven

    1997-01-01

    Our research is focusing on the atomic layer control of thin film growth. Our goal is to deposit films with precise control of thickness and conformality on both flat and high aspect ratio structures...

  13. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  14. Crystallinity and superconductivity of as-grown MgB2 thin films with AlN buffer layers

    International Nuclear Information System (INIS)

    Tsujimoto, K.; Shimakage, H.; Wang, Z.; Kaya, N.

    2005-01-01

    The effects of aluminum nitride (AlN) buffer layers on the superconducting properties of MgB 2 thin film were investigated. The AlN buffer layers and as-grown MgB 2 thin films were deposited in situ using the multiple-target sputtering system. The best depositing condition for the AlN/MgB 2 bi-layer occurred when the AlN was deposited on c-cut sapphire substrates at 290 deg. C. The crystallinity of the AlN/MgB 2 bi-layer was studied using the XRD φ-scan and it showed that AlN and MgB 2 had the same in-plane alignment rotated at an angle of 30 deg. as compared to c-cut sapphire. The critical temperature of the MgB 2 film was 29.8 K and the resistivity was 50.0 μΩ cm at 40 K

  15. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    International Nuclear Information System (INIS)

    Bolat, Sami; Tekcan, Burak; Ozgit-Akgun, Cagla; Biyikli, Necmi; Okyay, Ali Kemal

    2015-01-01

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N 2 /H 2 PA-ALD based GaN channels are observed to have improved stability and transfer characteristics with respect to NH 3 PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N 2 :H 2 ambient

  16. Selective deposition contact patterning using atomic layer deposition for the fabrication of crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik

    2014-01-01

    Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%

  17. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  18. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  19. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  20. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers.

    Science.gov (United States)

    Koslowski, Sebastian; Rosenblatt, Daniel; Kabakchiev, Alexander; Kuhnke, Klaus; Kern, Klaus; Schlickum, Uta

    2017-01-01

    With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111) and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111) results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111), as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal-molecule interaction, which decreases the HOMO-LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS) and their shapes can be resolved by spectroscopic mapping.

  1. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  2. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Rachel L. Wilson

    2018-03-01

    Full Text Available Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes, at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  3. Pentacene thin-film transistors and inverters with plasma-enhanced atomic-layer-deposited Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Koo, Jae Bon; Lim, Jung Wook; Kim, Seong Hyun; Yun, Sun Jin; Ku, Chan Hoe; Lim, Sang Chul; Lee, Jung Hun

    2007-01-01

    The performances of pentacene thin-film transistor with plasma-enhanced atomic-layer-deposited (PEALD) 150 nm thick Al 2 O 3 dielectric are reported. Saturation mobility of 0.38 cm 2 /V s, threshold voltage of 1 V, subthreshold swing of 0.6 V/decade, and on/off current ratio of about 10 8 have been obtained. Both depletion and enhancement mode inverter have been realized with the change of treatment method of hexamethyldisilazane on PEALD Al 2 O 3 gate dielectric. Full swing depletion mode inverter has been demonstrated at input voltages ranging from 5 V to - 5 V at supply voltage of - 5 V

  4. Dimethylaluminum hydride for atomic layer deposition of Al2O3 passivation for amorphous InGaZnO thin-film transistors

    Science.gov (United States)

    Corsino, Dianne C.; Bermundo, Juan Paolo S.; Fujii, Mami N.; Takahashi, Kiyoshi; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2018-06-01

    Atomic layer deposition (ALD) of Al2O3 using dimethylaluminum hydride (DMAH) was demonstrated as an effective passivation for amorphous InGaZnO thin-film transistors (TFTs). Compared with the most commonly used precursor, trimethylaluminum, TFTs fabricated with DMAH showed improved stability, resulting from the lower amount of oxygen vacancies, and hence fewer trap sites, as shown by X-ray photoelectron spectroscopy (XPS) depth profiling analysis. We found that prolonged plasma exposure during ALD can eliminate the hump phenomenon, which is only present for DMAH. The higher Al2O3 deposition rate when using DMAH is in line with the requirements of emerging techniques, such as spatial ALD, for improving fabrication throughput.

  5. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  6. Effect of argon addition into oxygen atmosphere on YBCO thin films deposition

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Borisenko, I. V.; Ovsyannikov, G. A.; Kuehle, A.; Bindslev-Hansen, J.; Johannes, L.; Skov, J. L.

    2002-01-01

    Multicomponent nature of the YBa 2 Cu 3 O x (YBCO) high-temperature superconductor makes difficult fabrication of smooth thin films: every local deviation from stoichiometry can result in seeding of a non-superconducting oxide particle. High density of such particles on typical YBCO thin film surface, however, presumes overall non-stoichiometry of the film. Such an effect can result from (i) non-uniform material transport from target to substrate, and (ii) re-evaporation or re-sputtering from the growing film surface. The first reason is more usual for laser ablation deposition technique, the second is typical for long sputtering deposition processes. Substitution of oxygen with argon in the deposition atmosphere improves surface quality of YBCO thin films deposited both by laser ablation and DC-sputtering at high pressure techniques. In the first case, the ablated species are scattered different ways in the oxygen atmosphere. Addition of argon decreases the inelastic scattering of barium; the proper part of Ar in the deposition atmosphere makes scattering and, hence, transport of all atoms uniform. The YBCO films deposited by DC-sputtering at high pressure technique are Ba-deficient also, but the reason is re-sputtering of Ba from the growing film as a result of negative oxygen ions bombardment. Such bombardment can lead also to chemical interaction of the deposited material with the substrate, as in the case of deposition of YBCO thin film on the CeO 2 buffer layer on sapphire. Substitution of oxygen with argon not only suppresses ion bombardment of the film, but also increases discharge stability due to presence of positive Ar + ions. The limiting factor of argon substitution is sufficient oxygenation of the growing oxide film. When oxygen partial pressure is too small, the superconducting quality of the YBCO thin film decreases and such a decrease cannot be overcome by prolonged oxygenation after deposition. (Authors)

  7. Growth, Properties and Applications of Mo Ox Thin-Films Deposited by Reactive Sputtering

    DEFF Research Database (Denmark)

    Fernandes Cauduro, André Luis

    properties of metal-oxide thin films through surface defect engineering is vital to fine-tune their optoelectronic properties, and thus also their integration in novel optoelectronic devices. In this work, MoOx thin-films with various different phases and compositions were prepared by direct-current reactive...... molecules DBP and C70 are also covered in this work. The devices show interesting characteristics for very thin layers of the as-deposited MoOx films, displaying similar device efficiencies as those of in situ prepared MoOx thin-films formed from thermal evaporation. For the annealed MoOx films......Transition metal-oxide (TMOs) thin-films are commonly used in optoelectronic devices such as in photovoltaics and light emitting diodes, using both organic, inorganic and hybrid technologies. In such devices, TMOs typically act as an interfacial layer, where its functionality is to facilitate hole...

  8. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054, Grenoble (France); Levy-Clement, Claude [CNRS, Institut de Chimie et des Materiaux de Paris-Est, 94320, Thiais (France)

    2014-09-15

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl{sub 2} to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl{sub 2} treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    International Nuclear Information System (INIS)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina; Levy-Clement, Claude

    2014-01-01

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl 2 to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl 2 treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Thin NiTi Films Deposited on Graphene Substrates

    Science.gov (United States)

    Hahn, S.; Schulze, A.; Böhme, M.; Hahn, T.; Wagner, M. F.-X.

    2017-03-01

    We present experimental results on the deposition of Nickel Titanium (NiTi) films on graphene substrates using a PVD magnetron sputter process. Characterization of the 2-4 micron thick NiTi films by electron microscopy, electron backscatter diffraction, and transmission electron microscopy shows that grain size and orientation of the thin NiTi films strongly depend on the type of combination of graphene and copper layers below. Our experimental findings are supported by density functional theory calculations: a theoretical estimation of the binding energies of different NiTi-graphene interfaces is in line with the experimentally determined microstructural features of the functional NiTi top layer.

  11. Electroless deposition of NiCrB diffusion barrier layer film for ULSI-Cu metallization

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Yuechun [School of Materials Science and Engineering, Yunnan University, Kunming (China); Chen, Xiuhua, E-mail: chenxh@ynu.edu.cn [School of Materials Science and Engineering, Yunnan University, Kunming (China); Ma, Wenhui [National Engineering Laboratory of Vacuum Metallurgy, Kunming University of Science and Technology, Kunming (China); Shang, Yudong; Lei, Zhengtao; Xiang, Fuwei [School of Materials Science and Engineering, Yunnan University, Kunming (China)

    2017-02-28

    Highlights: • In this paper, the electroless deposited NiCrB thin film was mainly in the form of NiB, CrB{sub 2} compounds and elementary Ni. • The sheet resistance of NiCrB thin film was 3.043 Ω/□, it is smaller than that of the widely used Ta, TaN and TiN diffusion barrier layers. • Annealing experiments showed that the failure temperature of NiCrB thin film regarding Cu diffusion was 900 °C. • NiCrB barrier layer crystallized after 900 °C annealing, Cu grains arrived at Si-substrate through grain boundaries, resulting in the formation of Cu{sub 3}Si. • Eelectroless deposited NiCrB film also had good oxidation resistance, it is expected to become an anti-oxidant layer of copper interconnection. - Abstract: NiCrB films were deposited on Si substrates using electroless deposition as a diffusion barrier layer for Cu interconnections. Samples of the prepared NiCrB/SiO{sub 2}/Si and NiCrB/Cu/NiCrB/SiO{sub 2}/Si were annealed at temperatures ranging from 500 °C to 900 °C. The reaction mechanism of the electroless deposition of the NiCrB film, the failure temperature and the failure mechanism of the NiCrB diffusion barrier layer were investigated. The prepared samples were subjected to XRD, XPS, FPP and AFM to determine the phases, composition, sheet resistance and surface morphology of samples before and after annealing. The results of these analyses indicated that the failure temperature of the NiCrB barrier film was 900 °C and the failure mechanism led to crystallization and grain growth of the NiCrB barrier layer after high temperature annealing. It was found that this process caused Cu grains to reach Si substrate through the grain boundaries, and then the reaction between Cu and Si resulted in the formation of highly resistive Cu{sub 3}Si.

  12. Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence

    International Nuclear Information System (INIS)

    Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.

    2013-01-01

    Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)

  13. Effects of Deposition Temperature on the Device Characteristics of Oxide Thin-Film Transistors Using In-Ga-Zn-O Active Channels Prepared by Atomic-Layer Deposition.

    Science.gov (United States)

    Yoon, Sung-Min; Seong, Nak-Jin; Choi, Kyujeong; Seo, Gi-Ho; Shin, Woong-Chul

    2017-07-12

    We demonstrated the physical and electrical properties of the In-Ga-Zn-O (IGZO) thin films prepared by atomic-layer deposition (ALD) method and investigated the effects of the ALD temperature. The film composition (atomic ratio of In:Ga:Zn) and film density were examined to be 1:1:3 and 5.9 g/cm 3 , respectively, for all the temperature conditions. The optical band gaps decreased from 3.81 to 3.21 eV when the ALD temperature increased from 130 to 170 °C. The amounts of oxygen-related defects such as oxygen vacancies increased with increasing the ALD temperature. It was found from the in situ temperature-dependent electrical conductivity measurements that the electronic natures including the defect structures and conduction mechanism of the IGZO thin films prepared at different temperatures showed marked variations. The carrier mobilities in the saturation regions (μ sat 's) for the fabricated thin film transistors (TFTs) using the IGZO channel layers were estimated to be 6.1 to 14.8 cm 2 V -1 s -1 with increasing the ALD temperature from 130 to 170 °C. Among the devices, when the ALD temperature was controlled to be 150 °C, the IGZO TFTs showed the best performance, which resulted from the fact that the amounts of oxygen vacancies and interstitial defects could be appropriately modulated at this condition. Consequently, the μ sat , subthreshold swing, and on/off ratio for the TFT using the IGZO channel prepared at 150 °C showed 10.4 cm 2 V -1 s -1 , 90 mV/dec, and 2 × 10 9 , respectively. The threshold voltage shifts of this device could also be effectively reduced to be 0.6 and -3.2 V under the positive-bias and negative-bias-illumination stress conditions. These obtained characteristics can be comparable to those for the sputter-deposited IGZO TFTs.

  14. Aligned carbon nanotube, graphene and graphite oxide thin films via substrate-directed rapid interfacial deposition

    Science.gov (United States)

    D'Arcy, Julio M.; Tran, Henry D.; Stieg, Adam Z.; Gimzewski, James K.; Kaner, Richard B.

    2012-05-01

    A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated.A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated. Electronic supplementary information (ESI) available: Droplet coalescence, catenoid formation, mechanism of film growth, scanning electron micrographs showing carbon nanotube alignment, flexible transparent films of SWCNTs, AFM images of a chemically converted graphene film, and SEM images of SWCNT free-standing thin films. See DOI: 10.1039/c2nr00010e

  15. Deposition and characterization of spray pyrolysed p-type Cu2SnS3 thin film for potential absorber layer of solar cell

    Science.gov (United States)

    Thiruvenkadam, S.; Sakthi, P.; Prabhakaran, S.; Chakravarty, Sujay; Ganesan, V.; Rajesh, A. Leo

    2018-06-01

    Thin film of ternary Cu2SnS3 (CTS), a potential absorber layer for solar cells was successfully deposited by chemical spray pyrolysis technique. The GIXRD pattern revealed that the film having tetragonal Cu2SnS3 phase with the preferential orientation along (112), (200), (220) and (312) plane and it is further confirmed using Raman spectroscopy by the existence of Raman peak at 320 cm-1. Atomic Force Microscopy (AFM) was used to estimate the surface roughness of 28.8 nm. The absorption coefficient was found to be greater than the order of 105 cm-1 and bandgap of 1.70 eV. Hall effect measurement indicates the p type nature of the film with a hole concentration of 1.03 × 1016cm-3 and a hall mobility of 404 cm2/V. The properties of CTS thin film confirmed suitable to be a potential absorber layer material for photovoltaic applications.

  16. Structural properties 3,16-bis triisopropylsilylethynyl (pentacene) (TIPS-pentacene) thin films onto organic dielectric layer using slide coating method

    Energy Technology Data Exchange (ETDEWEB)

    Rusnan, Fara Naila; Mohamad, Khairul Anuar; Seria, Dzul Fahmi Mohd Husin; Saad, Ismail; Ghosh, Bablu K.; Alias, Afishah [Nano Engineering & Materials (NEMs) Research Group, Faculty of Engineering Universiti Malaysia Sabah, Kota Kinabalu 88400 Sabah (Malaysia)

    2015-08-28

    3,16-bis triisopropylsilylethynyl (Pentacene) (TIPS-Pentacene) compactable interface property is important in order to have a good arrangement of molecular structure. Comparison for TIPS-Pentacene deposited between two different surface layers conducted. 0.1wt% TIPS-Pentacene diluted in chloroform were deposited onto poly(methylmeaclyrate) (PMMA) layered transparent substrates using slide coating method. X-ray diffraction (XRD) used to determine crystallinity of thin films. Series of (00l) diffraction peaks obtained with sharp first peaks (001) for TIPS-Pentacene deposited onto PMMA layer at 5.35° and separation of 16.3 Å. Morphology and surface roughness were carried out using scanning electron microscope (SEM) and surface profilemeter LS500, respectively.TIPS-Pentacene deposited onto PMMA layer formed needled-like-shape grains with 10.26 nm surface roughness. These properties were related as thin film formed and its surface roughness plays important role towards good mobility devices.

  17. Influence of PEDOT:PSS on the effectiveness of barrier layers prepared by atomic layer deposition in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Wegler, Barbara, E-mail: barbara.wegler@siemens.com [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen, Germany and Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany); Schmidt, Oliver [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Hensel, Bernhard [Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany)

    2015-01-15

    Organic light emitting diodes (OLEDs) are well suited for energy saving lighting applications, especially when thinking about highly flexible and large area devices. In order to avoid the degradation of the organic components by water and oxygen, OLEDs need to be encapsulated, e.g., by a thin sheet of glass. As the device is then no longer flexible, alternative coatings are required. Atomic layer deposition (ALD) is a very promising approach in this respect. The authors studied OLEDs that were encapsulated by 100 nm Al{sub 2}O{sub 3} deposited by ALD. The authors show that this coating effectively protects the active surface area of the OLEDs from humidity. However, secondary degradation processes still occur at sharp edges of the OLED stack where the extremely thin encapsulation layer does not provide perfect coverage. Particularly, the swelling of poly(3,4-ethylenedioxythiophene) mixed with poly(styrenesulfonate), which is a popular choice for the planarization of the bottom electrode and at the same time acts as a hole injection layer, affects the effectiveness of the encapsulation layer.

  18. Characterization of MAPLE deposited WO3 thin films for electrochromic applications

    Science.gov (United States)

    Boyadjiev, S. I.; Stefan, N.; Szilágyi, I. M.; Mihailescu, N.; Visan, A.; Mihailescu, I. N.; Stan, G. E.; Besleaga, C.; Iliev, M. T.; Gesheva, K. A.

    2017-01-01

    Tungsten trioxide (WO3) is a widely studied material for electrochromic applications. The structure, morphology and optical properties of WO3 thin films, grown by matrix assisted pulsed laser evaporation (MAPLE) from monoclinic WO3 nano-sized particles, were investigated for their possible application as electrochromic layers. A KrF* excimer (λ=248 nm, ζFWHM=25 ns) laser source was used in all experiments. The MAPLE deposited WO3 thin films were studied by atomic force microscopy (AFM), grazing incidence X-ray diffraction (GIXRD) and Fourier transform infrared spectroscopy (FTIR). Cyclic voltammetry measurements were also performed, and the coloring and bleaching were observed. The morpho-structural investigations disclosed the synthesis of single-phase monoclinic WO3 films consisting of crystalline nano-grains embedded in an amorphous matrix. All thin films showed good electrochromic properties, thus validating application of the MAPLE deposition technique for the further development of electrochromic devices.

  19. Characterization of MAPLE deposited WO3 thin films for electrochromic applications

    International Nuclear Information System (INIS)

    Boyadjiev, S I; Iliev, M T; Stefan, N; Mihailescu, N; Visan, A; Mihailescu, I N; Szilágyi, I M; Stan, G E; Besleaga, C; Gesheva, K A

    2017-01-01

    Tungsten trioxide (WO 3 ) is a widely studied material for electrochromic applications. The structure, morphology and optical properties of WO 3 thin films, grown by matrix assisted pulsed laser evaporation (MAPLE) from monoclinic WO 3 nano-sized particles, were investigated for their possible application as electrochromic layers. A KrF* excimer (λ=248 nm, ζ FWHM =25 ns) laser source was used in all experiments. The MAPLE deposited WO 3 thin films were studied by atomic force microscopy (AFM), grazing incidence X-ray diffraction (GIXRD) and Fourier transform infrared spectroscopy (FTIR). Cyclic voltammetry measurements were also performed, and the coloring and bleaching were observed. The morpho-structural investigations disclosed the synthesis of single-phase monoclinic WO 3 films consisting of crystalline nano-grains embedded in an amorphous matrix. All thin films showed good electrochromic properties, thus validating application of the MAPLE deposition technique for the further development of electrochromic devices. (paper)

  20. Characteristics of the surface layer of barium strontium titanate thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Craciun, V.; Singh, R. K.

    2000-01-01

    Ba 0.5 Sr 0.5 TiO 3 (BST) thin films grown on Si by an in situ ultraviolet-assisted pulsed laser deposition (UVPLD) technique exhibited significantly higher dielectric constant and refractive index values and lower leakage current densities than films grown by conventional PLD under similar conditions. X-ray photoelectron spectroscopy (XPS) investigations have shown that the surface layer of the grown films contained, besides the usual BST perovskite phase, an additional phase with Ba atoms in a different chemical state. PLD grown films always exhibited larger amounts of this phase, which was homogeneously mixed with the BST phase up to several nm depth, while UVPLD grown films exhibited a much thinner (∼1 nm) and continuous layer. The relative fraction of this phase was not correlated with the amount of C atoms present on the surface. Fourier transform infrared spectroscopy did not find any BaCO 3 contamination layer, which was believed to be related to this new phase. X-ray diffraction measurement showed that although PLD grown films contained less oxygen atoms, the lattice parameter was closer to the bulk value than that of UVPLD grown films. After 4 keV Ar ion sputtering for 6 min, XPS analysis revealed a small suboxide Ba peak for the PLD grown films. This finding indicates that the average Ba-O bonds are weaker in these films, likely due to the presence of oxygen vacancies. It is suggested here that this new Ba phase corresponds to a relaxed BST surface layer. (c) 2000 American Institute of Physics

  1. Characteristics of the surface layer of barium strontium titanate thin films deposited by laser ablation

    Science.gov (United States)

    Craciun, V.; Singh, R. K.

    2000-04-01

    Ba0.5Sr0.5TiO3 (BST) thin films grown on Si by an in situ ultraviolet-assisted pulsed laser deposition (UVPLD) technique exhibited significantly higher dielectric constant and refractive index values and lower leakage current densities than films grown by conventional PLD under similar conditions. X-ray photoelectron spectroscopy (XPS) investigations have shown that the surface layer of the grown films contained, besides the usual BST perovskite phase, an additional phase with Ba atoms in a different chemical state. PLD grown films always exhibited larger amounts of this phase, which was homogeneously mixed with the BST phase up to several nm depth, while UVPLD grown films exhibited a much thinner (˜1 nm) and continuous layer. The relative fraction of this phase was not correlated with the amount of C atoms present on the surface. Fourier transform infrared spectroscopy did not find any BaCO3 contamination layer, which was believed to be related to this new phase. X-ray diffraction measurement showed that although PLD grown films contained less oxygen atoms, the lattice parameter was closer to the bulk value than that of UVPLD grown films. After 4 keV Ar ion sputtering for 6 min, XPS analysis revealed a small suboxide Ba peak for the PLD grown films. This finding indicates that the average Ba-O bonds are weaker in these films, likely due to the presence of oxygen vacancies. It is suggested here that this new Ba phase corresponds to a relaxed BST surface layer.

  2. Thin pentacene layer under pressure

    International Nuclear Information System (INIS)

    Srnanek, R.; Jakabovic, J.; Kovac, J.; Donoval, D.; Dobrocka, E.

    2011-01-01

    Organic semiconductors have got a lot of interest during the last years, due to their usability for organic thin film transistor. Pentacene, C 22 H 14 , is one of leading candidates for this purpose. While we obtain the published data about pressure-induced phase transition only on single crystal of pentacene we present pressure-induced phase transition in pentacene thin layers for the first time. Changes in the pentacene structure, caused by the pressure, were detected by micro-Raman spectroscopy. Applying the defined pressure to the pentacene layer it can be transformed from thin phase to bulk phase. Micro-Raman spectroscopy was found as useful method for detection of changes and phases identification in the pentacene layer induced by mechanical pressure. Such a pressure-induced transformation of pentacene thin layers was observed and identified for the first time. (authors)

  3. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  4. Characterization of Nanocrystalline SiGe Thin Film Solar Cell with Double Graded-Dead Absorption Layer

    Directory of Open Access Journals (Sweden)

    Chao-Chun Wang

    2012-01-01

    Full Text Available The nanocrystalline silicon-germanium (nc-SiGe thin films were deposited by high-frequency (27.12 MHz plasma-enhanced chemical vapor deposition (HF-PECVD. The films were used in a silicon-based thin film solar cell with graded-dead absorption layer. The characterization of the nc-SiGe films are analyzed by scanning electron microscopy, UV-visible spectroscopy, and Fourier transform infrared absorption spectroscopy. The band gap of SiGe alloy can be adjusted between 0.8 and 1.7 eV by varying the gas ratio. For thin film solar cell application, using double graded-dead i-SiGe layers mainly leads to an increase in short-circuit current and therefore cell conversion efficiency. An initial conversion efficiency of 5.06% and the stabilized efficiency of 4.63% for an nc-SiGe solar cell were achieved.

  5. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers

    Directory of Open Access Journals (Sweden)

    Sebastian Koslowski

    2017-07-01

    Full Text Available With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111 and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111 results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111, as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal–molecule interaction, which decreases the HOMO–LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS and their shapes can be resolved by spectroscopic mapping.

  6. Sealing of hard CrN and DLC coatings with atomic layer deposition.

    Science.gov (United States)

    Härkönen, Emma; Kolev, Ivan; Díaz, Belén; Swiatowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe; Fenker, Martin; Toth, Lajos; Radnoczi, György; Vehkamäki, Marko; Ritala, Mikko

    2014-02-12

    Atomic layer deposition (ALD) is a thin film deposition technique that is based on alternating and saturating surface reactions of two or more gaseous precursors. The excellent conformality of ALD thin films can be exploited for sealing defects in coatings made by other techniques. Here the corrosion protection properties of hard CrN and diamond-like carbon (DLC) coatings on low alloy steel were improved by ALD sealing with 50 nm thick layers consisting of Al2O3 and Ta2O5 nanolaminates or mixtures. In cross sectional images the ALD layers were found to follow the surface morphology of the CrN coatings uniformly. Furthermore, ALD growth into the pinholes of the CrN coating was verified. In electrochemical measurements the ALD sealing was found to decrease the current density of the CrN coated steel by over 2 orders of magnitude. The neutral salt spray (NSS) durability was also improved: on the best samples the appearance of corrosion spots was delayed from 2 to 168 h. On DLC coatings the adhesion of the ALD sealing layers was weaker, but still clear improvement in NSS durability was achieved indicating sealing of the pinholes.

  7. Multi-layer thin-film electrolytes for metal supported solid oxide fuel cells

    Science.gov (United States)

    Haydn, Markus; Ortner, Kai; Franco, Thomas; Uhlenbruck, Sven; Menzler, Norbert H.; Stöver, Detlev; Bräuer, Günter; Venskutonis, Andreas; Sigl, Lorenz S.; Buchkremer, Hans-Peter; Vaßen, Robert

    2014-06-01

    A key to the development of metal-supported solid oxide fuel cells (MSCs) is the manufacturing of gas-tight thin-film electrolytes, which separate the cathode from the anode. This paper focuses the electrolyte manufacturing on the basis of 8YSZ (8 mol.-% Y2O3 stabilized ZrO2). The electrolyte layers are applied by a physical vapor deposition (PVD) gas flow sputtering (GFS) process. The gas-tightness of the electrolyte is significantly improved when sequential oxidic and metallic thin-film multi-layers are deposited, which interrupt the columnar grain structure of single-layer electrolytes. Such electrolytes with two or eight oxide/metal layers and a total thickness of about 4 μm obtain leakage rates of less than 3 × 10-4 hPa dm3 s-1 cm-2 (Δp: 100 hPa) at room temperature and therefore fulfill the gas tightness requirements. They are also highly tolerant with respect to surface flaws and particulate impurities which can be present on the graded anode underground. MSC cell tests with double-layer and multilayer electrolytes feature high power densities more than 1.4 W cm-2 at 850 °C and underline the high potential of MSC cells.

  8. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  9. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  10. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  11. An RBS study of thin PLD and MOCVD strontium copper oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Kantor, Z. [Institute of Physics, University of Pannonia, H-8200 Veszprem (Hungary); Papadopoulou, E.L.; Aperathitis, E. [Inst. Electronic Struture and Laser, Foundation for Research and Technology - Hellas, P.O. Box 1527, Heraklion 71110 (Greece); Deschanvres, J.-L. [LMPG INP Grenoble-Minatec, BP 257, 38016 Grenoble Cedex 1 (France); Somogyi, K. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)], E-mail: karoly.somogyi@microvacuum.com; Szendro, I. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)

    2008-09-30

    Strontium copper oxide (SCO) has been studied as p-type transparent (VIS) conductive oxide material. Also theoretical studies suggested p-type conductivity of the SrCu{sub 2}O{sub 2} composition. SCO thin layers, with thicknesses of 30-2000 nm, were deposited on glass and silicon substrates both by pulsed laser deposition (PLD) and by MOCVD method. The as-grown layers showed high electrical resistance. Due to an annealing process, the resistivity significantly decreased and the layers showed p-type conductivity. Optical transparency measured on samples grown on glass substrates was found about or above 80%, including also thickness dependence. RBS measurements were applied for the determination of the chemical composition profile of the layers. A comparison revealed some specific differences between as-grown and annealed PLD samples. Due to the annealing, the ratio of oxide phases was changed and a vertical inhomogeneity in chemical composition was observed. Our measurements revealed also the influence of the deposition technique and of the substrate.

  12. Thin film growth into the ion track structures in polyimide by atomic layer deposition

    Science.gov (United States)

    Mättö, L.; Malm, J.; Arstila, K.; Sajavaara, T.

    2017-09-01

    High-aspect ratio porous structures with controllable pore diameters and without a stiff substrate can be fabricated using the ion track technique. Atomic layer deposition is an ideal technique for depositing thin films and functional surfaces on complicated 3D structures due to the high conformality of the films. In this work, we studied Al2O3 and TiO2 films grown by ALD on pristine polyimide (Kapton HN) membranes as well as polyimide membranes etched in sodium hypochlorite (NaOCl) and boric acid (BO3) solution by means of RBS, PIXE, SEM-EDX and helium ion microcopy (HIM). The focus was on the first ALD growth cycles. The areal density of Al2O3 film in the 400 cycle sample was determined to be 51 ± 3 × 1016 at./cm2, corresponding to the thickness of 55 ± 3 nm. Furthermore, the growth per cycle was 1.4 Å/cycle. The growth is highly linear from the first cycles. In the case of TiO2, the growth per cycle is clearly slower during the first 200 cycles but then it increases significantly. The growth rate based on RBS measurements is 0.24 Å/cycle from 3 to 200 cycles and then 0.6 Å/cycle between 200 and 400 cycles. The final areal density of TiO2 film after 400 cycles is 148 ± 3 × 1015 at./cm2 which corresponds to the thickness of 17.4 ± 0.4 nm. The modification of the polyimide surface by etching prior to the deposition did not have an effect on the Al2O3 and TiO2 growth.

  13. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  14. Microstructural characterization of chemical bath deposited and sputtered Zn(O,S) buffer layers

    International Nuclear Information System (INIS)

    Gautron, E.; Buffière, M.; Harel, S.; Assmann, L.; Arzel, L.; Brohan, L.; Kessler, J.; Barreau, N.

    2013-01-01

    The present work aims at investigating the microstructure of Zn(O,S) buffer layers relative to their deposition route, namely either chemical bath deposition (CBD) or RF co-sputtering process (PVD) under pure Ar. The core of the study consists of cross-sectional transmission electron microscopy (TEM) characterization of the differently grown Zn(O,S) thin films on co-evaporated Cu(In,Ga)Se 2 (CIGSe) absorbers. It shows that the morphology of Zn(O,S) layer deposited on CIGSe using CBD process is made of a thin layer of well oriented ZnS sphalerite-(111) and/or ZnS wurtzite-(0002) planes parallel to CIGSe chalcopyrite-(112) planes at the interface with CIGSe followed by misoriented nanometer-sized ZnS crystallites in an amorphous phase. As far as (PVD)Zn(O,S) is concerned, the TEM analyses reveal two different microstructures depending on the S-content in the films: for [S] / ([O] + [S]) = 0.6, the buffer layer is made of ZnO zincite and ZnS wurtzite crystallites grown nearly coherently to each other, with (0002) planes nearly parallel with CIGSe-(112) planes, while for [S] / ([O] + [S]) = 0.3, it is made of ZnO zincite type crystals with O atoms substituted by S atoms, with (0002) planes perfectly aligned with CIGSe-(112) planes. Such microstructural differences can explain why photovoltaic performances are dependent on the Zn(O,S) buffer layer deposition route. - Highlights: ► Zn(O,S) layers were grown by chemical bath (CBD) or physical vapor (PVD) deposition. ► For CBD, a 3 nm ZnS layer is followed by ZnS nano-crystallites in an amorphous phase. ► For PVD with [S] / ([O] + [S]) = 0.3, the layer has a Zn(O,S) zincite structure. ► For PVD with [S] / ([O] + [S]) = 0.6, ZnS wurtzite and ZnO zincite phases are mixed

  15. Selenization of CIS and CIGS layers deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Babu, B. J.; Egaas, B.; Velumani, S.

    2018-03-21

    Cu(In1-xGax)Se2 (CIGS) thin films with x=0 (CIS) and x=0.3 (CIGS) were prepared on Mo-coated glass substrate by using chemical spray pyrolysis at a substrate temperature of 350 degrees C, followed by selenization treatment at 550 degrees C in selenium environment under N2 gas flow. X-ray diffraction patterns of as-deposited CIGS layers on Mo showed polycrystalline chalcopyrite phase with an intense (112) plane. Splitting of (204)/(220) and (116)/(312) planes for the film with x=0.3 reveals deviation of tetragonal nature. Field emission scanning electron microscopy cross-sectional images of selenized films showed clear re-crystallization of grains. During the selenization process of the CIGS absorber, a thin interface layer of MoSe2 is formed. Line mapping of Mo/CIGS layer showed more gallium segregation at the interface of back contact resulting in band gap grading. Chemical composition and mapping of the as-deposited and selenized samples were determined by energy dispersive analysis of X-rays. This work leads to fabrication of low cost and large scale Mo/CIGS/CdS/ZnO/ZnO:Al device structure.

  16. Secondary ion emission from ultra-thin oxide layers bombarded by energetic (MeV) heavy ions: depth of origin and layer homogeneity

    International Nuclear Information System (INIS)

    Allali, H.; Nsouli, B.; Thomas, J.P.; Cabaud, B.; Fuchs, G.; Hoareau, A.; Treilleux, M.; Danel, J.S.

    1993-09-01

    The escape depth of the secondary ions resulting from electronic sputtering of fast heavy ions in inorganic thin films has been investigated. Chromium layers deposited onto SiO 2 substrate as well as SiO x layers deposited onto chromium substrate have been characterized by secondary ion emission mass spectrometry (SIMS) in combination with time-of-flight (TOF) mass analysis (also referred as HSF-SIMS). These crossed experiments lead to a value around 1 nm for SiO x layers and 0.5 nm for Cr layers. On the other hand, HSF-SIMS can be used to correlate the intensity of the secondary ion emission to the film coverage rate and (or) the morphology of particular films like those produced by Low Energy Cluster Beam Deposition (LECBD). Using Sb deposits, the non-linear relationship between ion emission and coverage is interpreted in terms of sputtering enhancement in the individual supported clusters. (author) 22 refs., 9 figs., 1 tab

  17. RBS characterization of the deposition of very thin SiGe/SiO2 multilayers by LPCVD

    International Nuclear Information System (INIS)

    Munoz-Martin, A.; Climent-Font, A.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Multilayer structures consisting of several alternated layers of SiGe and SiO 2 with thickness ranging from 2 or Si as well as the deposition of SiO 2 on Si show negligible incubation times. The deposition of SiO 2 on SiGe, however, exhibits an incubation time of several minutes, which would be related to the oxidation of the surface necessary for the SiO 2 deposition to start. In all cases the film thickness increases linearly with deposition time, thus allowing the growth rates to be determined. These data allow the deposition process of these very thin layers to be accurately controlled

  18. Microcrystalline silicon carbide alloys prepared with HWCVD as highly transparent and conductive window layers for thin film solar cells

    International Nuclear Information System (INIS)

    Finger, F.; Astakhov, O.; Bronger, T.; Carius, R.; Chen, T.; Dasgupta, A.; Gordijn, A.; Houben, L.; Huang, Y.; Klein, S.; Luysberg, M.; Wang, H.; Xiao, L.

    2009-01-01

    Crystalline silicon carbide alloys have a very high potential as transparent conductive window layers in thin-film solar cells provided they can be prepared in thin-film form and at compatible deposition temperatures. The low-temperature deposition of such material in microcrystalline form (μc-Si:C:H) was realized by use of monomethylsilane precursor gas diluted in hydrogen with the Hot-Wire Chemical Vapor Deposition process. A wide range of deposition parameters has been investigated and the structural, electronic and optical properties of the μc-SiC:H thin films have been studied. The material, which is strongly n-type from unintentional doping, has been used as window layer in n-side illuminated microcrystalline silicon solar cells. High short-circuit current densities are obtained due to the high transparency of the material resulting in a maximum solar cell conversion efficiency of 9.2%.

  19. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  20. UV light induced insulator-metal transition in ultra-thin ZnO/TiO{sub x} stacked layer grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2016-08-28

    In the present study, atomic layer deposition has been used to grow a series of Ti incorporated ZnO thin films by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers on (0001) sapphire substrates. The effects of defect states mediated chemisorption of O{sub 2} and/OH groups on the electrical properties of these films have been investigated by illuminating the samples under UV light inside a high vacuum optical cryostat. The ultra-thin film having one stacked layer (n = 1) did not show any change in its electrical resistance upon UV light exposure. On the contrary, marginal drop in the electrical resistivity was measured for the samples with n ≥ 3. Most surprisingly, the sample with n = 2 (thickness ∼ 12 nm) showed an insulator to metal transition upon UV light exposure. The temperature dependent electrical resistivity measurement on the as grown film (n = 2) showed insulating behaviour, i.e., diverging resistivity on extrapolation to T→ 0 K. However, upon UV light exposure, it transformed to a metallic state, i.e., finite resistivity at T → 0 K. Such an insulator-metal transition plausibly arises due to the de-trapping of conduction electrons from the surface defect sites which resulted in an upward shift of the Fermi level above the mobility edge. The low-temperature electron transport properties on the insulating film (n = 2) were investigated by a combined study of zero field electrical resistivity ρ(T) and magnetoresistance (MR) measurements. The observed negative MR was found to be in good agreement with the magnetic field induced suppression of quantum interference between forward-going paths of tunnelling electrons. Both ρ(T) and MR measurements provided strong evidence for the Efros-Shklovskii type variable range hopping conduction in the low-temperature (≤40 K) regime. Such studies on electron transport in ultra-thin n-type doped ZnO films are crucial to achieve optimum functionality

  1. Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics

    KAUST Repository

    Alshammari, Fwzah Hamud; Hota, Mrinal Kanti; Wang, Zhenwei; Aljawhari, Hala; Alshareef, Husam N.

    2017-01-01

    Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93

  2. Photoelectron spectroscopy study of thin Ag films deposited on to amorphous In–Ga–Zn–O surface

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Se Jun [Department of Physics, Pohang University of Science and Technology, Pohang 790-784 (Korea, Republic of); Baik, Jaeyoon; Ha, Taekyun; Park, Chong Do [Pohang Accelerator Laboratory, Pohang University of Science and Technology, Pohang 790-784 (Korea, Republic of); Shin, Hyun-Joon, E-mail: shj001@postech.ac.kr [Department of Physics, Pohang University of Science and Technology, Pohang 790-784 (Korea, Republic of); Pohang Accelerator Laboratory, Pohang University of Science and Technology, Pohang 790-784 (Korea, Republic of); Chung, JaeGwan; Lee, Jaecheol [A E Group, Samsung Advanced Institute of Technology, Giheung-Gu, Yongin-Si, GyeingGi-Do 449-712 (Korea, Republic of)

    2014-11-03

    Ag was thermally evaporated onto amorphous In–Ga–Zn–O (a-IGZO) thin film, and the Ag-thickness (< 0.3 nm)-dependent chemical states of the Ag-deposited a-IGZO thin-film surfaces were investigated by high-resolution X-ray photoelectron spectroscopy. As Ag layer thickness increased, Ag 3d shifted towards the lower binding energy (BE) side and In 3d developed a lower-BE component; however, O 1s, Ga 3d, and Zn 3d showed much smaller spectral feature changes than Ag 3d or In 3d. The analysis suggests that Ag atoms preferentially interact and share electrons with In atoms. The Ag 4d split feature at the valence band and the metallic states near the Fermi edge were noticeably visible when the Ag thickness was greater than 0.1 nm. - Highlights: • Ag was deposited on a-IGZO thin film using thermal evaporation method. • Chemical state changes of Ag-deposited a-IGZO were investigated by XPS. • As Ag layer thickness increased, In 3d developed a lower-BE component. • As Ag layer thickness increased, Ag 3d shifted towards the lower BE side. • Ag atoms preferentially interact and share electrons with In atoms.

  3. Phase-coherent electron transport in (Zn, Al)O{sub x} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2014-11-24

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)O{sub x} thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al{sub 2}O{sub 3} sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length (l{sub φ}∝T{sup −3/4}), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  4. Inverted bulk-heterojunction organic solar cell using chemical bath deposited titanium oxide as electron collection layer

    OpenAIRE

    Kuwabara, Takayuki; Sugiyama, Hirokazu; Kuzuba, Mitsuhiro  ; Yamaguchi, Takahiro; Takahashi, Kohshin

    2010-01-01

    Chemical bath deposited titanium oxide (TiOx ) as an electron collection layer is introduced between the organic layer and the indium tin oxide (ITO) electrode for improving the performance of inverted bulk-heterojunction organic thin film solar cells with 1 cm2 active area, where regioregular poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl C61 butyric acid methyl ester (PCBM) were mainly used as the photo-active layer. The uniform and thin TiOx film was easily prepared onto the ITO electrode ...

  5. Optical and structural characterization of nickel oxide-based thin films obtained by chemical bath deposition

    International Nuclear Information System (INIS)

    Vidales-Hurtado, M.A.; Mendoza-Galvan, A.

    2008-01-01

    Nickel oxide-based thin films were obtained using the chemical bath deposition method on glass and silicon substrates. The precursor solution used was a mixture of nickel nitrate, urea, and deionized water. Molar concentration of nickel (0.3-1.0 M), deposition time, and immersing cycles were considered as deposition variables. Infrared spectroscopy and X-ray diffraction data reveal that all as-deposited films correspond to the transparent turbostratic phase α(II)-Ni(OH) 2 . However, the rate of deposition depends on nickel content in the solution. After annealing in air at temperatures above of 300 deg. C, the films are transformed to the NiO phase and show a grey/black color. In these films, scanning electron microscopy images show aggregates of thin stacked sheets on their surface, such aggregates can be easily removed leaving only a thin NiO layer of about 30 nm adhered firmly to the substrate, regardless of nickel concentration in the solution and deposition time. In order to obtain thicker NiO films with good optical properties a procedure is developed performing several immersing-annealing cycles

  6. Hydrogen–argon plasma pre-treatment for improving the anti-corrosion properties of thin Al2O3 films deposited using atomic layer deposition on steel

    International Nuclear Information System (INIS)

    Härkönen, Emma; Potts, Stephen E.; Kessels, Wilhelmus M.M.; Díaz, Belén; Seyeux, Antoine; Światowska, Jolanta; Maurice, Vincent; Marcus, Philippe; Radnóczi, György; Tóth, Lajos; Kariniemi, Maarit; Niinistö, Jaakko; Ritala, Mikko

    2013-01-01

    The effect of H 2 –Ar plasma pre-treatment prior to thermal atomic layer deposition (ALD) and plasma-enhanced atomic layer deposition (PEALD) of Al 2 O 3 films on steel for corrosion protection was investigated. Time-of-flight secondary ion mass spectrometry and transmission electron microscopy were used to observe the changes in the interface. The electrochemical properties of the samples were studied with polarization measurements, and the coating porosities were calculated from the polarization results for easier comparison of the coatings. Prior to thermal ALD the plasma pre-treatment was observed to reduce the amount of impurities at the interface and coating porosity by 1–3 orders of magnitude. The anti-corrosion properties of the PEALD coatings could also be improved by the pre-treatment. However, exposure of the pre-treatment plasma activated steel surface to oxygen plasma species in PEALD led to facile oxide layer formation in the interface. The oxide layer formed this way was thicker than the native oxide layer and appeared to be detrimental to the protective properties of the coating. The best performance for PEALD Al 2 O 3 coatings was achieved when, after the plasma pre-treatment, the surface was given time to regrow a thin protective interfacial oxide prior to exposure to the oxygen plasma. The different effects that thermal and plasma-enhanced ALD have on the substrate-coating interface were compared. The reactivity of the oxygen precursor was shown to have a significant influence on substrate surface in the early stages of film growth and thereafter also on the overall quality of the protective film. - Highlights: • Influence of H 2 –Ar plasma pre-treatment to ALD coatings on steel was studied. • The pre-treatment modified the coating–substrate interface composition and thickness. • The pre-treatment improved the barrier properties of the coatings

  7. Investigation of defects in ultra-thin Al{sub 2}O{sub 3} films deposited on pure copper by the atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Wang, L.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, K.M. [Department of Materials Science and Engineering, Feng Chia University, No. 100, Wenhwa Road, Seatwen, Taichung 40724, Taiwan (China)

    2015-12-30

    Graphical abstract: Some residual OH ligands originating from incomplete reaction between TMA and surface species of OH* during ALD process induce the defects in deposited Al{sub 2}O{sub 3} films. Three possible types of defects are suggested. The analytic results indicate the defects are Type-I and/or Type-II but do not directly expose the substrate, like pinholes (Type-III). - Highlights: • Oxidation trials were conducted to investigate the defects in ultra-thin Al{sub 2}O{sub 3} films deposited ALD technique on pure copper. • The residual OH ligands in the deposited Al{sub 2}O{sub 3} films induce looser micro-structure which has worse oxidation resistance. • Superficial contamination particles on substrate surface are confirmed to be one of nucleation sites of the defects. - Abstract: Al{sub 2}O{sub 3} films with various thicknesses were deposited by the atomic layer deposition (ALD) technique on pure copper at temperatures of 100–200 °C. Oxidation trials were conducted in air at 200 °C to investigate the defects in these films. The analytic results show that the defects have a looser micro-structure compared to their surroundings, but do not directly expose the substrate, like pinholes. The film's crystallinity, mechanical properties and oxidation resistance could also be affected by these defects. Superficial contamination particles on the substrate surface are confirmed to be nucleation sites of the defects. A model for the mechanism of defect formation is proposed in this study.

  8. Improved Efficiency of Polymer Solar Cells by means of Coating Hole Transporting Layer as Double Layer Deposition

    Science.gov (United States)

    Chonsut, T.; Kayunkid, N.; Rahong, S.; Rangkasikorn, A.; Wirunchit, S.; Kaewprajak, A.; Kumnorkaew, P.; Nukeaw, J.

    2017-09-01

    Polymer solar cells is one of the promising technologies that gain tremendous attentions in the field of renewable energy. Optimization of thickness for each layer is an important factor determining the efficiency of the solar cells. In this work, the optimum thickness of Poly(3,4-ethylenedioxythione): poly(styrenesulfonate) (PEDOT:PSS), a famous polymer widely used as hole transporting layer in polymer solar cells, is determined through the analyzing of device’s photovoltaic parameters, e.g. short circuit current density (Jsc), open circuit voltage (Voc), fill factor (FF) as well as power conversion efficiency (PCE). The solar cells were prepared with multilayer of ITO/PEDOT:PSS/PCDTBT:PC70BM/TiOx/Al by rapid convective deposition. In such preparation technique, the thickness of the thin film is controlled by the deposition speed. The faster deposition speed is used, the thicker film is obtained. Furthermore, double layer deposition of PEDOT:PSS was introduced as an approach to improve solar cell efficiency. The results obviously reveal that, with the increase of PEDOT:PSS thickness, the increments of Jsc and FF play the important role to improve PCE from 3.21% to 4.03%. Interestingly, using double layer deposition of PEDOT:PSS shows the ability to enhance the performance of the solar cells to 6.12% under simulated AM 1.5G illumination of 100 mW/cm2.

  9. (Invited) Atomic Layer Deposition for Novel Dye-Sensitized Solar Cells

    KAUST Repository

    Tétreault, Nicolas

    2011-01-01

    Herein we present the latest fabrication and characterization techniques for atomic layer deposition of Al 2O 3, ZnO, SnO 2, Nb 2O 5, HfO 2, Ga 2O 3 and TiO 2 for research on dye-sensitized solar cell. In particular, we review the fabrication of state-of-the-art 3D host-passivation-guest photoanodes and ZnO nanowires as well as characterize the deposited thin films using spectroscopic ellipsometry, X-ray diffraction, Hall effect, J-V curves and electrochemical impedance spectroscopy. ©The Electrochemical Society.

  10. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  11. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  12. Structural properties of In2Se3 precursor layers deposited by spray pyrolysis and physical vapor deposition for CuInSe2 thin-film solar cell applications

    International Nuclear Information System (INIS)

    Reyes-Figueroa, P.; Painchaud, T.; Lepetit, T.; Harel, S.; Arzel, L.; Yi, Junsin; Barreau, N.; Velumani, S.

    2015-01-01

    The structural properties of In 2 Se 3 precursor thin films grown by chemical spray pyrolysis (CSP) and physical vapor deposition (PVD) methods were compared. This is to investigate the feasibility to substitute PVD process of CuInSe 2 (CISe) films by CSP films as precursor layer, thus decreasing the production cost by increasing material-utilization efficiency. Both films of 1 μm thickness were deposited at the same substrate temperature of 380 °C. X-ray diffraction and Raman spectra confirm the formation of γ-In 2 Se 3 crystalline phase for both films. The PVD and CSP films exhibited (110) and (006) preferred orientations, respectively. The PVD films showed a smaller full width at half maximum value (0.09°) compared with CSP layers (0.1°). Films with the same crystalline phase but with different orientations are normally used in the preparation of high quality CISe films by 3-stage process. Scanning electron microscope cross-section images showed an important difference in grain size with well-defined larger grains of size 1–2 μm in the PVD films as compared to CSP layers (600 nm). Another important characteristic that differentiates the two precursor films is the oxygen contamination. X-ray photoelectron spectroscopy showed the presence of oxygen in CSP films. The oxygen atoms could be bonded to indium by replacing Se vacancies, which are formed during CSP deposition. Taking account of the obtained results, such CSP films can be used as precursor layer in a PVD process in order to produce CISe absorber films. - Highlights: • To find the intricacies involved in spray pyrolysis (CSP) and physical vapor (PVD) deposition. • Comparison of CSP and PVD film formations — especially in structural properties. • Feasibility to substitute CSP (cheaper) films for PVD in the manufacturing process. • Decreasing the global production cost of Cu(In,Ga)Se 2 devices in the 3-stage process

  13. Silver-doped layers of implants prepared by pulsed laser deposition

    Czech Academy of Sciences Publication Activity Database

    Kocourek, Tomáš; Jelínek, Miroslav; Mikšovský, Jan; Jurek, Karel; Čejka, Z.; Kopeček, Jaromír

    2013-01-01

    Roč. 1, č. 7 (2013), s. 59-61 ISSN 2327-5219 R&D Projects: GA AV ČR KAN300100801 Institutional support: RVO:68378271 Keywords : thin layer * silver * titanium alloy * steel * pulsed laser deposition * adhesion * implant Subject RIV: BM - Solid Matter Physics ; Magnetism http://www.scirp.org/journal/PaperInformation.aspx?paperID=40308#.UvECAfu5dHA

  14. PbS Thin Films for Photovoltaic Applications Obtained by Non-Traditional Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Pérez-García Claudia Elena

    2015-01-01

    Full Text Available To optimize cost-efficiency relation for thin film solar cells, we explore the recently developed versions of chemical deposition of semiconductor films, together with classic CBD (Chemical Bath Deposition: SILAR (Successive Ionic Layer Adsorption and Reaction and PCBD (Photo Chemical Bath Deposition, all of them ammonia-free and ecologically friendly. The films of CdS and PbS were made, and experimental solar cells with CdS window layer and PbS absorber elaborated. We found that band gap of PbS films can be monitored by deposition process due to porosity-induced quantum confinement which depends on the parameters of the process. We expect that the techniques employed can be successfully used for production of optoelectronic devices.

  15. Chemically deposited In{sub 2}S{sub 3}-Ag{sub 2}S layers to obtain AgInS{sub 2} thin films by thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Lugo, S. [Universidad Autonoma de Nuevo Leon, UANL, Fac. de Ciencias Quimicas, Av. Universidad S/N Ciudad Universitaria San Nicolas de Los Garza Nuevo Leon, C.P. 66451 (Mexico); Pena, Y., E-mail: yolapm@gmail.com [Universidad Autonoma de Nuevo Leon, UANL, Fac. de Ciencias Quimicas, Av. Universidad S/N Ciudad Universitaria San Nicolas de Los Garza Nuevo Leon, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Centro de Investigacion en Energia-Universidad Nacional Autonoma de Mexico, 62580, Temixco, Morelos (Mexico); Lopez-Mata, C. [Instituto Tecnologico de Chetumal, Av. Insurgentes No. 330, C.P. 77013, Col. David Gustavo Gtz., Chetumal, Quintana Roo (Mexico); Ramon, M.L. [Centro de Investigacion en Energia-Universidad Nacional Autonoma de Mexico, 62580, Temixco, Morelos (Mexico); Gomez, I.; Acosta, A. [Universidad Autonoma de Nuevo Leon, UANL, Fac. de Ciencias Quimicas, Av. Universidad S/N Ciudad Universitaria San Nicolas de Los Garza Nuevo Leon, C.P. 66451 (Mexico)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer We obtained polycrystalline silver indium sulfide thin films through the annealing of chemically deposited In{sub 2}S{sub 3}-Ag{sub 2}S films. Black-Right-Pointing-Pointer According to XRD chalcopyrite structure of AgInS{sub 2} was obtained. Black-Right-Pointing-Pointer AgInS{sub 2} thin film has a band gap of 1.86 eV and a conductivity value of 1.2 Multiplication-Sign 10{sup -3} ({Omega} cm){sup -1}. - Abstract: AgInS{sub 2} thin films were obtained by the annealing of chemical bath deposited In{sub 2}S{sub 3}-Ag{sub 2}S layers at 400 Degree-Sign C in N{sub 2} for 1 h. According to the XRD and EDX results the chalcopyrite structure of AgInS{sub 2} has been obtained. These films have an optical band gap, E{sub g}, of 1.86 eV and an electrical conductivity value of 1.2 Multiplication-Sign 10{sup -3} ({Omega} cm){sup -1}.

  16. Nanoscale semiconductor Pb{sub 1-x}Sn{sub x}Se (x = 0.2) thin films synthesized by electrochemical atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin Shaoxiong; Zhang Xin; Shi Xuezhao; Wei Jinping; Lu Daban; Zhang Yuzhen; Kou Huanhuan [Department of Chemistry, Lanzhou University, Lanzhou 730000 (China); Wang Chunming, E-mail: wangcm@lzu.edu.cn [Department of Chemistry, Lanzhou University, Lanzhou 730000 (China)

    2011-04-15

    In this paper the fabrication and characterization of IV-VI semiconductor Pb{sub 1-x}Sn{sub x}Se (x = 0.2) thin films on gold substrate by electrochemical atomic layer deposition (EC-ALD) method at room temperature are reported. Cyclic voltammetry (CV) is used to determine approximate deposition potentials for each element. The amperometric I-t technique is used to fabricate the semiconductor alloy. The elements are deposited in the following sequence: (Se/Pb/Se/Pb/Se/Pb/Se/Pb/Se/Sn ...), each period is formed using four ALD cycles of PbSe followed by one cycle of SnSe. Then the deposition manner above is cyclic repeated till a satisfactory film with expected thickness of Pb{sub 1-x}Sn{sub x}Se is obtained. The morphology of the deposit is observed by field emission scanning electron microscopy (FE-SEM). X-ray diffraction (XRD) pattern is used to study its crystalline structure; X-ray photoelectron spectroscopy (XPS) of the deposit indicates an approximate ratio 1.0:0.8:0.2 of Se, Pb and Sn, as the expected stoichiometry for the deposit. Open-circuit potential (OCP) studies indicate a good p-type property, and the good optical activity makes it suitable for fabricating a photoelectric switch.

  17. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  18. Ecofriendly and Nonvacuum Electrostatic Spray-Assisted Vapor Deposition of Cu(In,Ga)(S,Se)2 Thin Film Solar Cells.

    Science.gov (United States)

    Hossain, Md Anower; Wang, Mingqing; Choy, Kwang-Leong

    2015-10-14

    Chalcopyrite Cu(In,Ga)(S,Se)2 (CIGSSe) thin films have been deposited by a novel, nonvacuum, and cost-effective electrostatic spray-assisted vapor deposition (ESAVD) method. The generation of a fine aerosol of precursor solution, and their controlled deposition onto a molybdenum substrate, results in adherent, dense, and uniform Cu(In,Ga)S2 (CIGS) films. This is an essential tool to keep the interfacial area of thin film solar cells to a minimum value for efficient charge separation as it helps to achieve the desired surface smoothness uniformity for subsequent cadmium sulfide and window layer deposition. This nonvacuum aerosol based approach for making the CIGSSe film uses environmentally benign precursor solution, and it is cheaper for producing solar cells than that of the vacuum-based thin film solar technology. An optimized CIGSSe thin film solar cell with a device configuration of molybdenum-coated soda-lime glass substrate/CIGSSe/CdS/i-ZnO/AZO shows the photovoltaic (j-V) characteristics of Voc=0.518 V, jsc=28.79 mA cm(-2), fill factor=64.02%, and a promising power conversion efficiency of η=9.55% under simulated AM 1.5 100 mW cm(-2) illuminations, without the use of an antireflection layer. This demonstrates the potential of ESAVD deposition as a promising alternative approach for making thin film CIGSSe solar cells at a lower cost.

  19. Excimer Laser Deposition of PLZT Thin Films

    National Research Council Canada - National Science Library

    Petersen, GAry

    1991-01-01

    .... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.

  20. Effect of composition on SILAR deposited CdxZn1-xS thin films

    Science.gov (United States)

    Ashith V., K.; Gowrish Rao, K.

    2018-04-01

    In the group of II-VI compound semiconductor, cadmium zinc sulphide (CdxZn1-xS) thin films have broad application in photovoltaic, optoelectronic devices etc. For heterojunction aspects, CdxZn1-xS thin film can be used as heterojunction partner for CdTe as the absorber layer. In this work, CdZnS thin films prepared on glass substrates by Successive Ion Layer Adsorption and Reaction (SILAR) method by varying the composition. The XRD patterns of deposited films showed polycrystalline with the hexagonal phase. The crystallite size of the films was estimated from W-H plot. The bond length of the film varied w.r.to the composition of the CdxZn1-xS films. The urbach energy of the films was calcualted from absorbance data.

  1. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  2. Influences of Indium Tin Oxide Layer on the Properties of RF Magnetron-Sputtered (BaSr)TiO3 Thin Films on Indium Tin Oxide-Coated Glass Substrate

    Science.gov (United States)

    Kim, Tae Song; Oh, Myung Hwan; Kim, Chong Hee

    1993-06-01

    Nearly stoichiometric ((Ba+Sr)/Ti=1.08-1.09) and optically transparent (BaSr)TiO3 thin films were deposited on an indium tin oxide (ITO)-coated glass substrate by means of rf magnetron sputtering for their application to the insulating layer of an electroluminescent flat panel display. The influence of the ITO layer on the properties of (BaSr)TiO3 thin films deposited on the ITO-coated substrate was investigated. The ITO layer did not affect the crystallographic orientation of (BaSr)TiO3 thin film, but enhanced the grain growth. Another effect of the ITO layer on (BaSr)TiO3 thin films was the interdiffusion phenomenon, which was studied by means of secondary ion mass spectrometry (SIMS). As the substrate temperature increased, interdiffusion intensified at the interface not only between the grown film and ITO layer but also between the ITO layer and base glass substrate. The refractive index (nf) of (BaSr)TiO3 thin film deposited on a bare glass substrate was 2.138-2.286, as a function of substrate temperature.

  3. Thin layer activation and ultra thin layer activation: two complementary techniques for wear and corrosion studies in various fields

    International Nuclear Information System (INIS)

    Sauvage, T.; Vincent, L.; Blondiaux, G.

    2002-01-01

    Thin layer activation (TLA) is widely used since more than 25 years to study surface wear or corrosion. This well known technique uses most of the time charged particles activation, which gives sensitivity in the range of the micrometer, except when the fluid mode of detection is utilized. In this case application of the method is limited to phenomena where we have transport of radioactive fragments to detection point. The main disadvantage of this procedure is the error due to trapping phenomena between the wear or corrosion point and detection setup. So the ultra thin layer activation (UTLA) has been developed to get nanometric sensitivity without using any fluid for radioactivity transportation, which is the main source of error of the TLA technique. In this paper we shall briefly describe the TLA technique and the most important fields of application. Then we shall emphasise on UTLA with a presentation of the principle of the method and actual running of application. The main problem concerning UTLA is calibration which requires the use of thin films (usually 10 to 100 nanometers) deposited on substrate. This process is time consuming and we shall demonstrate how running software developed in the lab can solve it. We shall finish the presentation by giving some potential application of the technique in various fields. (authors)

  4. Engineering Particle Surface Chemistry and Electrochemistry with Atomic Layer Deposition

    Science.gov (United States)

    Jackson, David Hyman Kentaro

    Atomic layer deposition (ALD) is a vapor phase thin film coating technique that relies on sequential pulsing of precursors that undergo self-limited surface reactions. The self- limiting reactions and gas phase diffusion of the precursors together enable the conformal coating of microstructured particles with a high degree of thickness and compositional control. ALD may be used to deposit thin films that introduce new functionalities to a particle surface. Examples of new functionalities include: chemical reactivity, a mechanically strong protective coating, and an electrically resistive layer. The coatings properties are often dependent on the bulk properties and microstructure of the particle substrate, though they usually do not affect its bulk properties or microstructure. Particle ALD finds utility in the ability to synthesize well controlled, model systems, though it is expensive due to the need for costly metal precursors that are dangerous and require special handling. Enhanced properties due to ALD coating of particles in various applications are frequently described empirically, while the details of their enhancement mechanisms often remain the focus of ongoing research in the field. This study covers the various types of particle ALD and attempts to describe them from the unifying perspective of surface science.

  5. Deposition of Chitosan Layers on NiTi Shape Memory Alloy

    Directory of Open Access Journals (Sweden)

    Kowalski P.

    2015-04-01

    Full Text Available The NiTi shape memory alloys have been known from their application in medicine for implants as well as parts of medical devices. However, nickel belongs to the family of elements, which are toxic. Apart from the fact that nickel ions are bonded with titanium into intermetallic phase, their presence may cause allergy. In order to protect human body against release of nickel ions a surface of NiTi alloy can be modified with use of titanium nitrides, oxides or diamond-like layers. On the one hand the layers can play protective role but on the other hand they may influence shape memory behavior. Too stiff or too brittle layer can lead to limiting or completely blocking of the shape recovery. It was the reason to find more elastic covers for NiTi surface protection. This feature is characteristic for polymers, especially, biocompatible ones, which originate in nature. In the reported paper, the chitosan was applied as a deposited layer on surface of the NiTi shape memory alloy. Due to the fact that nature of shape memory effect is sensitive to thermo and/or mechanical treatments, the chitosan layer was deposited with use of electrophoresis carried out at room temperature. Various deposition parameters were checked and optimized. In result of that thin chitosan layer (0.45µm was received on the NiTi alloy surface. The obtained layers were characterized by means of chemical and phase composition, as well as surface quality. It was found that smooth, elastic surface without cracks and/or inclusions can be produced applying 10V and relatively short deposition time - 30 seconds.

  6. Enhanced electrical and optical properties of CdS:Na thin films by photochemical deposition

    Science.gov (United States)

    Kumar, V. Nirmal; Suriakarthick, R.; Gopalakrishnan, R.; Hayakawa, Y.

    2017-06-01

    CdS:Na thin film was deposited on a glass substrate by photochemical deposition from aqueous solution contained CdSO4.5H2O and Na2S2O3 as cation and anion sources, respectively. The anion source Na2S2O3 served as Na dopant source. The deposited film exhibited cubic phase of CdS and incorporation of Na was revealed from X-ray diffraction study. The incorporation of Na in CdS changed the surface morphology from spherical to nano rods. CdS:Na thin film showed blue shift in its absorption spectrum which was more desirable for transmitting higher energy photons (visible region) in thin film solar cells. The Raman analysis confirmed 1 LO and 2 LO process at 297 and 593 cm-1, respectively. The carrier concentration of CdS increased with the inclusion of Na and its resistivity value decreased. Both the electrical and optical properties of CdS were enhanced in CdS:Na thin films which was desirable as a window layer material for photovoltaic application.

  7. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom)

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films.

  8. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films

  9. Thin plasma-polymerized layers of hexamethyldisiloxane for humidity sensor development

    International Nuclear Information System (INIS)

    Guermat, N.; Bellel, A.; Sahli, S.; Segui, Y.; Raynaud, P.

    2009-01-01

    The response of resistive-type sensors based on thin hexamethyldisiloxane layers to relative humidity (RH) was evaluated. Humidity sensitive layers were plasma polymerized at low frequency glow discharge using a capacitively coupled parallel plate reactor. The sensor design comprises the absorbing layer deposited on clean glass substrate with comb-shape aluminum electrodes (interdigitated structure). The change in electrical impedance of the sensing film was monitored as the device was exposed to humidity. The variation of the plasma-polymerization parameters resulted in different humidity sensing properties which could be correlated to the results of Fourier transform infrared spectroscopy (FTIR). The deposited films exhibited a detectable response to RH ranging from 30 to 95% with low hysteresis, good reproducibility and stability in long-term use. Films with a greater thickness showed a significant decrease in the humidity sensing capability. FTIR analysis revealed the presence of SiH bonding groups, which are frequently linked to the film density. The increase in the plasma discharge power induced also a significant decrease in the diffusion process of water vapor inside the sensitive layer bulk.

  10. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  11. Low Energy Scanned Electron-Beam Dose Distribution in Thin Layers

    DEFF Research Database (Denmark)

    McLaughlin, W. L.; Hjortenberg, P. E.; Pedersen, Walther Batsberg

    1975-01-01

    Thin radiochromic dye film dosimeters, calibrated by means of calorimetry, make possible the determination of absorbed-dose distributions due to low-energy scanned electron beam penetrations in moderately thin coatings and laminar media. For electrons of a few hundred keV, calibrated dosimeters...... of about 30–60 μm thickness may be used in stacks or interleaved between layers of materials of interest and supply a sufficient number of experimental data points throughout the depth of penetration of electrons to provide a depth-dose curve. Depth doses may be resolved in various polymer layers...... on different backings (wood, aluminum, and iron) for scanned electron beams (Emax = 400 keV) having a broad energy spectrum and diffuse incidence, such as those used in radiation curing of coatings, textiles, plastics, etc. Theoretical calculations of such distributions of energy depositions are relatively...

  12. Thickness dependent growth of low temperature atomic layer deposited zinc oxide films

    International Nuclear Information System (INIS)

    Montiel-González, Z.; Castelo-González, O.A.; Aguilar-Gama, M.T.; Ramírez-Morales, E.; Hu, H.

    2017-01-01

    Highlights: • Polycrystalline columnar ZnO thin films deposited by ALD at low temperatures. • Higher deposition temperature leads to a greater surface roughness in the ALD ZnO films. • Higher temperature originates larger refractive index values of the ALD ZnO films. • ZnO thin films were denser as the numbers of ALD deposition cycles were larger. • XPS analysis revels mayor extent of the DEZ reaction during the ALD process. - Abstract: Zinc oxide films are promising to improve the performance of electronic devices, including those based on organic materials. However, the dependence of the ZnO properties on the preparation conditions represents a challenge to obtain homogeneous thin films that satisfy specific applications. Here, we prepared ZnO films of a wide range of thicknesses by atomic layer deposition (ALD) at relatively low temperatures, 150 and 175 °C. From the results of X-ray photoelectron spectroscopy, X-ray diffraction and Spectroscopic Ellipsometry it is concluded that the polycrystalline structure of the wurtzite is the main phase of the ALD samples, with OH groups on their surface. Ellipsometry revealed that the temperature and the deposition cycles have a strong effect on the films roughness. Scanning electron micrographs evidenced such effect, through the large pyramids developed at the surface of the films. It is concluded that crystalline ZnO thin films within a broad range of thickness and roughness can be obtained for optic or optoelectronic applications.

  13. Production of porous PTFE-Ag composite thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kecskeméti, Gabriella; Hopp, Béla; Smausz, Tomi; Tóth, Zsolt; Szabó, Gábor

    2012-01-01

    The suitability of pulsed laser deposition technique for preparation of polytetrafluoroethylene (PTFE) and silver (Ag) composite thin films was demonstrated. Disk-shaped targets combined from silver and Teflon with various percentages were ablated with pulses of an ArF excimer laser. The chemical composition of the deposited layers was estimated based on deposition rates determined for the pure PTFE and Ag films. EDX and SEM analyses using secondary electron and backscattered electron images proved that the morphology of the layers is determined by the PTFE which is the main constituent and it is transferred mostly in form of grains and clusters forming a sponge-like structure with high specific surface. The Ag content is distributed over the surface of the PTFE structure. Contact angle measurements showed that with increasing the amount of Ag in the deposited layers the surface significantly enhanced the wetting properties. Conductivity experiments demonstrated that when the average silver content of the layers was increased from 0.16 to 3.28 wt% the resistance of our PTFE-Ag composite films decreased with about three orders of magnitudes (from ∼10 MΩ to ∼10 kΩ). The properties of these films suggest as being a good candidate for future electrochemical sensor applications.

  14. Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Golnaz Karbasian

    2017-03-01

    Full Text Available Single electron transistors are nanoscale electron devices that require thin, high-quality tunnel barriers to operate and have potential applications in sensing, metrology and beyond-CMOS computing schemes. Given that atomic layer deposition is used to form CMOS gate stacks with low trap densities and excellent thickness control, it is well-suited as a technique to form a variety of tunnel barriers. This work is a review of our recent research on atomic layer deposition and post-fabrication treatments to fabricate metallic single electron transistors with a variety of metals and dielectrics.

  15. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  16. The effect of Cr buffer layer thickness on voltage generation of thin-film thermoelectric modules

    International Nuclear Information System (INIS)

    Mizoshiri, Mizue; Mikami, Masashi; Ozaki, Kimihiro

    2013-01-01

    The effect of Cr buffer layer thickness on the open-circuit voltage generated by thin-film thermoelectric modules of Bi 0.5 Sb 1.5 Te 3 (p-type) and Bi 2 Te 2.7 Se 0.3 (n-type) materials was investigated. A Cr buffer layer, whose thickness generally needs to be optimized to improve adhesion depending on the substrate surface condition, such as roughness, was deposited between thermoelectric thin films and glass substrates. When the Cr buffer layer was 1 nm thick, the Seebeck coefficients and electrical conductivity of 1 µm thermoelectric thin films with the buffer layers were approximately equal to those of the thermoelectric films without the buffer layers. When the thickness of the Cr buffer layer was 1 µm, the same as the thermoelectric films, the Seebeck coefficients of the bilayer films were reduced by an electrical current flowing inside the Cr buffer layer and the generation of Cr 2 Te 3 . The open-circuit voltage of the thin-film thermoelectric modules decreased with an increase in the thickness of the Cr buffer layer, which was primarily induced by the electrical current flow. The reduction caused by the Cr 2 Te 3 generation was less than 10% of the total voltage generation of the modules without the Cr buffer layers. The voltage generation of thin-film thermoelectric modules could be controlled by the Cr buffer layer thickness. (paper)

  17. Epitaxial c-axis oriented BaTiO3 thin films on SrTiO3-buffered Si(001) by atomic layer deposition

    International Nuclear Information System (INIS)

    Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G.; Posadas, Agham B.; Demkov, Alexander A.; Hu, Chengqing; Yu, Edward T.; Bruley, John

    2014-01-01

    Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO 3 (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO 3 (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225  °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600  °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure

  18. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  19. Lanthanide-Assisted Deposition of Strongly Electro-optic PZT Thin Films on Silicon: Toward Integrated Active Nanophotonic Devices.

    Science.gov (United States)

    George, J P; Smet, P F; Botterman, J; Bliznuk, V; Woestenborghs, W; Van Thourhout, D; Neyts, K; Beeckman, J

    2015-06-24

    The electro-optical properties of lead zirconate titanate (PZT) thin films depend strongly on the quality and crystallographic orientation of the thin films. We demonstrate a novel method to grow highly textured PZT thin films on silicon using the chemical solution deposition (CSD) process. We report the use of ultrathin (5-15 nm) lanthanide (La, Pr, Nd, Sm) based intermediate layers for obtaining preferentially (100) oriented PZT thin films. X-ray diffraction measurements indicate preferentially oriented intermediate Ln2O2CO3 layers providing an excellent lattice match with the PZT thin films grown on top. The XRD and scanning electron microscopy measurements reveal that the annealed layers are dense, uniform, crack-free and highly oriented (>99.8%) without apparent defects or secondary phases. The EDX and HRTEM characterization confirm that the template layers act as an efficient diffusion barrier and form a sharp interface between the substrate and the PZT. The electrical measurements indicate a dielectric constant of ∼650, low dielectric loss of ∼0.02, coercive field of 70 kV/cm, remnant polarization of 25 μC/cm(2), and large breakdown electric field of 1000 kV/cm. Finally, the effective electro-optic coefficients of the films are estimated with a spectroscopic ellipsometer measurement, considering the electric field induced variations in the phase reflectance ratio. The electro-optic measurements reveal excellent linear effective pockels coefficients of 110 to 240 pm/V, which makes the CSD deposited PZT thin film an ideal candidate for Si-based active integrated nanophotonic devices.

  20. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  1. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  2. Effect of the substrate surface topology and temperature on the structural properties of ZnO layers obtained by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kitova, S; Danev, G, E-mail: skitova@clf.bas.b [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria)

    2010-04-01

    In this work thin ZnO layers were grown by metal-organic PECVD (RF 13.56 MHz) on Si wafers. Zn acetylacetonate was used as a precursor and oxygen as oxidant. A system for dosed injection of the precursor and oxidant into the plasma reactor was developed. The influence of the substrate surface topology and temperature on the structural properties of the deposited layers was studied. ZnO and graphite powder dispersions were used to modify the silicon wafers before starting the deposition process of the layers. Some of the ZnO layers were deposited on the back, unpolished, side of Si wafers. Depositions at 400 {sup 0}C were performed to examine the effect of the substrate temperatures on the layer growth. The film structure was examined by XRD and SEM. The results show that all layers are crystalline with hexagonal wurtzite structure. The crystallites are preferentially oriented along the c-axis direction perpendicular to the substrate surfaces. ZnO layers deposited on thin ZnO seed films and clean Si surface exhibit well-developed grain structures and more c-axis preferred phase with better crystal quality than that of the layers deposited on graphite seed layer or rough, unpolished Si wafer.

  3. Characterization and gas-sensing behavior of an iron oxide thin film prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Aronniemi, Mikko; Saino, J.; Lahtinen, J.

    2008-01-01

    In this work we investigate an iron oxide thin film grown with atomic layer deposition for a gas sensor application. The objective is to characterize the structural, chemical, and electrical properties of the film, and to demonstrate its gas-sensitivity. The obtained scanning electron microscopy and atomic force microscopy results indicate that the film has a granular structure and that it has grown mainly on the glass substrate leaving the platinum electrodes uncovered. X-ray diffraction results show that iron oxide is in the α-Fe 2 O 3 (hematite) phase. X-ray photoelectron spectra recorded at elevated temperature imply that the surface iron is mainly in the Fe 3+ state and that oxygen has two chemical states: one corresponding to the lattice oxygen and the other to adsorbed oxygen species. Electric conductivity has an activation energy of 0.3-0.5 eV and almost Ohmic current-voltage dependency. When exposed to O 2 and CO, a typical n-type response is observed

  4. The Electrical Properties of Plasma-Deposited Thin Films Derived from Pelargonium graveolens

    Directory of Open Access Journals (Sweden)

    Ahmed Al-Jumaili

    2017-10-01

    Full Text Available Inherently volatile at atmospheric pressure and room temperature, plant-derived precursors present an interesting human-health-friendly precursor for the chemical vapour deposition of thin films. The electrical properties of films derived from Pelargonium graveolens (geranium were investigated in metal–insulator–metal (MIM structures. Thin polymer-like films were deposited using plasma-enhanced synthesis under various plasma input power. The J–V characteristics of thus-fabricated MIM were then studied in order to determine the direct current (DC conduction mechanism of the plasma polymer layers. It was found that the capacitance of the plasma-deposited films decreases at low frequencies (C ≈ 10−11 and remains at a relatively constant value (C ≈ 10−10 at high frequencies. These films also have a low dielectric constant across a wide range of frequencies that decreases as the input RF power increases. The conductivity was determined to be around 10−16–10−17 Ω−1 m−1, which is typical for insulating materials. The Richardson–Schottky mechanism might dominate charge transport in the higher field region for geranium thin films.

  5. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  6. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  7. Microstructural and conductivity changes induced by annealing of ZnO:B thin films deposited by chemical vapour deposition

    International Nuclear Information System (INIS)

    David, C; Girardeau, T; Paumier, F; Eyidi, D; Guerin, P; Marteau, M; Lacroix, B; Papathanasiou, N; Tinkham, B P

    2011-01-01

    Zinc oxide (ZnO) thin films have attracted much attention in recent years due to progress in crystal growth for a large variety of technological applications including optoelectronics and transparent electrodes in solar cells. Boron (B)-doped ZnO thin films are deposited by low pressure chemical vapour deposition (LPCVD) on Si(100). These films exhibit a strong (002) texture with a pyramidal grain structure. The ZnO films were annealed after growth; the annealing temperature and the atmosphere appear to strongly impact the layer conductivity. This work will first present the modification of the physical properties (carrier concentration, mobility) extracted from the simulation of layer reflection in the infrared range. At low annealing temperatures the mobility increases slightly before decreasing drastically above a temperature close to 250 deg. C. The chemical and structural evolution (XPS, x-ray diffraction) of the films was also studied to identify the relationship between microstructural modifications and the variations observed in the film conductivity. An in situ XRD study during annealing has been performed under air and low pressure conditions. As observed for electrical properties, the microstructural modifications shift to higher temperatures for vacuum annealing.

  8. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lindahl, Johan, E-mail: johan.lindahl@angstrom.uu.se; Hägglund, Carl, E-mail: carl.hagglund@angstrom.uu.se; Wätjen, J. Timo, E-mail: timo.watjen@angstrom.uu.se; Edoff, Marika, E-mail: marika.edoff@angstrom.uu.se; Törndahl, Tobias, E-mail: tobias.torndahl@angstrom.uu.se

    2015-07-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO{sub x} ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm{sup 3} in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap.

  9. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    International Nuclear Information System (INIS)

    Lindahl, Johan; Hägglund, Carl; Wätjen, J. Timo; Edoff, Marika; Törndahl, Tobias

    2015-01-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO x ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm 3 in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap

  10. Synthesis of few-layer, large area hexagonal-boron nitride by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Glavin, Nicholas R. [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States); School of Mechanical Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States); Jespersen, Michael L. [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States); University of Dayton Research Institute, 300 College Park, Dayton, OH 45469 (United States); Check, Michael H. [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States); Hu, Jianjun [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States); University of Dayton Research Institute, 300 College Park, Dayton, OH 45469 (United States); Hilton, Al M. [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States); Wyle Laboratories, Dayton, OH 45433 (United States); Fisher, Timothy S. [School of Mechanical Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, Andrey A. [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States)

    2014-12-01

    Pulsed laser deposition (PLD) has been investigated as a technique for synthesis of ultra-thin, few-layer hexagonal boron nitride (h-BN) thin films on crystalline highly ordered pyrolytic graphite (HOPG) and sapphire (0001) substrates. The plasma-based processing technique allows for increased excitations of deposited atoms due to background nitrogen gas collisional ionizations and extended resonance time of the energetic species presence at the condensation surface. These processes permit growth of thin, polycrystalline h-BN at 700 °C, a much lower temperature than that required by traditional growth methods. Analysis of the as-deposited films reveals epitaxial-like growth on the nearly lattice matched HOPG substrate, resulting in a polycrystalline h-BN film, and amorphous BN (a-BN) on the sapphire substrates, both with thicknesses of 1.5–2 nm. Stoichiometric films with boron-to-nitrogen ratios of unity were achieved by adjusting the background pressure within the deposition chamber and distance between the target and substrate. The reduction in deposition temperature and formation of stoichiometric, large-area h-BN films by PLD provide a process that is easily scaled-up for two-dimensional dielectric material synthesis and also present a possibility to produce very thin and uniform a-BN. - Highlights: • PLD was used to synthesize boron nitride thin films on HOPG and sapphire substrates. • Lattice matched substrate allowed for formation of polycrystalline h-BN. • Nitrogen gas pressure directly controlled film chemistry and structure. • Technique allows for ultrathin, uniform films at reduced processing temperatures.

  11. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO_2 thin films to produce a new hybrid material coating

    International Nuclear Information System (INIS)

    Drevet, R.; Dragoé, D.; Barthés-Labrousse, M.G.; Chaussé, A.; Andrieux, M.

    2016-01-01

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO_2 thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO_2 thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO_2) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO_2 layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  12. Formation of a ZnS/Zn(S,O) bilayer buffer on CuInS2 thin film solar cell absorbers by chemical bath deposition

    Science.gov (United States)

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; Sáez-Araoz, R.; Allsop, N.; Lauermann, I.; Schock, H.-W.; Lux-Steiner, M. C.

    2006-06-01

    The application of Zn compounds as buffer layers was recently extended to wide-gap CuInS2 (CIS) based thin film solar cells. Using an alternative chemical deposition route for the buffer preparation aiming at the deposition of a single-layer, nominal ZnS buffer without the need for any toxic reactants such as hydrazine has helped us to achieve a similar efficiency as respective CdS-buffered reference devices. In order to shed light on the differences of other Zn-compound buffers deposited in conventional chemical baths [chemical bath deposition (CBD)] compared to the buffer layers deposited by this alternative CBD process, the composition of the deposited buffers was investigated by x-ray excited Auger electron and x-ray photoelectron spectroscopy to potentially clarify their superiority in terms of device performance. We have found that in the early stages of this alternative CBD process a thin ZnS layer is formed on the CIS, whereas in the second half of the CBD the growth rate is greatly increased and Zn(S,O) with a ZnS/(ZnS+ZnO) ratio of ~80% is deposited. Thus, a ZnS/Zn(S,O) bilayer buffer is deposited on the CIS thin film solar cell absorbers by the alternative chemical deposition route used in this investigation. No major changes of these findings after a postannealing of the buffer/CIS sample series and recharacterization could be identified.

  13. Redox process at solid-liquid interfaces: studies with thin layers of green rusts electrodeposited on inert substrates

    International Nuclear Information System (INIS)

    Peulon, S.; Taghdai, Y.; Mercier, F.; Barre, N.; Legrand, L.; Chauss, A.

    2005-01-01

    Full text of publication follows: The redox reactions which can occur between radioelements and natural phases in the environment are taken still little into account although their importance is established on natural sites; the consequences are significant since they can modify radically the behaviour of the species by increasing or decreasing their migration. The iron compounds are very implicated in these redox processes because iron is one of the most abundant element on earth; moreover, it is also present in the containers used for the storage of the nuclear waste. We exhibited in previous works that electrochemistry is a convenient way to generate the main iron oxidation compounds as thin layers on different inert substrates. The electrochemical behaviour of these deposits that are adherent, homogeneous and well crystallized [1-3], was investigated with the principle advantage that iron metal and its reactivity is eliminate. Moreover, they could be analysed directly by techniques like IRRAS, XRD, SEM, EDS and XPS without any preparation. In the present study, we develop an original way to investigate redox processes at solid-liquid interfaces based on the utilisation of these thin layers; the samples are more commonly powders and/or pieces of corroded steel in the literature. Results obtained with two different systems, chromate and uranyl ions, in interaction with thin layers of sulfated green rusts are presented. Green rusts is chosen because it is a mixed Fe(II-III) compound which could be formed in anoxic conditions like in the case of the storage of the nuclear waste. After various contact times with the solutions containing the reactive species, the thin layers are characterised by different ex-situ methods. The results show clearly the oxidation of the green rust into a Fe(III) compound and the formation of a new solid phase on the electrode due to the reduction and the precipitation of the reactive species present initially in solution. Because thin

  14. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  15. Sol-gel deposition and electrical properties of laser irradiated Cu doped TiO2 multilayer thin films

    Directory of Open Access Journals (Sweden)

    M.I. Khan

    Full Text Available Multilayer thin films (3, 5 and 7 of 20% copper doped titanium dioxide (Cu:TiO2 have been deposited on glass substrates by sol-gel spin coating method. After deposition, films have been irradiated by a beam of continuous wave diode laser (532 nm for two minutes at the angle of 45°. Structural, surface morphology and electrical properties of films have been investigated by X-rays diffraction (XRD, scanning electron microscope (SEM and four point probe technique respectively. XRD shows the formation of titanium copper oxide. Surface morphology of thin films indicated that the average grain size is increased by increasing the number of layers. The average sheet resistivity of 3, 5 and 7 layers of thin films measured by four point probe technique is 2.2 × 104, 1.2 × 104 and 1.0 × 104 (Ohm-cm respectively. The present study will facilitate a cost effective and environmental friendly study for several properties of materials. Keywords: Cu:TiO2, Multilayer thin films, Diode laser

  16. Modeling growth kinetics of thin films made by atomic layer deposition in lateral high-aspect-ratio structures

    Science.gov (United States)

    Ylilammi, Markku; Ylivaara, Oili M. E.; Puurunen, Riikka L.

    2018-05-01

    The conformality of thin films grown by atomic layer deposition (ALD) is studied using all-silicon test structures with long narrow lateral channels. A diffusion model, developed in this work, is used for studying the propagation of ALD growth in narrow channels. The diffusion model takes into account the gas transportation at low pressures, the dynamic Langmuir adsorption model for the film growth and the effect of channel narrowing due to film growth. The film growth is calculated by solving the diffusion equation with surface reactions. An efficient analytic approximate solution of the diffusion equation is developed for fitting the model to the measured thickness profile. The fitting gives the equilibrium constant of adsorption and the sticking coefficient. This model and Gordon's plug flow model are compared. The simulations predict the experimental measurement results quite well for Al2O3 and TiO2 ALD processes.

  17. Atomic layer deposition of Ru thin film using N{sub 2}/H{sub 2} plasma as a reactant

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Tae Eun [Busan Center, Korea Basic Science Institute, 1275 Jisadong, Gangseogu, Busan, 618-230 (Korea, Republic of); Mun, Ki-Yeung; Choi, Sang-Kyung; Park, Ji-Yoon [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Cheon, Taehoon [Center for Core Research Facilities, Daegu Gyeongbuk Institute of Science and Technology, Sang-ri, Hyeonpung-myeon, Dalseong-gun, Daegu (Korea, Republic of); Kim, Woo Kyoung [School of Chemical Engineering, Yeungnam University, 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Lim, Byoung-Yong; Kim, Sunjung [School of Materials Science and Engineering, University of Ulsan, Mugeo-dong, Nam-go, Ulsan, 680-749 (Korea, Republic of)

    2012-07-31

    Ruthenium (Ru) thin films were grown by atomic layer deposition using IMBCHRu [({eta}6-1-Isopropyl-4-MethylBenzene)({eta}4-CycloHexa-1,3-diene)Ruthenium(0)] as a precursor and a nitrogen-hydrogen mixture (N{sub 2}/H{sub 2}) plasma as a reactant, at the substrate temperature of 270 Degree-Sign C. In the wide range of the ratios of N{sub 2} and total gas flow rates (fN{sub 2}/N{sub 2} + H{sub 2}) from 0.12 to 0.70, pure Ru films with negligible nitrogen incorporation of 0.5 at.% were obtained, with resistivities ranging from {approx} 20 to {approx} 30 {mu} Ohm-Sign cm. A growth rate of 0.057 nm/cycle and negligible incubation cycle for the growth on SiO{sub 2} was observed, indicating the fast nucleation of Ru. The Ru films formed polycrystalline and columnar grain structures with a hexagonal-close-packed phase. Its resistivity was dependent on the crystallinity, which could be controlled by varying the deposition parameters such as plasma power and pulsing time. Cu was electroplated on a 10-nm-thick Ru film. Interestingly, it was found that the nitrogen could be incorporated into Ru at a higher reactant gas ratio of 0.86. The N-incorporated Ru film ({approx} 20 at.% of N) formed a nanocrystalline and non-columnar grain structure with the resistivity of {approx} 340 {mu} Ohm-Sign cm. - Highlights: Black-Right-Pointing-Pointer Atomic layer deposition (ALD) of Ru and N-incorporated Ru film using N{sub 2}/H{sub 2} plasma. Black-Right-Pointing-Pointer The growth rate of 0.057 nm/cycle and negligible incubation cycle. Black-Right-Pointing-Pointer A low resistivity of Ru ({approx} 16.5 {mu} Ohm-Sign cm) at the deposition temperature of 270 Degree-Sign C. Black-Right-Pointing-Pointer Electroplating of Cu on a 10-nm-thick ALD-Ru film.

  18. Atomic layer deposition synthesis and evaluation of core–shell Pt-WC electrocatalysts

    International Nuclear Information System (INIS)

    Hsu, Irene J.; Chen, Jingguang G.; Jiang, Xiaoqiang; Willis, Brian G.

    2015-01-01

    Pt-WC core shell particles were produced using atomic layer deposition (ALD) to deposit Pt layers onto WC particle substrates. A range of Pt depositions were used to determine the growth mechanism for the Pt-WC powder system. TEM imaging and Cu stripping voltammetry found that Pt ALD growth on WC powder substrates was similar to that on WC thin films. However, excess free carbon was found to affect Pt ALD by blocking adsorption sites on WC. The Pt-WC samples were evaluated for the oxygen reduction reaction using a rotating disk electrode to obtain quantitative activity information. The mass and specific activities for the 30 and 50 ALD cycle samples were found to be comparable to a 10 wt. % Pt/C catalyst. However, higher overpotentials and lower limiting currents were observed with ALD Pt-WC compared to Pt/C catalysts, indicating that the oxygen reduction mechanism is not as efficient on Pt-WC as on bulk Pt. Additionally, these Pt-WC catalysts were used to demonstrate hydrogen evolution reaction activity and were found to perform as well as bulk Pt catalyst but with a fraction of the Pt loading, in agreement with the previous work on Pt-WC thin film catalysts

  19. Modification of metal–InGaAs Schottky barrier behaviour by atomic layer deposition of ultra-thin Al2O3 interlayers

    International Nuclear Information System (INIS)

    Chauhan, Lalit; Gupta, Suman; Jaiswal, Piyush; Bhat, Navakanta; Shivashankar, S.A.; Hughes, G.

    2015-01-01

    The effect of inserting ultra-thin atomic layer deposited Al 2 O 3 dielectric layers (1 nm and 2 nm thick) on the Schottky barrier behaviour for high (Pt) and low (Al) work function metals on n- and p-doped InGaAs substrates has been investigated. Rectifying behaviour was observed for the p-type substrates (both native oxide and sulphur passivated) for both the Al/p-InGaAs and Al/Al 2 O 3 /p-InGaAs contacts. The Pt contacts directly deposited on p-InGaAs displayed evidence of limited rectification which increased with Al 2 O 3 interlayer thickness. Ohmic contacts were formed for both metals on n-InGaAs in the absence of an Al 2 O 3 interlayer, regardless of surface passivation. However, limited rectifying behaviour was observed for both metals on the 2 nm Al 2 O 3 /n-InGaAs samples for the sulphur passivated InGaAs surface, indicating the importance of both surface passivation and the presence of an ultra-thin dielectric interlayer on the current–voltage characteristics displayed by these devices. - Highlights: • Investigation of the modification of metal–InGaAs Schottky barrier (SB) behaviour • Improving metal–InGaAs interface by sulphur passivation and ultrathin interlayer • Examine the effect of low work function and high work function metals on SB • Different SB behaviours observed on both n-type InGaAs and p-type InGaAs • Metal/n-InGaAs interface is more strongly pinned than the metal/p-InGaAs interface

  20. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  1. Optimized plasma-deposited fluorocarbon coating for dry release and passivation of thin SU-8 cantilevers

    DEFF Research Database (Denmark)

    Keller, Stephan Urs; Häfliger, Daniel; Boisen, Anja

    2008-01-01

    during fluorocarbon deposition, the surface free energy of the coating can be tuned to allow for uniform wetting during spin coating of arbitrary thin SU-8 films. Further, they define an optimal pressure regime for the release of thin polymer structures at high yield. They demonstrate the successful......Plasma-deposited fluorocarbon coatings are introduced as a convenient method for the dry release of polymer structures. In this method, the passivation process in a deep reactive ion etch reactor was used to deposit hydrophobic fluorocarbon films. Standard photolithography with the negative epoxy......-based photoresist SU-8 was used to fabricate polymer structures such as cantilevers and membranes on top of the nonadhesive release layer. The authors identify the plasma density as the main parameter determining the surface properties of the deposited fluorocarbon films. They show that by modifying the pressure...

  2. Influence of deposition parameters and annealing on Cu2ZnSnS4 thin films grown by SILAR

    International Nuclear Information System (INIS)

    Patel, Kinjal; Shah, Dimple V.; Kheraj, Vipul

    2015-01-01

    Highlights: • Optimisation of Cu 2 ZnSnS 4 (CZTS) thin film deposition using SILAR method. • Study on effects of annealing at different temperature under two different ambients, viz. sulphur and tin sulphide. • Formation of CZTS thin films with good crystalline quality confirmed by XRD and Raman spectra. - Abstract: Cu 2 ZnSnS 4 (CZTS) thin films were deposited on glass substrates using Successive Ionic Layer Adsorption and Reaction (SILAR) technique at the room-temperature. The deposition parameters such as concentration of precursors and number of cycles were optimised for the deposition of uniform CZTS thin films. Effects of annealing at different temperature under two different ambient, viz. sulphur and tin sulphide have also been investigated. The structural and optical properties of the films were studied using X-ray diffraction, scanning electron microscopy, Raman spectroscopy and UV-visible spectra in light with the deposition parameters and annealing conditions. It is observed that a good quality CZTS film can be obtained by SILAR at room temperature followed by annealing at 500 °C in presence of sulphur

  3. Crystalline Molybdenum Oxide Thin-Films for Application as Interfacial Layers in Optoelectronic Devices

    DEFF Research Database (Denmark)

    Fernandes Cauduro, André Luis; dos Reis, Roberto; Chen, Gong

    2017-01-01

    The ability to control the interfacial properties in metal-oxide thin films through surface defect engineering is vital to fine-tune their optoelectronic properties and thus their integration in novel optoelectronic devices. This is exemplified in photovoltaic devices based on organic, inorganic...... or hybrid technologies, where precise control of the charge transport properties through the interfacial layer is highly important for improving device performance. In this work, we study the effects of in situ annealing in nearly stoichiometric MoOx (x ∼ 3.0) thin-films deposited by reactive sputtering. We...... with structural characterizations, this work addresses a novel method for tuning, and correlating, the optoelectronic properties and microstructure of device-relevant MoOx layers....

  4. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  5. Solution-processed In2S3 buffer layer for chalcopyrite thin film solar cells

    OpenAIRE

    Wang Lan; Lin Xianzhong; Ennaoui Ahmed; Wolf Christian; Lux-Steiner Martha Ch.; Klenk Reiner

    2016-01-01

    We report a route to deposit In2S3 thin films from air-stable, low-cost molecular precursor inks for Cd-free buffer layers in chalcopyrite-based thin film solar cells. Different precursor compositions and processing conditions were studied to define a reproducible and robust process. By adjusting the ink properties, this method can be applied in different printing and coating techniques. Here we report on two techniques, namely spin-coating ...

  6. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  7. Deuterium markers in CdS and Zn(O,S) buffer layers deposited by solution growth for Cu(In,Ga)Se{sub 2} thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Witte, Wolfram; Eicke, Axel; Hariskos, Dimitrios [Zentrum fuer Sonnenenergie und Wasserstoff-Forschung Baden-Wuerttemberg (ZSW), Stuttgart (Germany); Souza, Roger A. de; Martin, Manfred [Institute of Physical Chemistry, RWTH Aachen University (Germany)

    2017-12-15

    This contribution describes an easy and cheap approach to introduce deuterium (D) as an isotopic marker into the commonly used buffer layer materials CdS and Zn(O,S) for Cu(In,Ga)Se{sub 2} (CIGS) thin-film solar cells. D was successfully incorporated during the growth of Zn(O,S) and CdS buffer layers by chemical bath deposition (CBD) with D{sub 2}O. CIGS solar cells prepared with D-containing buffers grown by CBD exhibit power conversion efficiencies above 16%, that is, the D content has no detrimental effect on the performance or other solar cell parameters of the devices. With depth profiles obtained by time-of-flight secondary ion mass spectrometry (ToF-SIMS) we clearly detect the intentionally incorporated D within the solution-grown Zn(O,S) buffer. Assuming that D is present as OD, we compare the amount of OD within the Zn(O,S) layer with the amount of OH on the surface of the subsequent sputtered (Zn,Mg)O layer. Possible applications and future experiments of the method inserting isotopic markers such as D in functional layers of chalcopyrite-type thin-film solar cells and beyond are discussed. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Bi-epitaxial YBa2Cu3Ox Thin Films on Tilted-axes NdGaO3 Substrates with CeO2 Seeding Layer

    International Nuclear Information System (INIS)

    Mozhaev, P B; Mozhaeva, J E; Jacobsen, C S; Hansen, J Bindslev; Bdikin, I K; Luzanov, V A; Kotelyanskii, I M; Zybtsev, S G

    2006-01-01

    Bi-epitaxial YBa 2 Cu 3 O x (YBCO) thin films with out-of-plane tilt angle in the range 18 - 27 0 were manufactured using pulsed laser deposition on NdGaO 3 tilted-axes substrates with CeO 2 seeding layers. The YBCO thin film orientation over the seeding layer depended on deposition conditions. Removal of the seeding layer from part of the substrate surface by ionbeam etching resulted in formation of a bi-epitaxial thin film with different c-axis orientation of two parts of the film. The bi-epitaxial film orientation and structure were studied using X-ray diffraction techniques, and surface morphology was observed with atomic force microscope (AFM). Photolithography and ion-beam etching techniques were used for patterning bi-epitaxial thin films. Electrical characterization of the obtained structures was performed

  9. Corrosion of pure magnesium under thin electrolyte layers

    International Nuclear Information System (INIS)

    Zhang Tao; Chen Chongmu; Shao Yawei; Meng Guozhe; Wang Fuhui; Li Xiaogang; Dong Chaofang

    2008-01-01

    The corrosion behavior of pure magnesium was investigated by means of cathodic polarization curve, electrochemical impedance spectroscopy (EIS) and electrochemical noise (EN) under aerated and deaerated thin electrolyte layers (TEL) with various thicknesses. Based on shot noise theory and stochastic theory, the EN results were quantitatively analyzed by using the Weibull and Gumbel distribution function, respectively. The results show that the cathodic process of pure magnesium under thin electrolyte layer was dominated by hydrogen reduction. With the decreasing of thin electrolyte layer thickness, cathodic process was retarded slightly while the anodic process was inhibited significantly, which indicated that both the cathodic and anodic process were inhibited in the presence of oxygen. The absence of oxygen decreased the corrosion resistance of pure magnesium in case of thin electrolyte layer. The corrosion was more localized under thin electrolyte layer than that in bulk solution. The results also demonstrate that there exist two kinds of effects for thin electrolyte layer on the corrosion behavior of pure magnesium: (1) the rate of pit initiation was evidently retarded compared to that in bulk solution; (2) the probability of pit growth oppositely increased. The corrosion model of pure magnesium under thin electrolyte layer was suggested in the paper

  10. Laser deposition and direct-writing of thermoelectric misfit cobaltite thin films

    Science.gov (United States)

    Chen, Jikun; Palla-Papavlu, Alexandra; Li, Yulong; Chen, Lidong; Shi, Xun; Döbeli, Max; Stender, Dieter; Populoh, Sascha; Xie, Wenjie; Weidenkaff, Anke; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2014-06-01

    A two-step process combining pulsed laser deposition of calcium cobaltite thin films and a subsequent laser induced forward transfer as micro-pixel is demonstrated as a direct writing approach of micro-scale thin film structures for potential applications in thermoelectric micro-devices. To achieve the desired thermo-electric properties of the cobaltite thin film, the laser induced plasma properties have been characterized utilizing plasma mass spectrometry establishing a direct correlation to the corresponding film composition and structure. The introduction of a platinum sacrificial layer when growing the oxide thin film enables a damage-free laser transfer of calcium cobaltite thereby preserving the film composition and crystallinity as well as the shape integrity of the as-transferred pixels. The demonstrated direct writing approach simplifies the fabrication of micro-devices and provides a large degree of flexibility in designing and fabricating fully functional thermoelectric micro-devices.

  11. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO{sub 2} thin films to produce a new hybrid material coating

    Energy Technology Data Exchange (ETDEWEB)

    Drevet, R., E-mail: richarddrevet@yahoo.fr [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Dragoé, D.; Barthés-Labrousse, M.G. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Chaussé, A. [Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Andrieux, M. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France)

    2016-10-30

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO{sub 2} thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO{sub 2} thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO{sub 2}) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO{sub 2} layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  12. Effect of annealing temperature on optical and electrical properties of metallophthalocyanine thin films deposited on silicon substrate

    Directory of Open Access Journals (Sweden)

    Skonieczny R.

    2016-09-01

    Full Text Available The cobalt phthalocyanine (CoPc thin films (300 nm thick deposited on n-type silicon substrate have been studied using micro-Raman spectroscopy, atomic force spectroscopy (AFM and I-V measurement. The CoPc thin layers have been deposited at room temperature by the quasi-molecular beam evaporation technique. The micro-Raman spectra of CoPc thin films have been recorded in the spectral range of 1000 cm-1 to 1900 cm-1 using 488 nm excitation wavelength. Moreover, using surface Raman mapping it was possible to obtain information about polymorphic forms distribution (before and after annealing of metallophthalocyanine (α and β form from polarized Raman spectra. The I-V characteristics of the Au/CoPc/n-Si/Al Schottky barrier were also investigated. The obtained results showed that influence of the annealing process plays a crucial role in the ordering and electrical conductivity of the molecular structure of CoPc thin films deposited on n-type silicon substrate.

  13. Thin layers in actinide research

    International Nuclear Information System (INIS)

    Gouder, T.

    1998-01-01

    Surface science research at the ITU is focused on the synthesis and surface spectroscopy studies of thin films of actinides and actinide compounds. The surface spectroscopies used are X-ray and ultra violet photoelectron spectroscopy (XPS and UPS, respectively), and Auger electron spectroscopy (AES). Thin films of actinide elements and compounds are prepared by sputter deposition from elemental targets. Alloy films are deposited from corresponding alloy targets and could be used, in principle, as replicates of these targets. However, there are deviations between alloy film and target composition, which depend on the deposition conditions, such as pressure and target voltage. Mastering of these effects may allow us to study stoichiometric film replicates instead of thick bulk compounds. As an example, we discuss the composition of U-Ni films prepared from a UNi 5 target. (orig.)

  14. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  15. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  16. Recent Advances in Gas Barrier Thin Films via Layer-by-Layer Assembly of Polymers and Platelets.

    Science.gov (United States)

    Priolo, Morgan A; Holder, Kevin M; Guin, Tyler; Grunlan, Jaime C

    2015-05-01

    Layer-by-layer (LbL) assembly has emerged as the leading non-vacuum technology for the fabrication of transparent, super gas barrier films. The super gas barrier performance of LbL deposited films has been demonstrated in numerous studies, with a variety of polyelectrolytes, to rival that of metal and metal oxide-based barrier films. This Feature Article is a mini-review of LbL-based multilayer thin films with a 'nanobrick wall' microstructure comprising polymeric mortar and nano-platelet bricks that impart high gas barrier to otherwise permeable polymer substrates. These transparent, water-based thin films exhibit oxygen transmission rates below 5 × 10(-3) cm(3) m(-2) day(-1) atm(-1) and lower permeability than any other barrier material reported. In an effort to put this technology in the proper context, incumbent technologies such as metallized plastics, metal oxides, and flake-filled polymers are briefly reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Effect of substrate composition on atomic layer deposition using self-assembled monolayers as blocking layers

    International Nuclear Information System (INIS)

    Zhang, Wenyu; Engstrom, James R.

    2016-01-01

    The authors have examined the effect of two molecules that form self-assembled monolayers (SAMs) on the subsequent growth of TaN x by atomic layer deposition (ALD) on two substrate surfaces, SiO 2 and Cu. The SAMs that the authors have investigated include two vapor phase deposited, fluorinated alkyl silanes: Cl 3 Si(CH 2 ) 2 (CF 2 ) 5 CF 3 (FOTS) and (C 2 H 5 O) 3 Si(CH 2 ) 2 (CF 2 ) 7 CF 3 (HDFTEOS). Both the SAMs themselves and the TaN x thin films, grown using Ta[N(CH 3 ) 2 ] 5 and NH 3 , were analyzed ex situ using contact angle, spectroscopic ellipsometry, x-ray photoelectron spectroscopy (XPS), and low energy ion-scattering spectroscopy (LEISS). First, the authors find that both SAMs on SiO 2 are nominally stable at T s  ∼ 300 °C, the substrate temperature used for ALD, while on Cu, the authors find that HDFTEOS thermally desorbs, while FOTS is retained on the surface. The latter result reflects the difference in the head groups of these two molecules. The authors find that both SAMs strongly attenuate the ALD growth of TaN x on SiO 2 , by about a factor of 10, while on Cu, the SAMs have no effect on ALD growth. Results from LEISS and XPS are decisive in determining the nature of the mechanism of growth of TaN x on all surfaces. Growth on SiO 2 is 2D and approximately layer-by-layer, while on the surfaces terminated by the SAMs, it nucleates at defect sites, is islanded, and is 3D. In the latter case, our results support growth of the TaN x thin film over the SAM, with a considerable delay in formation of a continuous thin film. Growth on Cu, with or without the SAMs, is also 3D and islanded, and there is also a delay in the formation of a continuous thin film as compared to growth on SiO 2 . These results highlight the power of coupling measurements from both LEISS and XPS in examinations of ultrathin films formed by ALD

  18. Note: Automatic layer-by-layer spraying system for functional thin film coatings

    Science.gov (United States)

    Seo, Seongmin; Lee, Sangmin; Park, Yong Tae

    2016-03-01

    In this study, we have constructed an automatic spray machine for producing polyelectrolyte multilayer films containing various functional materials on wide substrates via the layer-by-layer (LbL) assembly technique. The proposed machine exhibits advantages in terms of automation, process speed, and versatility. Furthermore, it has several features that allow a fully automated spraying operation, such as various two-dimensional spraying paths, control of the flow rate and operating speed, air-assist fan-shaped twin-fluid nozzles, and an optical display. The robot uniformly sprays aqueous mixtures containing complementary (e.g., oppositely charged, capable of hydrogen bonding, or capable of covalent bonding) species onto a large-area substrate. Between each deposition of opposite species, samples are spray-rinsed with deionized water and blow-dried with air. The spraying, rinsing, and drying areas and times are adjustable by a computer program. Twenty-bilayer flame-retardant thin films were prepared in order to compare the performance of the spray-assisted LbL assembly with a sample produced by conventional dipping. The spray-coated film exhibited a reduction of afterglow time in vertical flame tests, indicating that the spray-LbL technique is a simple method to produce functional thin film coatings.

  19. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  20. Reliability assessment of ultra-thin HfO{sub 2} films deposited on silicon wafer

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Wei-En [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Chang, Chia-Wei [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Chang, Yong-Qing [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Yao, Chih-Kai [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Liao, Jiunn-Der, E-mail: jdliao@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Nano-mechanical properties on annealed ultra-thin HfO{sub 2} film are studied. Black-Right-Pointing-Pointer By AFM analysis, hardness of the crystallized HfO{sub 2} film significantly increases. Black-Right-Pointing-Pointer By nano-indention, the film hardness increases with less contact stiffness. Black-Right-Pointing-Pointer Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO{sub 2}) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO{sub 2} films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO{sub 2} films deposited on silicon wafers (HfO{sub 2}/SiO{sub 2}/Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO{sub 2} (nominal thickness Almost-Equal-To 10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO{sub 2} phases for the atomic layer deposited HfO{sub 2}. The HfSi{sub x}O{sub y} complex formed at the interface between HfO{sub 2} and SiO{sub 2}/Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO{sub 2} film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically

  1. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  2. Stability of low-carrier-density topological-insulator Bi2Se3 thin films and effect of capping layers

    International Nuclear Information System (INIS)

    Salehi, Maryam; Brahlek, Matthew; Koirala, Nikesh; Moon, Jisoo; Oh, Seongshik; Wu, Liang; Armitage, N. P.

    2015-01-01

    Although over the past number of years there have been many advances in the materials aspects of topological insulators (TIs), one of the ongoing challenges with these materials is the protection of them against aging. In particular, the recent development of low-carrier-density bulk-insulating Bi 2 Se 3 thin films and their sensitivity to air demands reliable capping layers to stabilize their electronic properties. Here, we study the stability of the low-carrier-density Bi 2 Se 3 thin films in air with and without various capping layers using DC and THz probes. Without any capping layers, the carrier density increases by ∼150% over a week and by ∼280% over 9 months. In situ-deposited Se and ex situ-deposited poly(methyl methacrylate) suppress the aging effect to ∼27% and ∼88%, respectively, over 9 months. The combination of effective capping layers and low-carrier-density TI films will open up new opportunities in topological insulators

  3. Influence of sputtering deposition parameters on electrical and optical properties of aluminium-doped zinc oxide thin films for photovoltaic applications

    Science.gov (United States)

    Krawczak, Ewelina; Agata, Zdyb; Gulkowski, Slawomir; Fave, Alain; Fourmond, Erwann

    2017-11-01

    Transparent Conductive Oxides (TCOs) characterized by high visible transmittance and low electrical resistivity play an important role in photovoltaic technology. Aluminum doped zinc oxide (AZO) is one of the TCOs that can find its application in thin film solar cells (CIGS or CdTe PV technology) as well as in other microelectronic applications. In this paper some optical and electrical properties of ZnO:Al thin films deposited by RF magnetron sputtering method have been investigated. AZO layers have been deposited on the soda lime glass substrates with use of variable technological parameters such as pressure in the deposition chamber, power applied and temperature during the process. The composition of AZO films has been investigated by EDS method. Thickness and refraction index of the deposited layers in dependence on certain technological parameters of sputtering process have been determined by spectroscopic ellipsometry. The measurements of transmittance and sheet resistance were also performed.

  4. Effect of Al 2 O 3 Recombination Barrier Layers Deposited by Atomic Layer Deposition in Solid-State CdS Quantum Dot-Sensitized Solar Cells

    KAUST Repository

    Roelofs, Katherine E.

    2013-03-21

    Despite the promise of quantum dots (QDs) as a light-absorbing material to replace the dye in dye-sensitized solar cells, quantum dot-sensitized solar cell (QDSSC) efficiencies remain low, due in part to high rates of recombination. In this article, we demonstrate that ultrathin recombination barrier layers of Al2O3 deposited by atomic layer deposition can improve the performance of cadmium sulfide (CdS) quantum dot-sensitized solar cells with spiro-OMeTAD as the solid-state hole transport material. We explored depositing the Al2O3 barrier layers either before or after the QDs, resulting in TiO2/Al2O3/QD and TiO 2/QD/Al2O3 configurations. The effects of barrier layer configuration and thickness were tracked through current-voltage measurements of device performance and transient photovoltage measurements of electron lifetimes. The Al2O3 layers were found to suppress dark current and increase electron lifetimes with increasing Al 2O3 thickness in both configurations. For thin barrier layers, gains in open-circuit voltage and concomitant increases in efficiency were observed, although at greater thicknesses, losses in photocurrent caused net decreases in efficiency. A close comparison of the electron lifetimes in TiO2 in the TiO2/Al2O3/QD and TiO2/QD/Al2O3 configurations suggests that electron transfer from TiO2 to spiro-OMeTAD is a major source of recombination in ss-QDSSCs, though recombination of TiO2 electrons with oxidized QDs can also limit electron lifetimes, particularly if the regeneration of oxidized QDs is hindered by a too-thick coating of the barrier layer. © 2013 American Chemical Society.

  5. In-situ laser processing and microstructural characteristics of YBa2Cu3O7-δ thin films on Si with TiN buffer layer

    International Nuclear Information System (INIS)

    Tiwari, P.; Zheleva, T.; Narayan, J.

    1993-01-01

    The authors have prepared high-quality superconducting YBa 2 Cu 3 O 7 -δ (YBCO) thin films on Si(100) with TiN as a buffer layer using in-situ multitarget deposition system. Both TiN and YBCO thin films were deposited sequentially by KrF excimer laser ( | = 248 nm ) at substrate temperature of 650 C . Thin films were characterized using X-ray diffraction (XRD), four-point-probe ac resistivity, scanning electron microscopy (S E M), transmission electron microscopy (TEM), and Rutherford backscattering (RBS). The TiN buffer layer was epitaxial and the epitaxial relationship was found to be cube on cube with TiN parallel Si. YBCO thin films on Si with TiN buffer layer showed the transition temperature of 90-92K with T co (zero resistance temperature) of 84K. The authors have found that the quality of the buffer layer is very important in determining the superconducting transition temperature of the thin film. The effects of processing parameters and the correlation of microstructural features with superconducting properties are discussed in detail

  6. Effect of substrates and thickness on optical properties in atomic layer deposition grown ZnO thin films

    Science.gov (United States)

    Pal, Dipayan; Singhal, Jaya; Mathur, Aakash; Singh, Ajaib; Dutta, Surjendu; Zollner, Stefan; Chattopadhyay, Sudeshna

    2017-11-01

    Atomic Layer Deposition technique was used to grow high quality, very low roughness, crystalline, Zinc Oxide (ZnO) thin films on silicon (Si) and fused quartz (SiO2) substrates to study the optical properties. Spectroscopic ellipsometry results of ZnO/Si system, staggered type-II quantum well, demonstrate that there is a significant drop in the magnitudes of both the real and imaginary parts of complex dielectric constants and in near-band gap absorption along with a blue shift of the absorption edge with decreasing film thickness at and below ∼20 nm. Conversely, UV-vis absorption spectroscopy of ZnO/SiO2, thin type-I quantum well, consisting of a narrower-band gap semiconductor grown on a wider-band gap (insulator) substrate, shows the similar thickness dependent blue-shift of the absorption edge but with an increase in the magnitude of near-band gap absorption with decreasing film thickness. Thickness dependent blue shift, energy vs. 1/d2, in two different systems, ZnO/Si and ZnO/SiO2, show a difference in their slopes. The observed phenomena can be consistently explained by the corresponding exciton (or carrier/s) deconfinement and confinement effects at the ZnO/Si and ZnO/SiO2 interface respectively, where Tanguy-Elliott amplitude pre-factor plays the key role through the electron-hole overlap factor at the interface.

  7. Depositing bulk or micro-scale electrodes

    Science.gov (United States)

    Shah, Kedar G.; Pannu, Satinderpall S.; Tolosa, Vanessa; Tooker, Angela C.; Sheth, Heeral J.; Felix, Sarah H.; Delima, Terri L.

    2016-11-01

    Thicker electrodes are provided on microelectronic device using thermo-compression bonding. A thin-film electrical conducting layer forms electrical conduits and bulk depositing provides an electrode layer on the thin-film electrical conducting layer. An insulating polymer layer encapsulates the electrically thin-film electrical conducting layer and the electrode layer. Some of the insulating layer is removed to expose the electrode layer.

  8. Impedance Characterization of the Capacitive field-Effect pH-Sensor Based on a thin-Layer Hafnium Oxide Formed by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michael LEE

    2014-05-01

    Full Text Available As a sensing element, silicon dioxide (SiO2 has been applied within ion-sensitive field effect transistors (ISFET. However, a requirement of increasing pH-sensitivity and stability has observed an increased number of insulating materials that obtain high-k gate being applied as FETs. The increased high-k gate reduces the required metal oxide layer and, thus, the fabrication of thin hafnium oxide (HfO2 layers by atomic layer deposition (ALD has grown with interest in recent years. This metal oxide presents advantageous characteristics that can be beneficial for the advancements within miniaturization of complementary metal oxide semiconductor (CMOS technology. In this article, we describe a process for fabrication of HfO2 based on ALD by applying water (H2O as the oxygen precursor. As a first, electrochemical impedance spectroscopy (EIS measurements were performed with varying pH (2-10 to demonstrate the sensitivity of HfO2 as a potential pH sensing material. The Nyquist plot demonstrates a high clear shift of the polarization resistance (Rp between pH 6-10 (R2 = 0.9986, Y = 3,054X + 12,100. At acidic conditions (between pH 2-10, the Rp change was small due to the unmodified oxide gate (R2 = 0.9655, Y = 2,104X + 4,250. These preliminary results demonstrate the HfO2 substrate functioned within basic to neutral conditions and establishes a great potential for applying HfO2 as a dielectric material for future pH measuring FET sensors.

  9. Thin-layer chromatography and colorimetric analysis of multi-component explosive mixtures

    Science.gov (United States)

    Pagoria, Philip F.; Mitchell, Alexander R.; Whipple, Richard E.; Carman, M. Leslie

    2014-08-26

    A thin-layer chromatography method for detection and identification of common military and peroxide explosives in samples includes the steps of provide a reverse-phase thin-layer chromatography plate; prepare the plate by marking spots on which to deposit the samples by touching the plate with a marker; spot one micro liter of a first standard onto one of the spots, spot one micro liter of a second standard onto another of the spots, and spot samples onto other of spots producing a spotted plate; add eluent to a developing chamber; add the spotted plate to the developing chamber; remove the spotted plate from the developing chamber producing a developed plate; place the developed plate in an ultraviolet light box; add a visualization agent to a dip tank; dip the developed plate in the dip tank and remove the developed plate quickly; and detect explosives by viewing said developed plate.

  10. Epitaxial c-axis oriented BaTiO{sub 3} thin films on SrTiO{sub 3}-buffered Si(001) by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G., E-mail: ekerdt@che.utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham B.; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Yu, Edward T. [Department of Electrical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Bruley, John [IBM Research Division, Yorktown Heights, New York 10593 (United States)

    2014-02-24

    Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO{sub 3} (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO{sub 3} (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225  °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600  °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure.

  11. Preparation and characterization of ALD deposited ZnO thin films studied for gas sensors

    Energy Technology Data Exchange (ETDEWEB)

    Boyadjiev, S.I., E-mail: boiajiev@gmail.com [MTA-BME Technical Analytical Chemistry Research Group, Szent Gellért tér 4, Budapest, H-1111 (Hungary); Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria); Georgieva, V. [Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria); Yordanov, R. [Department of Microelectronics, Technical University of Sofia, 8 Kliment Ohridski Blvd., 1756 Sofia (Bulgaria); Raicheva, Z. [Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria); Szilágyi, I.M. [MTA-BME Technical Analytical Chemistry Research Group, Szent Gellért tér 4, Budapest, H-1111 (Hungary); Budapest University of Technology and Economics, Department of Inorganic and Analytical Chemistry, Szent Gellért tér 4, Budapest, H-1111 (Hungary)

    2016-11-30

    Highlights: • For the first time the gas sensing towards NO{sub 2} of very thin ALD ZnO films is studied. • The very thin ALD ZnO films showed excellent sensitivity to NO{sub 2} at room temperature. • These very thin film ZnO-based QCM sensors very well register even low concentrations. • The sensors have fully reversible sorption and are able to be recovered in short time. • Described fast and cost-effective ALD deposition of ZnO thin films for QCM gas sensor. - Abstract: Applying atomic layer deposition (ALD), very thin zinc oxide (ZnO) films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The gas sensing of the ZnO films to NO{sub 2} was tested in the concentration interval between 10 and 5000 ppm. On the basis of registered frequency change of the QCM, for each concentration the sorbed mass was calculated. Further characterization of the films was carried out by various techniques, i.e. by SEM-EDS, XRD, ellipsometry, and FTIR spectroscopy. Although being very thin, the films were gas sensitive to NO{sub 2} already at room temperature and could register very well as low concentrations as 100 ppm, while the sorption was fully reversible. Our results for very thin ALD ZnO films show that the described fast, simple and cost-effective technology could be implemented for producing gas sensors working at room temperature and being capable to detect in real time low concentrations of NO{sub 2}.

  12. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    International Nuclear Information System (INIS)

    Chen Huawei; Tieu, A. Kiet; Liu Qiang; Hagiwara, Ichiro; Lu Cheng

    2007-01-01

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters

  13. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen Huawei [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China) and Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)]. E-mail: chen_hua_wei@yahoo.com; Tieu, A. Kiet [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia); Liu Qiang [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China); Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo (Japan); Lu Cheng [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)

    2007-07-15

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters.

  14. Electrografting of carboxyphenyl thin layer onto gold for DNA and enzyme immobilization

    International Nuclear Information System (INIS)

    Nowicka, Anna M.; Fau, Michal; Kowalczyk, Agata; Strawski, Marcin; Stojek, Zbigniew

    2014-01-01

    The convenient functionalization of metal surfaces by carboxyphenyl groups in aprotic media is not possible for two reasons. First, carboxy derivatives of diazonium salts are very unstable and, second, the electroreduction product is soluble in the solvent. So, the optimization of the conditions of the electrografting of the metal surfaces by applying aqueous solutions is much needed. Compared to earlier cyclic voltammetry approaches we have shown that the chronoamperometric deposition is more convenient. The constant potential equal to the voltammetric peak potential and the molar ratio 1:1 for the substrates: 4-aminobenzoic acid and NaNO 2 as the diazotization agent, in 0.5 M HCl, appeared to be very satisfying conditions for the deposition of a thin layer of deposit of perpendicularly oriented carboxyphenyl groups at the Au surface and for maximal elimination of the influence of the side-reactions products. Under the determined conditions the immobilization of DNA strands was optimal and the deposited laccase layer was tightly packed and very efficient toward the electroreduction of oxygen. Electrochemical impedance spectroscopy, electrochemical quartz crystal microbalance, cyclic voltammetry, chronocoulometry, atomic force microscopy, contact angle measurements and UV–Vis spectroscopy of the solution were used to characterize the electrografted carboxyphenyl layers and subsequent oligonucleotide and enzyme immobilization process

  15. Electrical and optical properties of Bi2S3 thin films deposited by successive ionic layer adsorption and reaction (SILAR) method

    International Nuclear Information System (INIS)

    Ubale, A.U.; Daryapurkar, A.S.; Mankar, R.B.; Raut, R.R.; Sangawar, V.S.; Bhosale, C.H.

    2008-01-01

    Bi 2 S 3 thin films were prepared on amorphous glass substrates by successive ionic layer adsorption and reaction (SILAR) method at room temperature using bismuth nitrate and thioacetamide as the cationic and anionic precursors in aqueous medium. The X-ray diffraction study reveals that as-deposited films of Bi 2 S 3 are amorphous in nature, it becomes polycrystalline after annealing at 573 K. The decrease in activation energy from 0.65 to 0.36 eV and optical band gap energy, E g , from 2.35 to 1.86 eV are observed as film thickness varies from 67 to 150 nm. Such changes are attributed to the quantum size effect in semiconducting films

  16. Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jun Beom; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering, Yeungnam University, Gyeongsan-si 712-749 (Korea, Republic of); Han, Won Seok [UP Chemical 576, Chilgoedong, Pyeongtaek-si, Gyeonggi-do 459-050 (Korea, Republic of); Lee, Do-Joong [School of Engineering, Brown University, Providence, Rhode Island 02912 (United States)

    2016-07-15

    Tungsten carbides (WC{sub x}) thin films were deposited on thermally grown SiO{sub 2} substrates by atomic layer deposition (ALD) using a fluorine- and nitrogen-free W metallorganic precursor, tungsten tris(3-hexyne) carbonyl [W(CO)(CH{sub 3}CH{sub 2}C ≡ CCH{sub 2}CH{sub 3}){sub 3}], and N{sub 2} + H{sub 2} plasma as the reactant at deposition temperatures between 150 and 350 °C. The present ALD-WC{sub x} system showed an ALD temperature window between 200 and 250 °C, where the growth rate was independent of the deposition temperature. Typical ALD characteristics, such as self-limited film growth and a linear dependency of the film grown on the number of ALD cycles, were observed, with a growth rate of 0.052 nm/cycle at a deposition temperature of 250 °C. The ALD-WC{sub x} films formed a nanocrystalline structure with grains, ∼2 nm in size, which consisted of hexagonal W{sub 2}C, WC, and nonstoichiometric cubic β-WC{sub 1−x} phase. Under typical deposition conditions at 250 °C, an ALD-WC{sub x} film with a resistivity of ∼510 μΩ cm was deposited and the resistivity of the ALD-WC{sub x} film could be reduced even further to ∼285 μΩ cm by further optimizing the reactant pulsing conditions, such as the plasma power. The step coverage of ALD-WC{sub x} film was ∼80% on very small sized and dual trenched structures (bottom width of 15 nm and aspect ratio of ∼6.3). From ultraviolet photoelectron spectroscopy, the work function of the ALD-WC{sub x} film was determined to be 4.63 eV. Finally, the ultrathin (∼5 nm) ALD-WC{sub x} film blocked the diffusion of Cu, even up to 600 °C, which makes it a promising a diffusion barrier material for Cu interconnects.

  17. Hollow inorganic nanospheres and nanotubes with tunable wall thicknesses by atomic layer deposition on self-assembled polymeric templates

    NARCIS (Netherlands)

    Ras, Robin H. A.; Kemell, Marianna; de Wit, Joost; Ritala, Mikko; ten Brinke, Gerrit; Leskela, Markku; Ikkala, Olli; Leskelä, Markku

    2007-01-01

    The construction of inorganic nanostructures with hollow interiors is demonstrated by coating self-assembled polymeric nano-objects with a thin Al2O3 layer by atomic layer deposition (ALD), followed by removal of the polymer template upon heating. The morphology of the nano-object (i.e., spherical

  18. Reaction Mechanisms of the Atomic Layer Deposition of Tin Oxide Thin Films Using Tributyltin Ethoxide and Ozone.

    Science.gov (United States)

    Nanayakkara, Charith E; Liu, Guo; Vega, Abraham; Dezelah, Charles L; Kanjolia, Ravindra K; Chabal, Yves J

    2017-06-20

    Uniform and conformal deposition of tin oxide thin films is important for several applications in electronics, gas sensing, and transparent conducting electrodes. Thermal atomic layer deposition (ALD) is often best suited for these applications, but its implementation requires a mechanistic understanding of the initial nucleation and subsequent ALD processes. To this end, in situ FTIR and ex situ XPS have been used to explore the ALD of tin oxide films using tributyltin ethoxide and ozone on an OH-terminated, SiO 2 -passivated Si(111) substrate. Direct chemisorption of tributyltin ethoxide on surface OH groups and clear evidence that subsequent ligand exchange are obtained, providing mechanistic insight. Upon ozone pulse, the butyl groups react with ozone, forming surface carbonate and formate. The subsequent tributyltin ethoxide pulse removes the carbonate and formate features with the appearance of the bands for CH stretching and bending modes of the precursor butyl ligands. This ligand-exchange behavior is repeated for subsequent cycles, as is characteristic of ALD processes, and is clearly observed for deposition temperatures of 200 and 300 °C. On the basis of the in situ vibrational data, a reaction mechanism for the ALD process of tributyltin ethoxide and ozone is presented, whereby ligands are fully eliminated. Complementary ex situ XPS depth profiles confirm that the bulk of the films is carbon-free, that is, formate and carbonate are not incorporated into the film during the deposition process, and that good-quality SnO x films are produced. Furthermore, the process was scaled up in a cross-flow reactor at 225 °C, which allowed the determination of the growth rate (0.62 Å/cycle) and confirmed a self-limiting ALD growth at 225 and 268 °C. An analysis of the temperature-dependence data reveals that growth rate increases linearly between 200 and 300 °C.

  19. Alloying process of sputter-deposited Ti/Ni multilayer thin films

    International Nuclear Information System (INIS)

    Cho, H.; Kim, H.Y.; Miyazaki, S.

    2006-01-01

    Alloying process of a Ti/Ni multilayer thin film was investigated in detail by differential scanning calorimetry (DSC), X-ray diffractometry (XRD) and transmission electron microscopy (TEM). The Ti/Ni multilayer thin film was prepared by depositing Ti and Ni layers alternately on a SiO 2 /Si substrate. The number of each metal layer was 100, and the total thickness was 3 μm. The alloy composition was determined as Ti-51 at.%Ni by electron probe micro analysis (EPMA). The DSC curve exhibited three exothermic peaks at 621, 680 and 701 K during heating the as-sputtered multilayer thin film. In order to investigate the alloying process, XRD and TEM observation was carried out for the specimens heated up to various temperatures with the heating rate same as the DSC measurement. The XRD profile of the as-sputtered film revealed only diffraction peaks of Ti and Ni. But reaction layers of 3 nm in thickness were observed at the interfaces of Ti and Ni layers in cross-sectional TEM images. The reaction layer was confirmed as an amorphous phase by the nano beam diffraction analysis. The XRD profiles exhibited that the intensity of Ti diffraction peak decreased in the specimen heat-treated above 600 K. The peak from Ni became broad and shifted to lower diffraction angle. The amorphous layer thickened up to 6 nm in the specimen heated up to 640 K. The diffraction peak corresponding to Ti-Ni B2 phase appeared and the peak from Ni disappeared for the specimen heated up to 675 K. The Ti-Ni B2 crystallized from the amorphous reaction layer. After further heating above the third exothermic peak, the intensity of the peak from the Ti-Ni B2 phase increased, the peak from Ti disappeared and the peaks corresponding to Ti 2 Ni appeared. The Ti 2 Ni phase was formed by the reaction of the Ti-Ni B2 and Ti

  20. Graphene growth by transfer-free chemical vapour deposition on a cobalt layer

    Science.gov (United States)

    Macháč, Petr; Hejna, Ondřej; Slepička, Petr

    2017-01-01

    The contribution deals with the preparation of graphene films by a transfer-free chemical vapour deposition process utilizing a thin cobalt layer. This method allows growing graphene directly on a dielectric substrate. The process was carried out in a cold-wall reactor with methane as carbon precursor. We managed to prepare bilayer graphene. The best results were obtained for a structure with a cobalt layer with a thickness of 50 nm. The quality of prepared graphene films and of the number of graphene layers were estimated using Raman spectroscopy. with a minimal dots diameter of 180 nm and spacing of 1000 nm were successfully developed.

  1. Auger electron spectroscopy study on interaction between aluminum thin layers and uranium substrate

    International Nuclear Information System (INIS)

    Zhou Wei; Liu Kezhao; Yang Jiangrong; Xiao Hong; Jiang Chunli; Lu Lei

    2005-01-01

    Aluminum thin layers on uranium were prepared by sputter deposition at room temperature in ultra high vacuum analysis chamber. Interaction between U and Al, and growth mode were investigated by Auger electron spectroscopy (AES) and electron energy loss spectroscopy (EELS). It is shown that Al thin film growth follows the volmer-weber (VW) mode. At room temperature, Al and U interact with each other, resulting in interdiffusion action and formation of U-Al alloys at U/Al interface. Annealing promotes interaction and interdiffusion between U and Al, and UAl x maybe formed at interface. (authors)

  2. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  3. Note: Influence of rinsing and drying routines on growth of multilayer thin films using automated deposition system.

    Science.gov (United States)

    Gamboa, Daniel; Priolo, Morgan A; Ham, Aaron; Grunlan, Jaime C

    2010-03-01

    A versatile, high speed robot for layer-by-layer deposition of multifunctional thin films, which integrates concepts from previous dipping systems, has been designed with dramatic improvements in software, positioning, rinsing, drying, and waste removal. This system exploits the electrostatic interaction of oppositely charged species to deposit nanolayers (1-10 nm thick) from water onto the surface of a substrate. Dip times and number of deposited layers are adjustable through a graphical user interface. In between dips the system spray rinses and dries the substrate by positioning it in the two-tiered rinse-dry station. This feature significantly reduces processing time and provides the flexibility to choose from four different procedures for rinsing and drying. Assemblies of natural montmorillonite clay and polyethylenimine are deposited onto 175 microm poly(ethylene terephthalate) film to demonstrate the utility of this automated deposition system. By altering the type of rinse-dry procedure, these clay-based assemblies are shown to exhibit variations in film thickness and oxygen transmission rate. This type of system reproducibly deposits films containing 20 or more layers and may also be useful for other types of coatings that make use of dipping.

  4. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  5. Polar layered deposits on Mars: Inner structure and relation to the climate record

    Science.gov (United States)

    Kreslavsky, M.; Head, J.

    Martian polar layered deposits (PLD) have long been thought to contain a record of the past climate. Roles of deposition, ablation and flow in PLD are a subject of discussion and controversy. Understanding of these roles is critical for reading the climate record. We show that simple mechanism including latitude-dependent deposition and ablation, albedo feedback and role of slopes explains many essential features of the PLD. We consider the present-day PLD is a result of a history of H2O ice deposition and sublimation during some recent period of the geological history. The deposition - ablation balance is a function of latitude. Typically, net deposition occurs in the polar area inside some boundary latitude of zero balance, and net ablation occurs outside. This dividing latitude shifts back and forth due to climate change caused by (1) the change of the spin/orbit parameters ("astronomical forcing"), (2) availability of the water vapor source at lower latitudes (tropical mountain glaciers, high-latitude icy mantles, the opposite polar cap, groundwater discharge events), (3) internal climate instabilities. The outermost position of the ablation/deposition boundary was well outside the present margins of the PLD; in the opposite extremes, the area of the positive balance disappeared, and the whole polar cap underwent ablation. Through time such oscillations produced a dome-shaped stack of deposits with a possible thin layer of deposits outside the dome and with a number of unconformities inside. These unconformities will have an east-west oriented strike and a very shallow dip. There is a positive feedback between the deposition/ablation balance and albedo: high albedo favors deposition, and fresh deposits have high albedo. With this feedback, when the climate system goes through oscillations, the boundary latitude between positive and negative balance will stay for some periods of time at its outermost and innermost positions. This will result in steps in the

  6. Chemical bath deposition of Cu{sub 3}BiS{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Deshmukh, S.G., E-mail: deshmukhpradyumn@gmail.com; Vipul, Kheraj, E-mail: vipulkheraj@gmail.com [Department of Applied Physics, Sardar Vallabhbhai National Institute of Technology, Ichchhanath, Surat (India); Panchal, A.K. [Department of Electrical Engineering, Sardar Vallabhbhai National Institute of Technology, Ichchhanath, Surat (India)

    2016-05-06

    First time, copper bismuth sulfide (Cu{sub 3}BiS{sub 3}) thin films were synthesized on the glass substrate using simple, low-cost chemical bath deposition (CBD) technique. The synthesized parameters such as temperature of bath, pH and concentration of precursors were optimized for the deposition of uniform, well adherent Cu{sub 3}BiS{sub 3} thin films. The optical, surface morphology and structural properties of the Cu{sub 3}BiS{sub 3} thin films were studied using UV-VIS-NIR spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD). The as- synthesized Cu{sub 3}BiS{sub 3} film exhibits a direct band gap 1.56 to 1.58 eV having absorption coefficient of the order of 10{sup 5} cm{sup −1}. The XRD declares the amorphous nature of the films. SEM images shows films were composed of close-packed fine spherical nanoparticles of 70-80 nm in diameter. The chemical composition of the film was almost stoichiometric. The optical study indicates that the Cu{sub 3}BiS{sub 3} films can be applied as an absorber layer for thin film solar cells.

  7. Deposition and characteristics of PbS thin films by an in-situ solution chemical reaction process

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Junna; Ji, Huiming; Wang, Jian; Zheng, Xuerong; Lai, Junyun; Liu, Weiyan; Li, Tongfei [School of Materials Science and Engineering, Key Laboratory for Advanced Ceramics and Machining Technology of Ministry of Education, Tianjin University, Tianjin 300072 (China); Ma, Yuanliang; Li, Haiqin; Zhao, Suqin [College of Physics and Electronic Information Engineering, Qinghai University for Nationalities, Xining 810007 (China); Jin, Zhengguo, E-mail: zhgjin@tju.edu.cn [School of Materials Science and Engineering, Key Laboratory for Advanced Ceramics and Machining Technology of Ministry of Education, Tianjin University, Tianjin 300072 (China)

    2015-09-01

    Preferential oriented and uniform PbS thin films were deposited by a room temperature in-situ solution chemical reaction process, in which the lead nitrate as precursor in a form of thin solid films from lead precursor solution was used to react with ammonium sulfide ethanol solution. Influence of 1-butanol addition in the lead precursor solution, Pb:S molar ratios in the separate cationic and anionic solutions, deposition cycle numbers and annealing treatment in Ar atmosphere on structure, morphology, chemical composition and optical absorption properties of the deposited PbS films were investigated based on X-ray diffraction, field emission scanning electron microscopy, energy dispersive spectrometer, atomic force microscopy, selected area electron diffraction, UV–vis, near infrared ray and fourier transform infrared spectroscopy measurements. The results showed that the deposited PbS thin films had a cubic structure and highly preferred orientation along with the plane (100). The deposition rate of single-layer was stable, about 30 nm in thickness per deposition cycle. - Highlights: • Time-efficiency synthetic method for the preparation of lead sulfide (PbS) films • Effect of 1-butanol addition into cationic precursor solution is discussed. • Growth rate of the PbS films is stable at about 30 nm per cycle.

  8. Modified Back Contact Interface of CZTSe Thin Film Solar Cells: Elimination of Double Layer Distribution in Absorber Layer.

    Science.gov (United States)

    Zhang, Zhaojing; Yao, Liyong; Zhang, Yi; Ao, Jianping; Bi, Jinlian; Gao, Shoushuai; Gao, Qing; Jeng, Ming-Jer; Sun, Guozhong; Zhou, Zhiqiang; He, Qing; Sun, Yun

    2018-02-01

    Double layer distribution exists in Cu 2 SnZnSe 4 (CZTSe) thin films prepared by selenizing the metallic precursors, which will degrade the back contact of Mo substrate to absorber layer and thus suppressing the performance of solar cell. In this work, the double-layer distribution of CZTSe film is eliminated entirely and the formation of MoSe 2 interfacial layer is inhibited successfully. CZTSe film is prepared by selenizing the precursor deposited by electrodeposition method under Se and SnSe x mixed atmosphere. It is found that the insufficient reaction between ZnSe and Cu-Sn-Se phases in the bottom of the film is the reason why the double layer distribution of CZTSe film is formed. By increasing Sn content in the metallic precursor, thus making up the loss of Sn because of the decomposition of CZTSe and facilitate the diffusion of liquid Cu 2 Se, the double layer distribution is eliminated entirely. The crystallization of the formed thin film is dense and the grains go through the entire film without voids. And there is no obvious MoSe 2 layer formed between CZTSe and Mo. As a consequence, the series resistance of the solar cell reduces significantly to 0.14 Ω cm 2 and a CZTSe solar cell with efficiency of 7.2% is fabricated.

  9. Influence of sputtering deposition parameters on electrical and optical properties of aluminium-doped zinc oxide thin films for photovoltaic applications

    Directory of Open Access Journals (Sweden)

    Krawczak Ewelina

    2017-01-01

    Full Text Available Transparent Conductive Oxides (TCOs characterized by high visible transmittance and low electrical resistivity play an important role in photovoltaic technology. Aluminum doped zinc oxide (AZO is one of the TCOs that can find its application in thin film solar cells (CIGS or CdTe PV technology as well as in other microelectronic applications. In this paper some optical and electrical properties of ZnO:Al thin films deposited by RF magnetron sputtering method have been investigated. AZO layers have been deposited on the soda lime glass substrates with use of variable technological parameters such as pressure in the deposition chamber, power applied and temperature during the process. The composition of AZO films has been investigated by EDS method. Thickness and refraction index of the deposited layers in dependence on certain technological parameters of sputtering process have been determined by spectroscopic ellipsometry. The measurements of transmittance and sheet resistance were also performed.

  10. Structural characterization of chemically deposited PbS thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.A.; Gonzalez-Alfaro, Y.; Larramendi, E.M.; Fonseca Filho, H.D.; Maia da Costa, M.E.H.; Freire, F.L.; Prioli, R.; Avillez, R.R. de; Silveira, E.F. da; Calzadilla, O.; Melo, O. de; Pedrero, E.; Hernandez, E.

    2007-01-01

    Polycrystalline thin films of lead sulfide (PbS) grown using substrate colloidal coating chemical bath depositions were characterized by RBS, XPS, AFM and GIXRD techniques. The films were grown on glass substrates previously coated with PbS colloidal particles in a polyvinyl alcohol solution. The PbS films obtained with the inclusion of the polymer showed non-oxygen-containing organic contamination. All samples maintained the Pb:S 1:1 stoichiometry throughout the film. The amount of effective nucleation centers and the mean grain size have being controlled by the substrate colloidal coating. The analysis of the polycrystalline PbS films showed that a preferable (1 0 0) lattice plane orientation parallel to the substrate surface can be obtained using a substrate colloidal coating chemical bath deposition, and the orientation increases when a layer of colloid is initially dried on the substrate

  11. Application of V2O5 thin films deposited by laser ablation in micron batteries of solid state

    International Nuclear Information System (INIS)

    Escobar A, L.; Camps, E.; Haro P, E.; Camacho L, M.A.; Julien, C.

    2001-01-01

    The obtained results from synthesizing V 2 O 5 thin films by laser ablation are presented. Depending on the deposit conditions V 2 O 5 thin films have been grown as amorphous as a crystalline ones with preferential orientation. The results of the electrochemical characterization of one of the synthesized layers are presented when being manufactured joint with it a micron battery. (Author)

  12. Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wenhui; Luo, Jun; Meng, Lingkuan; Li, Junjie; Xiang, Jinjuan; Li, Junfeng; Wang, Wenwu; Chen, Dapeng; Ye, Tianchun; Zhao, Chao

    2016-08-31

    As an emerging developing technique for next-generation lithography, directed self-assembly (DSA) of block copolymer (BCP) has attracted numerous attention and has been a potential alternative to supplement the intrinsic limitations of conventional photolithography. In this work, the self-assembling properties of a lamellar diblock copolymer poly(styrene-b-methylmethacrylate) (PS-b-PMMA, 22k-b-22k, L{sub 0} = 25 nm) on Si substrate and an atomic layer deposition (ALD)-assisted pattern transfer technology for the application of DSA beyond 16/14 nm complementary metal oxide semiconductor (CMOS) technology nodes, were investigated. Firstly, two key processing parameters of DSA, i.e. annealing temperatures and durations of BCP films, were optimized to achieve low defect density and high productivity. After phase separation of BCP films, self-assembling patterns of low defect density should be transferred to the substrate. However, due to the nano-scale thickness and the weak resistance of BCP films to dry etching, it is nearly impossible to transfer the BCP patterns directly to the substrate. Therefore, an ALD-based technology was explored in this work, in which deposited Al{sub 2}O{sub 3} selectively reacts with PMMA blocks thus hardening the PMMA patterns. After removing PS blocks by plasma etching, hardened PMMA patterns were left and transferred to underneath SiO{sub 2} hard mask layer. Using this patterned hard mask, nanowire array of 25 nm pitch were realized on Si substrate. From this work, a high-throughput DSA baseline flow and related ALD-assisted pattern transfer technique were developed and proved to have good capability with the mainstream CMOS technology. - Highlights: • Optimization on self-assembly process for high productivity and low defectivity • Enhancement of etching ratio and resistance by atomic layer deposition (ALD) • A hard mask was used for pattern quality improvement and contamination control.

  13. Delamination of Compressed Thin Layers at Corners

    DEFF Research Database (Denmark)

    Sørensen, Kim D.; Jensen, Henrik Myhre; Clausen, Johan

    2008-01-01

    An analysis of delamination for a thin elastic layer under compression, attached to a substrate at a corner is carried out. The analysis is performed by combining results from interface fracture mechanics and the theory of thin shells. In contrast with earlier results for delamination on a flat s...... layers, Fracture mechanics, Crack closure, Steady state crack propagation.......An analysis of delamination for a thin elastic layer under compression, attached to a substrate at a corner is carried out. The analysis is performed by combining results from interface fracture mechanics and the theory of thin shells. In contrast with earlier results for delamination on a flat...... results for the fracture mechanical properties have been obtained, and these are applied in a study of the effect of contacting crack faces. Special attention has been given to analyse conditions under which steady state propagation of buckling driven delamination takes place. Keywords: Delamination, Thin...

  14. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  15. Effect of swift heavy ion irradiation on structural and opto-electrical properties of bi-layer CdS-Bi2S3 thin films prepared by solution growth technique at room temperature

    Science.gov (United States)

    Shaikh, Shaheed U.; Siddiqui, Farha Y.; Desale, Deepali J.; Ghule, Anil V.; Singh, Fouran; Kulriya, Pawan K.; Sharma, Ramphal

    2015-01-01

    CdS-Bi2S3 bi-layer thin films have been deposited by chemical bath deposition method on Indium Tin Oxide glass substrate at room temperature. The as-deposited thin films were annealed at 250 °C in an air atmosphere for 1 h. An air annealed thin film was irradiated using Au9+ ions with the energy of 120 MeV at fluence 5×1012 ions/cm2 using tandem pelletron accelerator. The irradiation induced modifications were studied using X-ray diffraction (XRD), Atomic Force Microscopy (AFM), Raman spectroscopy, UV spectroscopy and I-V characteristics. XRD study reveals that the as-deposited thin films were nanocrystalline in nature. The decrease in crystallite size, increase in energy band gap and resistivity were observed after irradiation. Results are explained on the basis of energy deposited by the electronic loss after irradiation. The comparative results of as-deposited, air annealed and irradiated CdS-Bi2S3 bi-layer thin films are presented.

  16. The Effect of High Temperature Annealing on the Grain Characteristics of a Thin Chemical Vapor Deposition Silicon Carbide Layer.

    Energy Technology Data Exchange (ETDEWEB)

    Isabella J van Rooyen; Philippus M van Rooyen; Mary Lou Dunzik-Gougar

    2013-08-01

    The unique combination of thermo-mechanical and physiochemical properties of silicon carbide (SiC) provides interest and opportunity for its use in nuclear applications. One of the applications of SiC is as a very thin layer in the TRi-ISOtropic (TRISO) coated fuel particles for high temperature gas reactors (HTGRs). This SiC layer, produced by chemical vapor deposition (CVD), is designed to withstand the pressures of fission and transmutation product gases in a high temperature, radiation environment. Various researchers have demonstrated that macroscopic properties can be affected by changes in the distribution of grain boundary plane orientations and misorientations [1 - 3]. Additionally, various researchers have attributed the release behavior of Ag through the SiC layer as a grain boundary diffusion phenomenon [4 - 6]; further highlighting the importance of understanding the actual grain characteristics of the SiC layer. Both historic HTGR fission product release studies and recent experiments at Idaho National Laboratory (INL) [7] have shown that the release of Ag-110m is strongly temperature dependent. Although the maximum normal operating fuel temperature of a HTGR design is in the range of 1000-1250°C, the temperature may reach 1600°C under postulated accident conditions. The aim of this specific study is therefore to determine the magnitude of temperature dependence on SiC grain characteristics, expanding upon initial studies by Van Rooyen et al, [8; 9].

  17. Direct current magnetron sputter-deposited ZnO thin films

    International Nuclear Information System (INIS)

    Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar

    2011-01-01

    Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.

  18. Vacuum-integrated electrospray deposition for highly reliable polymer thin film.

    Science.gov (United States)

    Park, Soohyung; Lee, Younjoo; Yi, Yeonjin

    2012-10-01

    Vacuum electrospray deposition (ESD) equipment was designed to prepare polymer thin films. The polymer solution can be injected directly into vacuum system through multi-stage pumping line, so that the solvent residues and ambient contaminants are highly reduced. To test the performance of ESD system, we fabricated organic photovoltaic cells (OPVCs) by injecting polymer solution directly onto the substrate inside a high vacuum chamber. The OPVC fabricated has the structure of Al∕P3HT:PCBM∕PEDOT:PSS∕ITO and was optimized by varying the speed of solution injection and concentration of the solution. The power conversion efficiency (PCE) of the optimized OPVC is 3.14% under AM 1.5G irradiation without any buffer layer at the cathode side. To test the advantages of the vacuum ESD, we exposed the device to atmosphere between the deposition steps of the active layer and cathode. This showed that the PCE of the vacuum processed device is 24% higher than that of the air exposed device and confirms the advantages of the vacuum prepared polymer film for high performance devices.

  19. Depositing Materials on the Micro- and Nanoscale

    DEFF Research Database (Denmark)

    Mar, Mikkel Dysseholm; Herstrøm, Berit; Shkondin, Evgeniy

    2014-01-01

    on sequential introduction of precursor pulses with intermediate purging steps. The process proceeds by specific surface ligand-exchange reactions and this leads to layer-by-layer growth control. No other thin film deposition technique can approach the conformity achieved by ALD on high aspect ratio structures....... In these systems thin films of different kind are important parts of giving the system the properties needed. This can be properties like light absorbing layers, antireflection coatings or conductive layers in solar cells. It can be low stress layers in membranes, chemicals resistant layers in chemical sensors......, layers with specific optical properties in optical sensors, piezoelectric thin films or insulating layers in many other applications. These different materials and properties impose a demand for different kind of deposition techniques. At DTU Danchip we have a large variety of these deposition techniques...

  20. Suppression of photo-bias induced instability for amorphous indium tungsten oxide thin film transistors with bi-layer structure

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Po-Tsun, E-mail: ptliu@mail.nctu.edu.tw; Chang, Chih-Hsiang; Chang, Chih-Jui [Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China)

    2016-06-27

    This study investigates the instability induced by bias temperature illumination stress (NBTIS) for an amorphous indium-tungsten-oxide thin film transistor (a-IWO TFT) with SiO{sub 2} backchannel passivation layer (BPL). It is found that this electrical degradation phenomenon can be attributed to the generation of defect states during the BPL process, which deteriorates the photo-bias stability of a-IWO TFTs. A method proposed by adding an oxygen-rich a-IWO thin film upon the a-IWO active channel layer could effectively suppress the plasma damage to channel layer during BPL deposition process. The bi-layer a-IWO TFT structure with an oxygen-rich back channel exhibits superior electrical reliability of device under NBTIS.

  1. Synthesis and Characterization of Molybdenum Doped ZnO Thin Films by SILAR Deposition Method

    Science.gov (United States)

    Radha, R.; Sakthivelu, A.; Pradhabhan, D.

    2016-08-01

    Molybdenum (Mo) doped zinc oxide (ZnO) thin films were deposited on the glass substrate by Successive Ionic Layer Adsorption and Reaction (SILAR) deposition method. The effect of Mo dopant concentration of 5, 6.6 and 10 mol% on the structural, morphological, optical and electrical properties of n-type Mo doped ZnO films was studied. The X-ray diffraction (XRD) results confirmed that the Mo doped ZnO thin films were polycrystalline with wurtzite structure. The field emission scanning electron microscopy (FESEM) studies shows that the surface morphology of the films changes with Mo doping. A blue shift of the optical band gap was observed in the optical studies. Effect of Mo dopant concentration on electrical conductivity was studied and it shows comparatively high electrical conductivity at 10 mol% of Mo doping concentration.

  2. In-situ determination of the effective absorbance of thin μc-Si:H layers growing on rough ZnO:Al

    Directory of Open Access Journals (Sweden)

    Meier Matthias

    2013-10-01

    Full Text Available In this study optical transmission measurements were performed in-situ during the growth of microcrystalline silicon (μc-Si:H layers by plasma enhanced chemical vapor deposition (PECVD. The stable plasma emission was used as light source. The effective absorption coefficient of the thin μc-Si:H layers which were deposited on rough transparent conductive oxide (TCO surfaces was calculated from the transient transmission signal. It was observed that by increasing the surface roughness of the TCO, the effective absorption coefficient increases which can be correlated to the increased light scattering effect and thus the enhanced light paths inside the silicon. A correlation between the in-situ determined effective absorbance of the μc-Si:H absorber layer and the short-circuit current density of μc-Si:H thin-film silicon solar cells was found. Hence, an attractive technique is demonstrated to study, on the one hand, the absorbance and the light trapping in thin films depending on the roughness of the substrate and, on the other hand, to estimate the short-circuit current density of thin-film solar cells in-situ, which makes the method interesting as a process control tool.

  3. Deposition and characterization of thin films of materials with application in cathodes for lithium rechargeable micro batteries

    International Nuclear Information System (INIS)

    Lopez I, J.

    2007-01-01

    In this thesis work is reported the deposition and characterization of thin films of materials of the type LiMO 2 , with M=Co and Ni, which have application in cathodes for micro-batteries of lithium ions. In the last years some investigators have reported that the electrochemical operation of the lithium ions batteries it can improve recovering the cathode, in bundle form, with some metal oxides as the Al 2 O 3 ; for that the study of the formation of thin films in bilayer form LiMO 2 /AI 2 O 3 is of interest in the development of lithium ions micro batteries. The thin films were deposited using the laser ablation technique studying the effect of some deposit parameters in the properties of the one formed material, as: laser fluence, substrate temperature and working atmosphere, with the purpose of optimizing it. In the case of the LiCoO 2 it was found that to use an inert atmosphere of argon allows to obtain the material with the correct composition. Additionally, with the use of a temperature in the substrate of 150 C is possible to obtain to the material with certain crystallinity grade that to the subjected being to a post-deposit thermal treatment at 300 C for three hours, it gives as result a totally crystalline material. In the case of the thin films of LiNiO 2 , it was necessary to synthesize the oxide starting from a reaction of solid state among nickel oxide (NiO) and lithium oxide (Li 2 O) obtaining stoichiometric LiNiO 2 . For the formation of the thin films of LiNiO 2 it was used an argon atmosphere and the laser fluence was varied, the deposits were carried out to two different substrates temperatures, atmosphere and 160 C. In both cases the material it was recovered with an alumina layer, found that this layer didn't modify the structural properties of the base oxide (LiCoO 2 and LiNiO 2 ). (Author)

  4. CuInS2 thin films obtained through the annealing of chemically deposited In2S3-CuS thin films

    International Nuclear Information System (INIS)

    Pena, Y.; Lugo, S.; Calixto-Rodriguez, M.; Vazquez, A.; Gomez, I.; Elizondo, P.

    2011-01-01

    In this work, we report the formation of CuInS 2 thin films on glass substrates by heating chemically deposited multilayers of copper sulfide (CuS) and indium sulfide (In 2 S 3 ) at 300 and 350 deg. C in nitrogen atmosphere at 10 Torr. CIS thin films were prepared by varying the CuS layer thickness in the multilayers with indium sulfide. The XRD analysis showed that the crystallographic structure of the CuInS 2 (JCPDS 27-0159) is present on the deposited films. From the optical analysis it was estimated the band gap value for the CIS film (1.49 eV). The electrical conductivity varies from 3 x 10 -8 to 3 Ω -1 cm -1 depending on the thickness of the CuS film. CIS films showed p-type conductivity.

  5. High-permeance crosslinked PTMSP thin-film composite membranes as supports for CO2 selective layer formation

    Directory of Open Access Journals (Sweden)

    Stepan D. Bazhenov

    2016-10-01

    Full Text Available In the development of the composite gas separation membranes for post-combustion CO2 capture, little attention is focused on the optimization of the membrane supports, which satisfy the conditions of this technology. The primary requirements to the membrane supports are concerned with their high CO2 permeance. In this work, the membrane supports with desired characteristics were developed as high-permeance gas separation thin film composite (TFC membranes with the thin defect-free layer from the crosslinked highly permeable polymer, poly[1-(trimethylsilyl-1-propyne] (PTMSP. This layer is insoluble in chloroform and can be used as a gutter layer for the further deposition of the СО2-selective materials from the organic solvents. Crosslinking of PTMSP was performed using polyethyleneimine (PEI and poly (ethyleneglycol diglycidyl ether (PEGDGE as crosslinking agents. Optimal concentrations of PEI in PTMSP and PEGDGE in methanol were selected in order to diminish the undesirable effect on the final membrane gas transport characteristics. The conditions of the kiss-coating technique for the deposition of the thin defect-free PTMSP-based layer, namely, composition of the casting solution and the speed of movement of the porous commercial microfiltration-grade support, were optimized. The procedure of post-treatment with alcohols and alcohol solutions was shown to be crucial for the improvement of gas permeance of the membranes with the crosslinked PTMSP layer having thickness ranging within 1–2.5 μm. The claimed membranes showed the following characteristics: CO2 permeance is equal to 50–54 m3(STP/(m2 h bar (18,500–20,000 GPU, ideal CO2/N2 selectivity is 3.6–3.7, and their selective layers are insoluble in chloroform. Thus, the developed high-permeance TFC membranes are considered as a promising supports for further modification by enhanced CO2 selective layer formation. Keywords: Thin-film composite membrane

  6. Optimizing pentacene thin-film transistor performance: Temperature and surface condition induced layer growth modification.

    Science.gov (United States)

    Lassnig, R; Hollerer, M; Striedinger, B; Fian, A; Stadlober, B; Winkler, A

    2015-11-01

    In this work we present in situ electrical and surface analytical, as well as ex situ atomic force microscopy (AFM) studies on temperature and surface condition induced pentacene layer growth modifications, leading to the selection of optimized deposition conditions and entailing performance improvements. We prepared p ++ -silicon/silicon dioxide bottom-gate, gold bottom-contact transistor samples and evaluated the pentacene layer growth for three different surface conditions (sputtered, sputtered + carbon and unsputtered + carbon) at sample temperatures during deposition of 200 K, 300 K and 350 K. The AFM investigations focused on the gold contacts, the silicon dioxide channel region and the highly critical transition area. Evaluations of coverage dependent saturation mobilities, threshold voltages and corresponding AFM analysis were able to confirm that the first 3-4 full monolayers contribute to the majority of charge transport within the channel region. At high temperatures and on sputtered surfaces uniform layer formation in the contact-channel transition area is limited by dewetting, leading to the formation of trenches and the partial development of double layer islands within the channel region instead of full wetting layers. By combining the advantages of an initial high temperature deposition (well-ordered islands in the channel) and a subsequent low temperature deposition (continuous film formation for low contact resistance) we were able to prepare very thin (8 ML) pentacene transistors of comparably high mobility.

  7. Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Roozeboom, F.; Besling, W.F.A.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2009-01-01

    By employing plasma-assisted atomic layer deposition, thin films of Al2O3 and TiN are subsequently deposited in a single reactor at a single substrate temperature with the objective of fabricating high-quality TiN/Al2O3 / p-Si metal-oxide-semiconductor capacitors. Transmission electron microscopy

  8. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  9. Modification of metal–InGaAs Schottky barrier behaviour by atomic layer deposition of ultra-thin Al{sub 2}O{sub 3} interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Chauhan, Lalit [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland); Gupta, Suman; Jaiswal, Piyush; Bhat, Navakanta; Shivashankar, S.A. [Centre for Nano Science and Engineering (CeNSE), Indian Institute of Science, Bangalore 560012 (India); Hughes, G. [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland)

    2015-08-31

    The effect of inserting ultra-thin atomic layer deposited Al{sub 2}O{sub 3} dielectric layers (1 nm and 2 nm thick) on the Schottky barrier behaviour for high (Pt) and low (Al) work function metals on n- and p-doped InGaAs substrates has been investigated. Rectifying behaviour was observed for the p-type substrates (both native oxide and sulphur passivated) for both the Al/p-InGaAs and Al/Al{sub 2}O{sub 3}/p-InGaAs contacts. The Pt contacts directly deposited on p-InGaAs displayed evidence of limited rectification which increased with Al{sub 2}O{sub 3} interlayer thickness. Ohmic contacts were formed for both metals on n-InGaAs in the absence of an Al{sub 2}O{sub 3} interlayer, regardless of surface passivation. However, limited rectifying behaviour was observed for both metals on the 2 nm Al{sub 2}O{sub 3}/n-InGaAs samples for the sulphur passivated InGaAs surface, indicating the importance of both surface passivation and the presence of an ultra-thin dielectric interlayer on the current–voltage characteristics displayed by these devices. - Highlights: • Investigation of the modification of metal–InGaAs Schottky barrier (SB) behaviour • Improving metal–InGaAs interface by sulphur passivation and ultrathin interlayer • Examine the effect of low work function and high work function metals on SB • Different SB behaviours observed on both n-type InGaAs and p-type InGaAs • Metal/n-InGaAs interface is more strongly pinned than the metal/p-InGaAs interface.

  10. Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma

    International Nuclear Information System (INIS)

    Goerke, Sebastian; Ziegler, Mario; Ihring, Andreas; Dellith, Jan; Undisz, Andreas; Diegel, Marco; Anders, Solveig; Huebner, Uwe; Rettenmayr, Markus; Meyer, Hans-Georg

    2015-01-01

    Highlights: • AlN films grown at 150 °C by ALD using trimethylaluminum and H 2 /N 2 -plasma. • Nearly stoichiometric AlN films (ratio Al:N = 0.938), polycrystalline by XRD/TEM. • Refractive index of n = 1.908 and low thermal conductivity of κ = 1.66 W/(m K). • Free-standing AlN membranes mechanically stable and buckling free (tensile strain). • Membrane patterning by focused ion beam etching possible. - Abstract: Aluminum nitride (AlN) thin films with thicknesses from 20 to 100 nm were deposited on silicon, amorphous silica, silicon nitride, and vitreous carbon by plasma enhanced atomic layer deposition (PE-ALD). Trimethylaluminum (TMA) and a H 2 /N 2 plasma mixture were used as precursors. We investigated the influence of deposition temperature and plasma parameters on the growth characteristics and the film properties of AlN. Stable PE-ALD growth conditions were obtained from 150 °C to the highest tested temperature of 300 °C. The growth rate, refractive index, and thickness homogeneity on 4″ wafers were determined by spectroscopic ellipsometry. X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM) and Rutherford backscattering spectrometry (RBS) were carried out to analyze crystallinity and composition of the films. Furthermore, the thermal conductivity and the film stress were determined. The stress was sufficiently low to fabricate mechanically stable free-standing AlN membranes with lateral dimensions of up to 2.2 × 2.2 mm 2 . The membranes were patterned with focused ion beam etching. Thus, these AlN membranes qualify as dielectric support material for a variety of potential applications

  11. Engineering Nanoscale Multiferroic Composites for Memory Applications with Atomic Layer Deposition of Pb(ZrxTi1-x)O3 Thin Films

    Science.gov (United States)

    Chien, Diana

    This work focuses on the development of atomic layer deposition (ALD) for lead zirconate titanate, Pb(ZrxTi1-x)O 3 (PZT). Leveraging the surface-reaction controlled process based on alternating self-limiting surface reactions, PZT can be synthesized not only with elemental precision to realize the desired composition (Zr/Ti = 52/48) but also with outstanding conformality. The latter enables the integration of PZT with a ferromagnetic phase to realize multiferroism (MF) and magnetoelectric (ME) effect. Since PZT is one of the best known ferroelectric and piezoelectric materials due the large displacements of the Pb ions at the morphotropic phase boundary, PZT based MF composites could lead to stronger ME coupling through strain coupling at the interface. Specifically, ALD PZT thin films were synthesized by using beta-diketonate metalorganic precursors Pb(TMHD)2, Zr(TMHD)4, and Ti(O.i-Pr) 2(TMHD)2 and H2O. The number of local cycles and global cycles were regulated to achieve the desired stoichiometry and thickness, respectively. ALD of PZT was studied to obtain (100) textured PZT on Pt (111) oriented platinized silicon substrates. In order to attain a highly oriented PZT thin film, a (100) textured PbTiO3 seed layer was required because PZT orientation is governed by nucleation. MF nanocomposites were engineered using ALD PZT thin films to achieve controlled complex nanoscale structures, enabling porosity to be studied as a new additional parameter for nanocomposite architectures to enhance ME effect. Specifically, 3--6 nm-thick ALD PZT thin films were deposited to uniformly coat the walls of mesoporous cobalt ferrite (CFO) template. The PZT/CFO nanocomposites were electrically poled ex-situ and the change in magnetic moment was measured. The inverse magnetoelectric coupling coefficient, a, was determined to be 85.6 Oe-cm/mV. The in-plane results show no significant change in magnetization (1--4%) as a function of electric field, which was expected due to the effect

  12. Fabrication of thin film CZTS solar cells with Pulsed Laser Deposition

    DEFF Research Database (Denmark)

    Cazzaniga, Andrea Carlo

    behind the Quantum Efficiency (QE) curve. What do I learn by reading this thesis? You will learn how to deposit a thin film CZTS absorber layer with Pulsed Laser Deposition with the desired composition. In addition, you will see how material transfer in PLD, which is generally believed...... to be stoichiometric, can be very much non-stoichiometric. How to do it? I suggest to do PLD on a single sintered target (2CuS:ZnS:SnS) .The films are deposited at room temperature and then annealed in a furnace with some sulfur powder aside. The annealing step is as important as the PLD step to the final device...... the non-equilibrium properties of PLD for the production of CZTS films. This may enable one to deposit crystalline CZTS at lower substrate temperature, with no requirement for an annealing step afterwards. Preliminary results do not seem too encouraging. The main obstacle to this approach may...

  13. Zn Thin Film Deposition for Fe Layer Shielding Use the Sputtering Technique on Cylindrical Form

    International Nuclear Information System (INIS)

    Yunanto; Tjipto Sujitno, BA; Suprapto; Simbolon, Sahat

    2002-01-01

    Deposition of thin film on Fe substrate use sputtering technique on cylindrical form was carried out. The purpose of this research is to protect Fe due to the corrosion with Zn thin film. Sputtering method was proposed to protect a component of complex form. Substrate has functioned as anode, meanwhile target in cylindrical form as a cathode. Argon ion from anode bombard Zn with enough energy for releasing Zn. Zn atom would scatter and some of then was focused on the anode. For testing Zn atom on Fe by using XRF and corrosion rate with potentiostat. It was found that corrosion rate was decreased from 0.051 mpy to 0.031 mpy on 0.63 % of Fe substrate. (author)

  14. Thin layer activation

    International Nuclear Information System (INIS)

    Schweickert, H.; Fehsenfeld, P.

    1995-01-01

    The reliability of industrial equip ment is substantially influenced by wear and corrosion; monitoring can prevent accidents and avoid down-time. One powerful tool is thin layer activation analysis (TLA) using accelerator systems. The information is used to improve mechanical design and material usage; the technology is used by many large companies, particularly in the automotive industry, e.g. Daimler Benz. A critical area of a machine component receives a thin layer of radioactivity by irradiation with charged particles from an accelerator - usually a cyclotron. The radioactivity can be made homogeneous by suitable selection of particle, beam energy and angle of incidence. Layer thickness can be varied from 20 microns to around 1 mm with different depth distributions; the position and size of the wear zone can be set to within 0.1 mm. The machine is then reassembled and operated so that wear can be measured. An example is a combustion engine comprising piston ring, cylinder wall, cooling water jacket and housing wall, where wear measurements on the cylinder wall are required in a critical zone around the dead-point of the piston ring. Proton beam bombardment creates a radioactive layer whose thickness is known accurately, and characteristic gamma radiation from this radioactive zone penetrates through the engine and is detected externally. Measurements can be made either of the activity removed from the surface, or of the (reduced) residual activity; wear measurement of the order of 10 -9 metres is possible

  15. Electrochemical study of the tarnish layer of silver deposited on glass

    OpenAIRE

    Ben Amor , Yasser; Sutter , Eliane; Takenouti , Hisasi; Tribollet , Bernard; Boinet , M.; Faure , R.; Balencie , J.; Durieu , G.

    2014-01-01

    International audience; Cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS) were used to characterize the tarnished thin layer of silver deposited on glass. Instead of natural tarnishing in air environment, an acceleration of tarnishing process was realized by immersion of Ag covered glass in 10 μM K2S medium. The X-ray photoelectron spectroscopy (XPS) shows that tarnishing product formed on the silver surface consisted of Ag2S and Ag2O. As electrochemical characterizatio...

  16. Experimental Study on Fabrication of AZO Transparent Electrode for Organic Solar Cell Using Selective Low-Temperature Atomic Layer Deposition

    International Nuclear Information System (INIS)

    Kim, Kicheol; Song, Gensoo; Kim, Hyungtae; Yoo, Kyunghoon; Kang, Jeongjin; Hwang, Junyoung; Lee, Sangho; Kang, Kyungtae; Kang, Heuiseok; Cho, Youngjune

    2013-01-01

    AZO (aluminum-doped zinc oxide) is one of the best candidate materials to replace Into (indium tin oxide) for TKOs (transparent conductive oxides) used in flat panel displays, organic light-emitting diodes (OLDS), and organic solar cells (OCSS). In the present study, to apply an AZO thin film to the transparent electrode of an organic solar cell, a low temperature selective atomic layer deposition (ALD) process was adopted to deposit an AZO thin film on a flexible polyethylene-naphthanate (Pen) substrate. The reactive gases for the ALD process were di-ethyl-zinc (De) and tri-methylaluminum (Tma) as precursors and H 2 O as an oxidant. The structural, electrical, and optical characteristics of the AZO thin film were evaluated. From the measured results of the electrical and optical characteristics of the AZO thin films deposited on the Pen substrates by Ald, it was shown that the Azo thin film appeared to be comparable to a commercially used Into thin film, which confirmed the feasibility of AZO as a TCO for flexible organic solar cells in the near future

  17. High performance diamond-like carbon layers obtained by pulsed laser deposition for conductive electrode applications

    Science.gov (United States)

    Stock, F.; Antoni, F.; Le Normand, F.; Muller, D.; Abdesselam, M.; Boubiche, N.; Komissarov, I.

    2017-09-01

    For the future, one of the biggest challenge faced to the technologies of flat panel display and various optoelectronic and photovoltaic devices is to find an alternative to the use of transparent conducting oxides like ITO. In this new approach, the objective is to grow high conductive thin-layer graphene (TLG) on the top of diamond-like carbon (DLC) layers presenting high performance. DLC prepared by pulsed laser deposition (PLD) have attracted special interest due to a unique combination of their properties, close to those of monocrystalline diamond, like its transparency, hardness and chemical inertia, very low roughness, hydrogen-free and thus high thermal stability up to 1000 K. In our future work, we plane to explore the synthesis of conductive TLG on top of insulating DLC thin films. The feasibility and obtained performances of the multi-layered structure will be explored in great details in the short future to develop an alternative to ITO with comparable performance (conductivity of transparency). To select the best DLC candidate for this purpose, we focus this work on the physicochemical properties of the DLC thin films deposited by PLD from a pure graphite target at two wavelengths (193 and 248 nm) at various laser fluences. A surface graphenization process, as well as the required efficiency of the complete structure (TLG/DLC) will clearly be related to the DLC properties, especially to the initial sp3/sp2 hybridization ratio. Thus, an exhaustive description of the physicochemical properties of the DLC layers is a fundamental step in the research of comparable performance to ITO.

  18. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  19. Adhesion-enhanced thick copper film deposition on aluminum oxide by an ion-beam-mixed Al seed layer

    International Nuclear Information System (INIS)

    Kim, Hyung-Jin; Park, Jae-Won

    2012-01-01

    We report a highly-adherent 30-μm Cu conductive-path coating on an aluminum-oxide layer anodized on an aluminum-alloy substrate for a metal-printed circuit-board application. A 50-nm Al layer was first coated with an e-beam evaporative deposition method on the anodized oxide, followed by ion bombardment to mix the interfacial region. Subsequently, a Cu coating was deposited onto the mixed seed layer to the designed thickness. Adhesions of the interface were tested by using tape adhesion test, and pull-off tests and showed commercially acceptable adhesions for such thick coating layers. The ion beam mixing (IBM) plays the role of fastening the thin seed coating layer to the substrate and enhancing the adhesion of the Cu conductive path on the anodized aluminum surface.

  20. Fatigue-resistant epitaxial Pb(Zr,Ti)O3 capacitors on Pt electrode with ultra-thin SrTiO3 template layers

    International Nuclear Information System (INIS)

    Takahara, Seiichi; Morimoto, Akiharu; Kawae, Takeshi; Kumeda, Minoru; Yamada, Satoru; Ohtsubo, Shigeru; Yonezawa, Yasuto

    2008-01-01

    Lead zirconate-titanate Pb(Zr,Ti)O 3 (PZT) capacitors with Pt bottom electrodes were prepared on MgO substrates by pulsed laser deposition (PLD) technique employing SrTiO 3 (STO) template layer. Perovskite PZT thin films are prepared via stoichiometric target using the ultra-thin STO template layers while it is quite difficult to obtain the perovskite PZT on Pt electrode via stoichiometric target in PLD process. The PZT capacitor prepared with the STO template layer showed good hysteresis and leakage current characteristics, and it showed an excellent fatigue resistance. The ultra-thin STO template layers were characterized by angle-resolved X-ray photoelectron spectroscopy measurement. The effect of the STO template layer is discussed based on the viewpoint of the perovskite nucleation and diffusion of Pb and O atoms

  1. Study of Sb2S3 thin films deposited by SILAR method

    Science.gov (United States)

    Deshpande, M. P.; Chauhan, Krishna; Patel, Kiran N.; Rajput, Piyush; Bhoi, Hiteshkumar R.; Chaki, S. H.

    2018-05-01

    In the present work, we deposited Sb2S3 thin films on glass slide by successive ionic layer adsorption and reaction (SILAR) technique with different time cycles. From EDAX, we could observe that the films were non-stoichiometric and contained few elements from glass slide. X-ray diffraction has shown that these films are orthorhombic in structure from where we have calculated the lattice parameter and crystallize size. SEM images shows that SILAR synthesized Sb2S3 thin films are homogenous and well distributed indicating the formation of uniform thin films at lower concentration. The room temperature Raman spectra of Sb2S3 thin films showed sharp peaks at 250 cm‑1 and 300 cm‑1 for all cases. Room temperature photoluminescence emission spectrum shows broad bands over 430–480 nm range with strong blue emission peak centered at same wavelength of 460 nm (2.70 eV) for all cases.

  2. Structural, electrical and optical properties of nanostructured ZrO2 thin film deposited by SILAR method

    Science.gov (United States)

    Salodkar, R. V.; Belkhedkar, M. R.; Nemade, S. D.

    2018-05-01

    Successive Ionic Layer Adsorption and Reaction (SILAR) method has been employed to deposit nanocrystalline ZrO2 thin film of thickness 91 nm onto glass substrates using ZrOCl2.8H2O and NaOH as cationic and anionic precursors respectively. The structural and surface morphological characterizations have been carried out by means of X-ray diffraction and field emission scanning electron microscopy confirms the nanocrystalline nature of ZrO2 thin film. The direct optical band gap and activation energy of the ZrO2 thin film are found to be 4.74 and 0.80eV respectively.

  3. Enhanced stability of thin film transistors with double-stacked amorphous IWO/IWO:N channel layer

    Science.gov (United States)

    Lin, Dong; Pi, Shubin; Yang, Jianwen; Tiwari, Nidhi; Ren, Jinhua; Zhang, Qun; Liu, Po-Tsun; Shieh, Han-Ping

    2018-06-01

    In this work, bottom-gate top-contact thin film transistors with double-stacked amorphous IWO/IWO:N channel layer were fabricated. Herein, amorphous IWO and N-doped IWO were deposited as front and back channel layers, respectively, by radio-frequency magnetron sputtering. The electrical characteristics of the bi-layer-channel thin film transistors (TFTs) were examined and compared with those of single-layer-channel (i.e., amorphous IWO or IWO:N) TFTs. It was demonstrated to exhibit a high mobility of 27.2 cm2 V‑1 s‑1 and an on/off current ratio of 107. Compared to the single peers, bi-layer a-IWO/IWO:N TFTs showed smaller hysteresis and higher stability under negative bias stress and negative bias temperature stress. The enhanced performance could be attributed to its unique double-stacked channel configuration, which successfully combined the merits of the TFTs with IWO and IWO:N channels. The underlying IWO thin film provided percolation paths for electron transport, meanwhile, the top IWO:N layer reduced the bulk trap densities. In addition, the IWO channel/gate insulator interface had reduced defects, and IWO:N back channel surface was insensitive to the ambient atmosphere. Overall, the proposed bi-layer a-IWO/IWO:N TFTs show potential for practical applications due to its possibly long-term serviceability.

  4. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  5. Nanoscale monoclinic domains in epitaxial SrRuO3 thin films deposited by pulsed laser deposition

    Science.gov (United States)

    Ghica, C.; Negrea, R. F.; Nistor, L. C.; Chirila, C. F.; Pintilie, L.

    2014-07-01

    In this paper, we analyze the structural distortions observed by transmission electron microscopy in thin epitaxial SrRuO3 layers used as bottom electrodes in multiferroic coatings onto SrTiO3 substrates for future multiferroic devices. Regardless of the nature and architecture of the multilayer oxides deposited on the top of the SrRuO3 thin films, selected area electron diffraction patterns systematically revealed the presence of faint diffraction spots appearing in forbidden positions for the SrRuO3 orthorhombic structure. High-resolution transmission electron microscopy (HRTEM) combined with Geometric Phase Analysis (GPA) evidenced the origin of these forbidden diffraction spots in the presence of structurally disordered nanometric domains in the SrRuO3 bottom layers, resulting from a strain-driven phase transformation. The local high compressive strain (-4% ÷ -5%) measured by GPA in the HRTEM images induces a local orthorhombic to monoclinic phase transition by a cooperative rotation of the RuO6 octahedra. A further confirmation of the origin of the forbidden diffraction spots comes from the simulated diffraction patterns obtained from a monoclinic disordered SrRuO3 structure.

  6. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  7. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  8. Nanosphere lithography applied to magnetic thin films

    Science.gov (United States)

    Gleason, Russell

    Magnetic nanostructures have widespread applications in many areas of physics and engineering, and nanosphere lithography has recently emerged as promising tool for the fabrication of such nanostructures. The goal of this research is to explore the magnetic properties of a thin film of ferromagnetic material deposited onto a hexagonally close-packed monolayer array of polystyrene nanospheres, and how they differ from the magnetic properties of a typical flat thin film. The first portion of this research focuses on determining the optimum conditions for depositing a monolayer of nanospheres onto chemically pretreated silicon substrates (via drop-coating) and the subsequent characterization of the deposited nanosphere layer with scanning electron microscopy. Single layers of permalloy (Ni80Fe20) are then deposited on top of the nanosphere array via DC magnetron sputtering, resulting in a thin film array of magnetic nanocaps. The coercivities of the thin films are measured using a home-built magneto-optical Kerr effect (MOKE) system in longitudinal arrangement. MOKE measurements show that for a single layer of permalloy (Py), the coercivity of a thin film deposited onto an array of nanospheres increases compared to that of a flat thin film. In addition, the coercivity increases as the nanosphere size decreases for the same deposited layer. It is postulated that magnetic exchange decoupling between neighboring nanocaps suppresses the propagation of magnetic domain walls, and this pinning of the domain walls is thought to be the primary source of the increase in coercivity.

  9. Pulsed laser deposition of Pb(Zr0.52Ti0.48)O3 thin film on cobalt ferrite nano-seed layered Pt(111)/Si substrate: effect of oxygen pressure

    Science.gov (United States)

    Khodaei, M.; Seyyed Ebrahimi, S. A.; Park, Yong Jun; Song, Seungwoo; Jang, Hyun Myung; Son, Junwoo; Baik, Sunggi

    2014-07-01

    The effect of oxygen pressure during pulsed laser deposition of Pb(Zr0.52Ti0.48)O3 (PZT) thin films on CoFe2O4 nano-seed layered Pt(111)/Si substrate was investigated. The PZT film deposited at oxygen pressure lower than 25 mTorr is identified as both perovskite and pyrochlore phases and the films deposited at high oxygen pressure (50-100 mTorr) show the single-phase perovskite PZT that has a perfect (111)-orientation. In addition, the film deposited at PO2 of 50 mTorr has a uniform surface morphology, whereas the film deposited at PO2 of 100 mTorr has a non-uniform surface morphology and more incompacted columnar cross-section microstructure. The polarization of film deposited at 100 mTorr is higher than that deposited at 50 mTorr, but shift of the hysteresis loop along the electrical field axis in the film deposited at PO2 of 100 mTorr is larger than that of the film deposited at PO2 of 50 mTorr.

  10. Enhanced magnetic properties of chemical solution deposited BiFeO3 thin film with ZnO buffer layer

    International Nuclear Information System (INIS)

    Rajalakshmi, R.; Kambhala, Nagaiah; Angappane, S.

    2012-01-01

    Highlights: ► Enhanced magnetization of BiFeO 3 is important for strong magnetoelectric coupling. ► BiFeO 3 film with ZnO buffer layer was successfully synthesized by chemical method. ► Magnetization of BiFeO 3 has increased by more than 10 times with ZnO buffer layer. ► A mechanism for enhancement in ferromagnetism of BiFeO 3 film is proposed. - Abstract: Magnetic properties of BiFeO 3 films deposited on Si substrates with and without ZnO buffer layer have been studied in this work. We adopted the chemical solution deposition method for the deposition of BiFeO 3 as well as ZnO films. The x-ray diffraction measurements on the deposited films confirm the formation of crystalline phase of BiFeO 3 and ZnO films, while our electron microscopy measurements help to understand the morphology of few micrometers thick films. It is found that the deposited ZnO film exhibit a hexagonal particulate surface morphology, whereas BiFeO 3 film fully covers the ZnO surface. Our magnetic measurements reveal that the magnetization of BiFeO 3 has increased by more than ten times in BiFeO 3 /ZnO/Si film compared to BiFeO 3 /Si film, indicating the major role played by ZnO buffer layer in enhancing the magnetic properties of BiFeO 3 , a technologically important multiferroic material.

  11. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    Science.gov (United States)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  12. Sol–gel derived scattering layers as substrates for thin-film photovoltaic cells

    Energy Technology Data Exchange (ETDEWEB)

    Hegmann, Jan [Lehrstuhl für Chemische Technologie der Materialsynthese, Universität Würzburg, Röntgenring 11, 97070 Würzburg (Germany); Mandl, Magdalena [Fraunhofer-Institut für Silicatforschung, Neunerplatz 2, 97082 Würzburg (Germany); Löbmann, Peer, E-mail: peer.loebmann@isc.fraunhofer.de [Fraunhofer-Institut für Silicatforschung, Neunerplatz 2, 97082 Würzburg (Germany)

    2014-08-01

    Agglomerated silica particles were coated on glass by dip-coating; the resulting films exhibited optical scattering. With constant optical transmittances > 80% their haze could be modified by the withdrawal rate applied for the respective deposition procedure. Film thickness, surface topography and coverage of the substrate were characterized by Scanning Electron Microscopy and Atomic Force Microscopy. For the use in radiation management in thin-film silicon solar cells in a first step the scattering layers were coated with aluminum-doped zinc oxide by sputtering; the optical performance of the resulting bilayer was characterized by haze measurements and angle resolved scattering spectroscopy. Quantum efficiencies of complete solar cells could be determined after the deposition of a hydrogenated amorphous Si/hydrogenated microcrystalline Si tandem absorber and application of metallic back contacts. It turned out that the external quantum efficiency of the resulting cells is not directly related to the light scattering performance of the scattering layer used. - Highlights: • Characterization of sol–gel scattering layers • Combination of different coating-technologies to prepare stacks with optical functionality • Comprehensive material preparation and characterization for complex multilayer.

  13. Deposition of stress free c-axis oriented LiNbO3 thin film grown on (002) ZnO coated Si substrate

    International Nuclear Information System (INIS)

    Shandilya, Swati; Gupta, Vinay; Tomar, Monika

    2012-01-01

    C-axis oriented lithium niobate thin films have been deposited on Si substrate using RF sputtering technique. A thin buffer layer of c-axis (002) oriented ZnO on Si substrate has been used as a nucleating layer to promote the growth of (006) oriented LiNbO 3 film. The processing gas composition and pressure are found to be very critical in obtaining stress free LiNbO 3 film having desired (006) orientation. The LiNbO 3 films deposited under unique combination of sputtering pressure (10 mTorr) and argon percentage (80%) in reactive gas (Ar + O 2 ) composition become almost stress free having lattice parameter (1.3867 A) close to the bulk value. The observed variation in the structural properties and optical phonon modes observed by Raman spectroscopic studies of the oriented LiNbO 3 thin film with stress has been correlated with growth kinetics.

  14. Influence of deposition parameters and annealing on Cu{sub 2}ZnSnS{sub 4} thin films grown by SILAR

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Kinjal; Shah, Dimple V. [Department of Applied Physics, S.V. National Institute of Technology, Surat 395007 (India); Kheraj, Vipul, E-mail: vipulkheraj@gmail.com [Department of Applied Physics, S.V. National Institute of Technology, Surat 395007 (India); Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, UT 84112 (United States)

    2015-02-15

    Highlights: • Optimisation of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin film deposition using SILAR method. • Study on effects of annealing at different temperature under two different ambients, viz. sulphur and tin sulphide. • Formation of CZTS thin films with good crystalline quality confirmed by XRD and Raman spectra. - Abstract: Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films were deposited on glass substrates using Successive Ionic Layer Adsorption and Reaction (SILAR) technique at the room-temperature. The deposition parameters such as concentration of precursors and number of cycles were optimised for the deposition of uniform CZTS thin films. Effects of annealing at different temperature under two different ambient, viz. sulphur and tin sulphide have also been investigated. The structural and optical properties of the films were studied using X-ray diffraction, scanning electron microscopy, Raman spectroscopy and UV-visible spectra in light with the deposition parameters and annealing conditions. It is observed that a good quality CZTS film can be obtained by SILAR at room temperature followed by annealing at 500 °C in presence of sulphur.

  15. PVD processes of thin films deposition using Hall-current discharge

    International Nuclear Information System (INIS)

    Svadkovskij, I.V.

    2007-01-01

    Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)

  16. Effect of Annealing Temperature on Flowerlike Cu3BiS3 Thin Films Grown by Chemical Bath Deposition

    Science.gov (United States)

    Deshmukh, S. G.; Patel, S. J.; Patel, K. K.; Panchal, A. K.; Kheraj, Vipul

    2017-10-01

    For widespread application of thin-film photovoltaic solar cells, synthesis of inexpensive absorber material is essential. In this work, deposition of ternary Cu3BiS3 absorber material, which contains abundant and environmentally benign elements, was carried out on glass substrate. Flowerlike Cu3BiS3 thin films with nanoflakes as building block were formed on glass substrate by chemical bath deposition. These films were annealed at 573 K and 673 K in sulfur ambient for structural improvement. Their structure was characterized using Raman spectroscopy, as well as their surface morphological and optical properties. The x-ray diffraction profile of as-deposited Cu3BiS3 thin film revealed amorphous structure, which transformed to orthorhombic phase after annealing. The Raman spectrum exhibited a characteristic peak at 290 cm-1. Scanning electron microscopy of as-deposited Cu3BiS3 film confirmed formation of nanoflowers with diameter of around 1052 nm. Wettability testing of as-deposited Cu3BiS3 thin film demonstrated hydrophobic nature, which became hydrophilic after annealing. The measured ultraviolet-visible (UV-Vis) absorption spectra of the Cu3BiS3 thin films gave an absorption coefficient of 105 cm-1 and direct optical bandgap of about 1.42 eV after annealing treatment. Based on all these results, such Cu3BiS3 material may have potential applications in the photovoltaic field as an absorber layer.

  17. All-gas-phase synthesis of UiO-66 through modulated atomic layer deposition

    Science.gov (United States)

    Lausund, Kristian Blindheim; Nilsen, Ola

    2016-11-01

    Thin films of stable metal-organic frameworks (MOFs) such as UiO-66 have enormous application potential, for instance in microelectronics. However, all-gas-phase deposition techniques are currently not available for such MOFs. We here report on thin-film deposition of the thermally and chemically stable UiO-66 in an all-gas-phase process by the aid of atomic layer deposition (ALD). Sequential reactions of ZrCl4 and 1,4-benzenedicarboxylic acid produce amorphous organic-inorganic hybrid films that are subsequently crystallized to the UiO-66 structure by treatment in acetic acid vapour. We also introduce a new approach to control the stoichiometry between metal clusters and organic linkers by modulation of the ALD growth with additional acetic acid pulses. An all-gas-phase synthesis technique for UiO-66 could enable implementations in microelectronics that are not compatible with solvothermal synthesis. Since this technique is ALD-based, it could also give enhanced thickness control and the possibility to coat irregular substrates with high aspect ratios.

  18. Effect of band-aligned double absorber layers on photovoltaic characteristics of chemical bath deposited PbS/CdS thin film solar cells.

    Science.gov (United States)

    Ho Yeon, Deuk; Chandra Mohanty, Bhaskar; Lee, Seung Min; Soo Cho, Yong

    2015-09-23

    Here we report the highest energy conversion efficiency and good stability of PbS thin film-based depleted heterojunction solar cells, not involving PbS quantum dots. The PbS thin films were grown by the low cost chemical bath deposition (CBD) process at relatively low temperatures. Compared to the quantum dot solar cells which require critical and multistep complex procedures for surface passivation, the present approach, leveraging the facile modulation of the optoelectronic properties of the PbS films by the CBD process, offers a simpler route for optimization of PbS-based solar cells. Through an architectural modification, wherein two band-aligned junctions are stacked without any intervening layers, an enhancement of conversion efficiency by as much as 30% from 3.10 to 4.03% facilitated by absorption of a wider range of solar spectrum has been obtained. As an added advantage of the low band gap PbS stacked over a wide gap PbS, the devices show stability over a period of 10 days.

  19. Properties of pulsed laser deposited NiO/MWCNT thin films

    CSIR Research Space (South Africa)

    Yalisi, B

    2011-05-01

    Full Text Available Pulsed laser deposition (PLD) is a thin-film deposition technique, which uses short and intensive laser pulses to evaporate target material. The technique has been used in this work to produce selective solar absorber (SSA) thin film composites...

  20. Hybrid Organic/ZnO p-n Junctions with n-Type ZnO Grown by Atomic Layer Deposition

    Science.gov (United States)

    Łuka, G.; Krajewski, T.; Szczerbakow, A.; Łusakowska, E.; Kopalko, K.; Guziewicz, E.; Wachnicki, Ł.; Szczepanik, A.; Godlewski, M.; Fidelus, J. D.

    2008-11-01

    We report on fabrication of hybrid inorganic-on-organic thin film structures with polycrystalline zinc oxide films grown by atomic layer deposition technique. ZnO films were deposited on two kinds of thin organic films, i.e. pentacene and poly(dimethylosiloxane) elastomer with a carbon nanotube content (PDMS:CNT). Surface morphology as well as electrical measurements of the films and devices were analyzed. The current density versus voltage (I-V) characteristics of ITO/pentacene/ZnO/Au structure show a low-voltage switching phenomenon typical of organic memory elements. The I-V studies of ITO/PDMS:CNT/ZnO/Au structure indicate some charging effects in the system under applied voltages.

  1. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  2. Evolution of microstructure and related optical properties of ZnO grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Adib Abou Chaaya

    2013-10-01

    Full Text Available A study of transmittance and photoluminescence spectra on the growth of oxygen-rich ultra-thin ZnO films prepared by atomic layer deposition is reported. The structural transition from an amorphous to a polycrystalline state is observed upon increasing the thickness. The unusual behavior of the energy gap with thickness reflected by optical properties is attributed to the improvement of the crystalline structure resulting from a decreasing concentration of point defects at the growth of grains. The spectra of UV and visible photoluminescence emissions correspond to transitions near the band-edge and defect-related transitions. Additional emissions were observed from band-tail states near the edge. A high oxygen ratio and variable optical properties could be attractive for an application of atomic layer deposition (ALD deposited ultrathin ZnO films in optical sensors and biosensors.

  3. Growth of thick La2Zr2O7 buffer layers for coated conductors by polymer-assisted chemical solution deposition

    International Nuclear Information System (INIS)

    Zhang, Xin; Zhao, Yong; Xia, Yudong; Guo, Chunsheng; Cheng, C.H.; Zhang, Yong; Zhang, Han

    2015-01-01

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La 2 Zr 2 O 7 (LZO) epitaxial films have been deposited on LaAlO 3 (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa 2 Cu 3 O 7−x (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm 2 at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors

  4. Preparation of thin layers of BiSrCaCuO by method MOCVD

    International Nuclear Information System (INIS)

    Beran, P.; Stejskal, J.; Strejc, A.; Nevriva, M.; Sedmibudsky, D.; Leitner, J.

    1999-01-01

    Preparation of superconducting material on the basis mixed oxides of BiSrCaCuO by chemical vapour deposition (CVD) method is described. Surface morphology and concentration profiles of elements were analyzed by scanning electron microscope and microprobe. Phase of layers was analysed by X-ray diffraction (radiation of Cu kα ). Samples of thin layers were characterized by magnetic susceptibility in temperature interval 10 to 150 K. Obtained results confirm formation of superconducting phases Bi 2 Sr 2 Ca 1 Cu 2 O x and Bi 2 Sr 2 Xa 2 Cu 3 O x

  5. Physical characterization of Cu{sub 2}ZnGeSe{sub 4} thin films from annealing of Cu-Zn-Ge precursor layers

    Energy Technology Data Exchange (ETDEWEB)

    Buffière, M., E-mail: buffiere@imec.be [Imec—Partner in Solliance, Leuven (Belgium); Department of Electrical Engineering (ESAT), KU Leuven, Heverlee (Belgium); ElAnzeery, H. [Imec—Partner in Solliance, Leuven (Belgium); KACST-Intel Consortium Center of Excellence in Nano-manufacturing Applications (CENA), Riyadh (Saudi Arabia); Microelectronics System Design department, Nile University, Cairo (Egypt); Oueslati, S.; Ben Messaoud, K. [Imec—Partner in Solliance, Leuven (Belgium); KACST-Intel Consortium Center of Excellence in Nano-manufacturing Applications (CENA), Riyadh (Saudi Arabia); Department of Physics, Faculty of Sciences of Tunis, El Manar (Tunisia); Brammertz, G.; Meuris, M. [Imec Division IMOMEC — Partner in Solliance, Diepenbeek (Belgium); Institute for Material Research (IMO) Hasselt University, Diepenbeek (Belgium); Poortmans, J. [Imec—Partner in Solliance, Leuven (Belgium); Department of Electrical Engineering (ESAT), KU Leuven, Heverlee (Belgium)

    2015-05-01

    Cu{sub 2}ZnGeSe{sub 4} (CZGeSe) can be considered as a potential alternative for wide band gap thin film devices. In this work, CZGeSe thin films were deposited on Mo-coated soda lime glass substrates by sequential deposition of sputtered Cu, Zn and e-beam evaporated Ge layers from elemental targets followed by annealing at high temperature using H{sub 2}Se gas. We report on the effect of the precursor stack order and composition and the impact of the annealing temperature on the physical properties of CZGeSe thin films. The optimal layer morphology was obtained when using a Mo/Cu/Zn/Ge precursor stack annealed at 460 °C. We have observed that the formation of secondary phases such as ZnSe can be prevented by tuning the initial composition of the stack, the stack order and the annealing conditions. This synthesis process allows synthesizing CZGeSe absorber with an optical band gap of 1.5 eV. - Highlights: • Cu{sub 2}ZnGeSe{sub 4} (CZGeSe) thin films were deposited using a two-step process. • CZGeSe dense layers were obtained using a Mo/Cu/Zn/Ge precursor annealed at 460 °C. • Formation of ZnSe can be avoided by tuning the composition and order of the initial stack. • P-type CZGeSe absorber with an optical band gap of 1.5 eV was obtained.

  6. Electrical conductivity and oxygen exchange kinetics of La2NiO4+delta thin films grown by chemical vapor deposition

    DEFF Research Database (Denmark)

    Garcia, G.; Burriel, M.; Bonanos, Nikolaos

    2008-01-01

    Epitaxial c-axis oriented La2NiO4+delta films were deposited onto SrTiO3 and NdGaO3 substrates by the pulsed injection metal organic chemical vapor deposition technique. Experimental conditions were optimized in order to accurately control the composition, thickness, and texture of the layers. X......-ray diffraction was used to confirm the high crystalline quality of the obtained material. Electrical characterizations were performed on thin (50 nm) and thick (335 nm) layers. The total specific conductivity, which is predominantly electronic, was found to be larger for the thinner films measured (50 nm......), probably due to the effect of the strain present in the layers. Those thin films (50 nm) showed values even larger than those observed for single crystals and, to our knowledge, are the largest conductivity values reported to date for the La2NiO4+delta material. The oxygen exchange kinetics was studied...

  7. Surface analysis monitoring of polyelectrolyte deposition on Ba0.5Sr0.5TiO3 thin films

    International Nuclear Information System (INIS)

    Morales-Cruz, Angel L.; Fachini, Estevao R.; Miranda, Felix A.; Cabrera, Carlos R.

    2007-01-01

    Thin films are currently gaining interest in many areas such as integrated optics, sensors, friction, reducing coatings, surface orientation layers, and general industrial applications. Recently, molecular self-assembling techniques have been applied for thin film deposition of electrically conducting polymers, conjugated polymers for light-emitting devices, nanoparticles, and noncentrosymmetric-ordered second order nonlinear optical (NOL) devices. Polyelectrolytes self-assemblies have been used to prepare thin films. The alternate immersion of a charged surface in polyannion and a polycation solution leads usually to the formation of films known as polyelectrolyte multilayers. These polyanion and polycation structures are not neutral. However, charge compensation appears on the surface. This constitutes the building driving force of the polyelectrolyte multilayer films. The present approach consists of two parts: (a) the chemisorption of 11-mercaptoundecylamine (MUA) to construct a self-assembled monolayer with the consequent protonation of the amine, and (b) the deposition of opposite charged polyelectrolytes in a sandwich fashion. The approach has the advantage that ionic attraction between opposite charges is the driving force for the multilayer buildup. For our purposes, the multilayer of polyelectrolytes depends on the quality of the surface needed for the application. In many cases, this approach will be used in a way that the roughness factor defects will be diminished. The polyelectrolytes selected for the study were: polystyrene sulfonate sodium salt (PSS), poly vinylsulfate potassium salt (PVS), and polyallylamine hydrochloride (PAH), as shown in . The deposition of polyelectrolytes was carried out by a dipping procedure with the corresponding polyelectrolyte. Monitoring of the alternate deposition of polyelectrolyte bilayers was done by surface analysis techniques such as X-ray photoelectron spectroscopy (XPS), specular reflectance infrared (IR), and

  8. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  9. ATOMIC LAYER DEPOSITION OF TITANIUM OXIDE THIN FILMS ONNANOPOROUS ALUMINA TEMPLATES FOR MEDICAL APPLICATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Brigmon, R.

    2009-05-05

    Nanostructured materials may play a significant role in controlled release of pharmacologic agents for treatment of cancer. Many nanoporous polymer materials are inadequate for use in drug delivery. Nanoporous alumina provides several advantages over other materials for use in controlled drug delivery and other medical applications. Atomic layer deposition was used to coat all the surfaces of the nanoporous alumina membrane in order to reduce the pore size in a controlled manner. Both the 20 nm and 100 nm titanium oxide-coated nanoporous alumina membranes did not exhibit statistically lower viability compared to the uncoated nanoporous alumina membrane control materials. In addition, 20 nm pore size titanium oxide-coated nanoporous alumina membranes exposed to ultraviolet light demonstrated activity against Escherichia coli and Staphylococcus aureus bacteria. Nanostructured materials prepared using atomic layer deposition may be useful for delivering a pharmacologic agent at a precise rate to a specific location in the body. These materials may serve as the basis for 'smart' drug delivery devices, orthopedic implants, or self-sterilizing medical devices.

  10. Ellipsometry study on Pd thin film grown by atomic layer deposition with Maxwell–Garnett effective medium approximation model

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yihang; Zhou, Xueqi; Cao, Kun [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Xiuguo; Deng, Zhang [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan, E-mail: shyliu@hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Shan, Bin [State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Rong, E-mail: rongchen@mail.hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2015-10-30

    Maxwell–Garnett effective medium approximation (MG-EMA) model is chosen to study Pd ultrathin film grown on Si substrate, as well as its growth on self-assembled monolayers (SAMs) modified substrate respectively. The general oscillator (GO) model with one Drude and two Lorentz oscillators is firstly applied to fix the optical constants of Pd. Compared with Pd bulk model, MG-EMA model with GO is more reliable to predict the film thickness verified by X-ray reflection test. The stable growth rate on Si substrate reveals our methods are feasible and the quartz crystal microbalance measurement confirms the stability of the ALD chamber. For Pd coverage, MG-EMA fitting result is similar to the statistical computation from scanning electron microscope when Pd ALD cycles are over 400, while large bias exists for cycles under 400, might be due to that air is not the proper filling medium between nanoparticles. Then we change the filling medium into SAMs as a comparison, better fitting performance is obtained. It is demonstrated that the filling medium between nanoparticles is important for the application of MG-EMA model. - Highlights: • Ultrathin Pd thin films were grown by atomic layer deposition. • The measurement of thin film was important to understand initial growth behavior. • Maxwell–Garnett effective medium approximation model was applied. • Pd nanoparticle size and coverage were studied. • The filling medium between nanoparticles was important for model application.

  11. Investigation of the in-plane and out-of-plane electrical properties of metallic nanoparticles in dielectric matrix thin films elaborated by atomic layer deposition

    Science.gov (United States)

    Thomas, D.; Puyoo, E.; Le Berre, M.; Militaru, L.; Koneti, S.; Malchère, A.; Epicier, T.; Roiban, L.; Albertini, D.; Sabac, A.; Calmon, F.

    2017-11-01

    Pt nanoparticles in a Al2O3 dielectric matrix thin films are elaborated by means of atomic layer deposition. These nanostructured thin films are integrated in vertical and planar test structures in order to assess both their in-plane and out-of-plane electrical properties. A shadow edge evaporation process is used to develop planar devices with electrode separation distances in the range of 30 nm. Both vertical and planar test structures show a Poole-Frenkel conduction mechanism. Low trap energy levels (<0.1 eV) are identified for the two test structures which indicates that the Pt islands themselves are not acting as traps in the PF mechanism. Furthermore, a more than three order of magnitude current density difference is observed between the two geometries. This electrical anisotropy is attributed to a large electron mobility difference in the in-plane and out-of-plane directions which can be related to different trap distributions in both directions.

  12. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  13. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  14. Advanced properties of Al-doped ZnO films with a seed layer approach for industrial thin film photovoltaic application

    International Nuclear Information System (INIS)

    Dewald, Wilma; Sittinger, Volker; Szyszka, Bernd; Säuberlich, Frank; Stannowski, Bernd; Köhl, Dominik; Ries, Patrick; Wuttig, Matthias

    2013-01-01

    Currently sputtered Al-doped ZnO films are transferred to industry for the application in thin film silicon solar modules. These films are known to easily form light trapping structures upon etching which are necessary for absorbers with low absorbance such as μc-Si. Up to now the best structures for high efficiency thin film silicon solar cells were obtained by low rate radio frequency (r.f.) sputtering of ceramic targets. However, for industrial application a high rate process is essential. Therefore a seed layer approach was developed to increase the deposition rate while keeping the desired etch morphology and electrical properties. Aluminum doped ZnO films were deposited dynamically by direct current (d.c.) magnetron sputtering from a ceramic ZnO:Al 2 O 3 target (1 wt.%) onto an additional seed layer prepared by r.f. sputtering. ZnO:Al films were investigated with respect to their optical and electrical properties as well as the morphology created after etching for a-Si/μc-Si solar cells. Additionally atomic force microscopy, scanning electron microscopy, X-ray diffraction and Hall measurements were performed, comparing purely r.f. or d.c. sputtered films with d.c. sputtered films on seed layers. With the seed layer approach it was possible to deposit ZnO:Al films with a visual transmittance of 83.5%, resistivity of 295 μΩ cm, electron mobility of 48.9 cm 2 /Vs and electron density of 4.3 · 10 20 cm −3 from a ceramic target at 330 °C. Etch morphologies with 1 μm lateral structure size were achieved. - Highlights: ► Seed layer approach for dynamic sputter deposition of enhanced quality ZnO:Al. ► A thin radio frequency sputtered ZnO:Al layer assists film nucleation on glass. ► Electron mobility was increased up to 49 cm 2 /Vs due to quasi-epitaxial film growth. ► Etch morphology exhibits 1 μm wide craters for light trapping in solar cells. ► The concept was transferred to a seed layer sputtered with direct current

  15. Advanced properties of Al-doped ZnO films with a seed layer approach for industrial thin film photovoltaic application

    Energy Technology Data Exchange (ETDEWEB)

    Dewald, Wilma, E-mail: wilma.dewald@ist.fraunhofer.de [Fraunhofer Institute for Surface Engineering and Thin Films IST, Bienroder Weg 54E, 38108 Braunschweig (Germany); Sittinger, Volker; Szyszka, Bernd [Fraunhofer Institute for Surface Engineering and Thin Films IST, Bienroder Weg 54E, 38108 Braunschweig (Germany); Säuberlich, Frank; Stannowski, Bernd [Sontor GmbH, OT Thalheim, Sonnenallee 7-11, 06766 Bitterfeld-Wolfen (Germany); Köhl, Dominik; Ries, Patrick; Wuttig, Matthias [I. Physikalisches Institut (IA), RWTH Aachen, Sommerfeldstraße 14, 52074 Aachen (Germany)

    2013-05-01

    Currently sputtered Al-doped ZnO films are transferred to industry for the application in thin film silicon solar modules. These films are known to easily form light trapping structures upon etching which are necessary for absorbers with low absorbance such as μc-Si. Up to now the best structures for high efficiency thin film silicon solar cells were obtained by low rate radio frequency (r.f.) sputtering of ceramic targets. However, for industrial application a high rate process is essential. Therefore a seed layer approach was developed to increase the deposition rate while keeping the desired etch morphology and electrical properties. Aluminum doped ZnO films were deposited dynamically by direct current (d.c.) magnetron sputtering from a ceramic ZnO:Al{sub 2}O{sub 3} target (1 wt.%) onto an additional seed layer prepared by r.f. sputtering. ZnO:Al films were investigated with respect to their optical and electrical properties as well as the morphology created after etching for a-Si/μc-Si solar cells. Additionally atomic force microscopy, scanning electron microscopy, X-ray diffraction and Hall measurements were performed, comparing purely r.f. or d.c. sputtered films with d.c. sputtered films on seed layers. With the seed layer approach it was possible to deposit ZnO:Al films with a visual transmittance of 83.5%, resistivity of 295 μΩ cm, electron mobility of 48.9 cm{sup 2}/Vs and electron density of 4.3 · 10{sup 20} cm{sup −3} from a ceramic target at 330 °C. Etch morphologies with 1 μm lateral structure size were achieved. - Highlights: ► Seed layer approach for dynamic sputter deposition of enhanced quality ZnO:Al. ► A thin radio frequency sputtered ZnO:Al layer assists film nucleation on glass. ► Electron mobility was increased up to 49 cm{sup 2}/Vs due to quasi-epitaxial film growth. ► Etch morphology exhibits 1 μm wide craters for light trapping in solar cells. ► The concept was transferred to a seed layer sputtered with direct current.

  16. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    NARCIS (Netherlands)

    Guo, J.; Bui, H.V.; Valdesueiro Gonzalez, D.; Yuan, Shaojun; Liang, Bin; van Ommen, J.R.

    2018-01-01

    This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2) pigment powders by extremely thin aluminum oxide (Al2O3) films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA) and H2O as precursors. The deposition was performed on

  17. Chemical bath deposited rutile TiO{sub 2} compact layer toward efficient planar heterojunction perovskite solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Chao, E-mail: lc401997413@qq.com [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Wu, Zhenhua, E-mail: 80116243@qq.com [Henan Information Engineering School, Zhengzhou 450000 (China); Li, Pengwei, E-mail: pengweili001@126.com [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Fan, Jiajie, E-mail: fanjiajie@zzu.edu.cn [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Zhang, Yiqiang, E-mail: yqzhang@zzu.edu.cn [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Shao, Guosheng, E-mail: gsshao@zzu.edu.cn [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China)

    2017-01-01

    Highlights: • Rutile TiO{sub 2} thin film can be grown on FTO substrate below 100 °C. • 200 mM TiCl{sub 4} precursor solution results in the best PSC performance. • UV/O{sub 3} treatment can reduce the carrier recombination effectively. • Over 12% power conversion efficiency can be achieved for PSCs. - Abstract: TiO{sub 2} is a best choice of electron transport layers in perovskite solar cells, due to its high electron mobility and stability. However, traditional TiO{sub 2} processing method requires rather high annealing temperature (>500 °C), preventing it from application to flexible devices. Here, we show that TiO{sub 2} thin films can be synthesized via chemical bath deposition below 100 °C. Typically, a compact layer of rutile TiO{sub 2} is deposited onto fluorine-doped tin oxide (FTO) coated substrates, in an aqueous TiCl{sub 4} solution at 70 °C. Through the optimization of precursor concentration and ultraviolet-ozone surface modification, over 12% power conversion efficiency can be achieved for CH{sub 3}NH{sub 3}PbI{sub 3} based perovskite solar cells. These findings offer a potential low-temperature technical solution in using TiO{sub 2} thin film as an effective transport layer for flexible perovskite solar cells.

  18. Frequency characterization of thin soft magnetic material layers used in spiral inductors

    International Nuclear Information System (INIS)

    Kriga, Adoum; Allassem, Désiré; Soultan, Malloum; Chatelon, Jean-Pierre; Siblini, Ali; Allard, Bruno; Rousseau, Jean Jacques

    2012-01-01

    The paper details the characterization of thin magnetic materials layers, particularly soft materials, with respect to their behaviour in frequency (from 10 MHz to 1 GHz). The proposed method is suitable for any soft but insulating magnetic material; Yttrium Iron Garnet (YIG) is used as an example. The principle is based on a comparison between simulations for different values of the permeability and measurement values versus frequency of planar inductor structures; an experimental validation is proposed as well. Thin magnetic material is first deposited on an alumina substrate using RF sputtering technique; a planar spiral winding of copper is then deposited on the magnetic material by the same technique. The effective permeability versus frequency is obtained by comparing two samples of spiral windings with and without magnetic material. Network analyser measurements on samples of various geometrical dimensions and of different thicknesses are necessary to determine the effective magnetic permeability; we have obtained a relative effective permeability of about 30 for seven turns spiral inductor of a 17 μm YIG film. - Highlights: ► A simple and original method is presented for the characterization of soft magnetic layer. ► This is a non-destructive method based on standard equipment. ► The principle is based on a comparison between simulations and measurement. ► An experimental validation is proposed as well.

  19. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  20. Atomic layer deposition of cobalt carbide films and their magnetic properties using propanol as a reducing agent

    Energy Technology Data Exchange (ETDEWEB)

    Sarr, Mouhamadou, E-mail: sarrtapha44@yahoo.fr [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg); Bahlawane, Naoufal; Arl, Didier [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg); Dossot, Manuel [Laboratory of Physical Chemistry and Microbiology for the Environment, UMR 7564 CNRS-Université de Lorraine, 405 rue de Vandoeuvre, 54601 Villers-lès-Nancy (France); McRae, Edward [Institut Jean Lamour, UMR 7198CNRS-Université de Lorraine, FST, BP 70239, 54506 Vandoeuvre-lès-Nancy (France); Lenoble, Damien, E-mail: damien.lenoble@list.lu [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg)

    2016-08-30

    Highlights: • Conformal carbon-Co-carbide thin films. • Chemically growth carbone-Co-carbide composite. • Tuneable magnetic properties. - Abstract: The investigation of highly conformal thin films using Atomic Layer Deposition (ALD) is driven by a variety of applications in modern technologies. In particular, the emergence of 3D memory device architectures requires conformal materials with tuneable magnetic properties. Here, nanocomposites of carbon, cobalt and cobalt carbide are deposited by ALD using cobalt acetylacetonate with propanol as a reducing agent. Films were grown by varying the ALD deposition parameters including deposition temperature and propanol exposure time. The morphology, the chemical composition and the crystalline structure of the cobalt carbide film were investigated. Vibrating Sample Magnetometer (VSM) measurements revealed magnetic hysteresis loops with a coercivity reaching 500 Oe and a maximal saturation magnetization of 0.9 T with a grain size less than 15 nm. Magnetic properties are shown to be tuneable by adjusting the deposition parameters that significantly affect the microstructure and the composition of the deposited films.

  1. Assessment of doses caused by electrons in thin layers of tissue-equivalent materials, using MCNP.

    Science.gov (United States)

    Heide, Bernd

    2013-10-01

    Absorbed doses caused by electron irradiation were calculated with Monte Carlo N-Particle transport code (MCNP) for thin layers of tissue-equivalent materials. The layers were so thin that the calculation of energy deposition was on the border of the scope of MCNP. Therefore, in this article application of three different methods of calculation of energy deposition is discussed. This was done by means of two scenarios: in the first one, electrons were emitted from the centre of a sphere of water and also recorded in that sphere; and in the second, an irradiation with the PTB Secondary Standard BSS2 was modelled, where electrons were emitted from an (90)Sr/(90)Y area source and recorded inside a cuboid phantom made of tissue-equivalent material. The speed and accuracy of the different methods were of interest. While a significant difference in accuracy was visible for one method in the first scenario, the difference in accuracy of the three methods was insignificant for the second one. Considerable differences in speed were found for both scenarios. In order to demonstrate the need for calculating the dose in thin small zones, a third scenario was constructed and simulated as well. The third scenario was nearly equal to the second one, but a pike of lead was assumed to be inside the phantom in addition. A dose enhancement (caused by the pike of lead) of ∼113 % was recorded for a thin hollow cylinder at a depth of 0.007 cm, which the basal-skin layer is referred to in particular. Dose enhancements between 68 and 88 % were found for a slab with a radius of 0.09 cm for all depths. All dose enhancements were hardly noticeable for a slab with a cross-sectional area of 1 cm(2), which is usually applied to operational radiation protection.

  2. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  3. Nanomechanical properties of GaSe thin films deposited on Si(1 1 1) substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Jian, Sheng-Rui; Juang, Jenh-Yih; Luo, Chih-Wei; Ku, Shin-An; Wu, Kaung-Hsiung

    2012-01-01

    Highlights: ► GaSe thin films are grown by PLD. ► Structural properties of GaSe thin films are measured by XRD. ► Hardness and Young’s modulus of GaSe thin films are measured by nanoindentation. - Abstract: The correlations between the crystalline structure and mechanical properties of GaSe thin films were investigated by means of X-ray diffraction (XRD) and nanoindentation techniques. The GaSe thin films were deposited on Si(1 1 1) substrates deposited at various deposition temperatures using pulsed laser deposition (PLD). The XRD results indicate that all the GaSe thin films are pure hexagonal phase with highly (0 0 0 l)-oriented characteristics. Nanoindentation results revealed apparent discontinuities (so-called multiple “pop-in” events) in the load-displacement curve, while no discontinuity was observed in the unloading segment of the load-displacement curve. The hardness and Young’s modulus of GaSe thin films determined by the continuous stiffness measurements (CSM) method indicated that both mechanical parameters increased with the increasing deposition temperature with the hardness and the Young’s modulus being increased from 1.2 ± 0.1 to 1.8 ± 0.1 GPa and from 39.6 ± 1.2 to 68.9 ± 2.7 GPa, respectively, as the deposition temperature was raised from 400 to 475 °C. These results suggest that the increased grain size might have played a prominent role in determining the mechanical properties of the PLD-derived GaSe thin films.

  4. Li ion transport in sputter deposited LiCoO{sub 2} thin films and glassy borate membranes

    Energy Technology Data Exchange (ETDEWEB)

    Stockhoff, Tobias; Gallasch, Tobias; Schmitz, Guido [Westfaelische Wilhelms-Universitaet Muenster, Institut fuer Materialphysik, Muenster (Germany)

    2010-07-01

    LiCoO{sub 2} membranes are key components of current battery technology. We investigate sputter-deposited thin films of these materials aiming at the application in all-solid-state thin film batteries. For this, LiCoO{sub 2} films (10-200 nm) were deposited onto ITO-coated glass substrates by ion beam sputtering. In addition, a part of these films are coated by an ion-conductive membrane of Li{sub 2}O-B{sub 2}O{sub 3} glasses in the thickness range of 50 to 300 nm. Structural, chemical and electrical properties of the layers are studied by means of TEM(EELS) and various electrical methods (cyclic voltammetry, chrono-amperometry/-potentiometry). Since the color of the LiCoO{sub 2} films changes from red-brown to grey during de-intercalation of Li and the substrate as well as the glassy membrane deposited on top are optical transparent, reversible Li de- and intercalation can be directly demonstrated and quantified by a measurement of light transmission through the layered system. Samples coated with an ion-conductive membrane reveal a characteristic delay in switching optical transparency which is due to the slower transport across the membrane. Varying the thickness of the glassy membrane, the d.c. ion-conductivity and permeation through the membrane is determined quantitatively. Using thin membranes in the range of a few tens of nanometers the critical current densities are way sufficient for battery applications.

  5. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  6. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  7. Structural, Electrical and Optical Properties of TiO2 Thin Film Deposited on the Nano Porous Silicon Template

    Science.gov (United States)

    Bahar, Mahmood; Dermani, Ensieh Khalili

    The porous silicon (PSi), which is produced by the electrochemical etching, has been used as a substrate for the growth of the titanium oxide (TiO2) thin films. By using the EBPVD method, TiO2 thin films have been deposited on the surface of the PSi substrate. TiO2/PSi layers were annealed at the temperature of 400∘C, 500∘C and 600∘C for different tests. The morphology and structures of layers were investigated by the scanning electron microscopy (SEM) and X-ray diffraction (XRD). The current-voltage characteristic curves of samples and the ideality factor of heterojunction were studied. The results showed that the electrical properties of the samples change with increase in the annealing temperature. The optical properties of the prepared samples were investigated by using UV-Vis and photoluminescence (PL) spectroscopy. Green light emission of the PSi combined with the blue light and violet-blue emission obtained from the TiO2/PSi PL spectra. The results showed that the optical band gap energy of the PSi has increased from 1.86eV to 2.93eV due to the deposition of TiO2 thin film.

  8. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng

    2018-05-11

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  9. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng; Wang, Zhenwei; Firdaus, Yuliar; Babics, Maxime; Alshareef, Husam N.; Beaujuge, Pierre

    2018-01-01

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  10. Epitaxially influenced boundary layer model for size effect in thin metallic films

    International Nuclear Information System (INIS)

    Bazant, Zdenek P.; Guo Zaoyang; Espinosa, Horacio D.; Zhu Yong; Peng Bei

    2005-01-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films

  11. Epitaxially influenced boundary layer model for size effect in thin metallic films

    Science.gov (United States)

    Bažant, Zdeněk P.; Guo, Zaoyang; Espinosa, Horacio D.; Zhu, Yong; Peng, Bei

    2005-04-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films.

  12. Bi-epitaxial YBa{sub 2}Cu{sub 3}O{sub x} Thin Films on Tilted-axes NdGaO{sub 3} Substrates with CeO{sub 2} Seeding Layer

    Energy Technology Data Exchange (ETDEWEB)

    Mozhaev, P B [Institute of Physics and Technology RAS, 117218, Moscow (Russian Federation); Mozhaeva, J E [Institute of Physics and Technology RAS, 117218, Moscow (Russian Federation); Jacobsen, C S [Technical University of Denmark, Physics Department, Lyngby, DK-2800, Denmark (Denmark); Hansen, J Bindslev [Technical University of Denmark, Physics Department, Lyngby, DK-2800, Denmark (Denmark); Bdikin, I K [CICECO, University of Aveiro, Aveiro, 3810-193 (Portugal); Luzanov, V A [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation); Kotelyanskii, I M [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation); Zybtsev, S G [Institute of Radio Engineering and Electronics, Moscow, 125009 (Russian Federation)

    2006-06-01

    Bi-epitaxial YBa{sub 2}Cu{sub 3}O{sub x} (YBCO) thin films with out-of-plane tilt angle in the range 18 - 27{sup 0} were manufactured using pulsed laser deposition on NdGaO{sub 3} tilted-axes substrates with CeO{sub 2} seeding layers. The YBCO thin film orientation over the seeding layer depended on deposition conditions. Removal of the seeding layer from part of the substrate surface by ionbeam etching resulted in formation of a bi-epitaxial thin film with different c-axis orientation of two parts of the film. The bi-epitaxial film orientation and structure were studied using X-ray diffraction techniques, and surface morphology was observed with atomic force microscope (AFM). Photolithography and ion-beam etching techniques were used for patterning bi-epitaxial thin films. Electrical characterization of the obtained structures was performed.

  13. Layer-by-Layer Assembly of a pH-Responsive and Electrochromic Thin Film

    Science.gov (United States)

    Schmidt, Daniel J.; Pridgen, Eric M.; Hammond, Paula T.; Love, J. Christopher

    2010-01-01

    This article summarizes an experiment on thin-film fabrication with layer-by-layer assembly that is appropriate for undergraduate laboratory courses. The purpose of this experiment is to teach students about self-assembly in the context of thin films and to expose students to the concepts of functional polymeric coatings. Students dip coat…

  14. Neutron reflectivity of electrodeposited thin magnetic films

    International Nuclear Information System (INIS)

    Cooper, Joshaniel F.K.; Vyas, Kunal N.; Steinke, Nina-J.; Love, David M.; Kinane, Christian J.; Barnes, Crispin H.W.

    2014-01-01

    Highlights: • Electrodeposited magnetic bi-layers were measured by polarised neutron reflectivity. • When growing a CoNiCu alloy from a single bath a Cu rich region is initially formed. • This Cu rich region is formed in the first layer but not subsequent ones. • Ni deposition is inhibited in thin film growth and Co deposits anomalously. • Alloy magnetism and neutron scattering length give a self-consistent model. - Abstract: We present a polarised neutron reflectivity (PNR) study of magnetic/non-magnetic (CoNiCu/Cu) thin films grown by single bath electrodeposition. We find that the composition is neither homogeneous with time, nor consistent with bulk values. Instead an initial, non-magnetic copper rich layer is formed, around 2 nm thick. This layer is formed by the deposition of the dilute, but rapidly diffusing, Cu 2+ ions near the electrode surface at the start of growth, before the region is depleted and the deposition becomes mass transport limited. After the region has been depleted, by growth etc., this layer does not form and thus may be prevented by growing a copper buffer layer immediately preceding the magnetic layer growth. As has been previously found, cobalt deposits anomalously compared to nickel, and even inhibits Ni deposition in thin films. The layer magnetisation and average neutron scattering length are fitted independently but both depend upon the alloy composition. Thus these parameters can be used to check for model self-consistency, increasing confidence in the derived composition

  15. Electrochemical deposition of thin nano-structured layers of CuInS2 for photovoltaic cells

    International Nuclear Information System (INIS)

    Cayzac, R.; Boulc'h, F.; Knauth, P.

    2006-01-01

    In this work, it has been shown that the electrochemical deposition seems to be a promising synthesis technique because the thickness of the layers and their morphology are well adapted to the photovoltaic application. The example of CuInS 2 has been taken. (O.M.)

  16. Structural characterization of the nickel thin film deposited by glad technique

    Directory of Open Access Journals (Sweden)

    Potočnik J.

    2013-01-01

    Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005

  17. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  18. Polyelectrolyte layer-by-layer deposition in cylindrical nanopores.

    Science.gov (United States)

    Lazzara, Thomas D; Lau, K H Aaron; Abou-Kandil, Ahmed I; Caminade, Anne-Marie; Majoral, Jean-Pierre; Knoll, Wolfgang

    2010-07-27

    Layer-by-layer (LbL) deposition of polyelectrolytes within nanopores in terms of the pore size and the ionic strength was experimentally studied. Anodic aluminum oxide (AAO) membranes, which have aligned, cylindrical, nonintersecting pores, were used as a model nanoporous system. Furthermore, the AAO membranes were also employed as planar optical waveguides to enable in situ monitoring of the LbL process within the nanopores by optical waveguide spectroscopy (OWS). Structurally well-defined N,N-disubstituted hydrazine phosphorus-containing dendrimers of the fourth generation, with peripherally charged groups and diameters of approximately 7 nm, were used as the model polyelectrolytes. The pore diameter of the AAO was varied between 30-116 nm and the ionic strength was varied over 3 orders of magnitude. The dependence of the deposited layer thickness on ionic strength within the nanopores is found to be significantly stronger than LbL deposition on a planar surface. Furthermore, deposition within the nanopores can become inhibited even if the pore diameter is much larger than the diameter of the G4-polyelectrolyte, or if the screening length is insignificant relative to the dendrimer diameter at high ionic strengths. Our results will aid in the template preparation of polyelectrolyte multilayer nanotubes, and our experimental approach may be useful for investigating theories regarding the partitioning of nano-objects within nanopores where electrostatic interactions are dominant. Furthermore, we show that the enhanced ionic strength dependence of polyelectrolyte transport within the nanopores can be used to selectively deposit a LbL multilayer atop a nanoporous substrate.

  19. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    International Nuclear Information System (INIS)

    Seveno, R.; Braud, A.; Gundel, H.W.

    2005-01-01

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O 3 , PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO 3 ) by chemical solution deposition is studied. The SrRuO 3 thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO 3 layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 μC/cm were found

  20. Structural, optical and electrical characteristics of ITO thin films deposited by sputtering on different polyester substrates

    International Nuclear Information System (INIS)

    Guillen, C.; Herrero, J.

    2008-01-01

    Indium tin oxide (ITO) thin films were deposited by sputtering at room temperature on glass and different polyester substrates; namely polyarylate (PA), polycarbonate (PC) and polyethylene terephtalate (PET). The influence of the substrate on the structural, optical and electrical characteristics of the ITO layers was investigated. The sputtered films exhibited crystallization in the (2 2 2) orientation, with higher mean crystallite size and lower structural distortion onto PET than onto PA, PC or glass substrates. ITO films deposited onto PET showed also higher band gap energy, higher carrier concentration and lower resistivity than the ITO layers onto the other tested substrates. These optical and electrical characteristics have been related to the structural distortion that was found dependent on the specific polyester substrate

  1. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers; Filmes finos de carbono depositados por meio da tecnica de magnetron sputtering usando cobalto, cobre e niquel como buffer-layers

    Energy Technology Data Exchange (ETDEWEB)

    Costa e Silva, Danilo Lopes

    2015-11-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  2. Fabrication and characterization of In2S3 thin films deposited by thermal evaporation technique

    International Nuclear Information System (INIS)

    Timoumi, A.; Bouzouita, H.; Kanzari, M.; Rezig, B.

    2005-01-01

    Indium sulphide, In 2 S 3 , thin films present an alternative to conventional CdS films as buffer layer for CIS-based thin film solar cells. The objective is to eliminate toxic cadmium for environmental reasons. Indium sulphide is synthesized and deposited by single source vacuum thermal evaporation method on glass substrates. The films are analyzed by X-ray diffraction (XRD) and spectrophotometric measurements. They have a good crystallinity, homogeneity and adhesion. The X-ray diffraction analysis confirmed the initial amorphous nature of the deposited InS film and phase transition into crystalline In 2 S 3 formed upon annealing at free air for 250 deg. C substrate temperature for 2 h. The optical constants of the deposited films were obtained from the analysis of the experimental recorded transmission and reflectance spectral data over the wavelength range of 300-1800 nm. We note that the films annealed at 250 deg. C for 2 h show a good homogeneity with 80% transmission. An analysis of the optical absorption data of the deposited films revealed an optical direct band gap energy in the range of 2.0-2.2 eV

  3. Characterization of CuInS2 thin films prepared by chemical bath deposition and their implementation in a solar cell

    International Nuclear Information System (INIS)

    Lugo, S.; López, I.; Peña, Y.; Calixto, M.; Hernández, T.; Messina, S.

    2014-01-01

    CuInS 2 thin films were formed by the sequential deposition of In 2 S 3 –CuS layers on glass substrates, by chemical bath deposition technique, and heating these multilayer 1 h at 350 °C and 400 mPa. The morphology and thickness of the CuInS 2 thin films were analysed by scanning electron microscopy, showing particles with elongated shape and length about 40 nm, and thickness of 267 and 348 nm for samples from 15 and 24 h of deposition time in the chemical bath of In 2 S 3 , respectively. The energy band gap values of the films were around 1.4 eV, whereas the electrical conductivity showed values from 64.91 to 4.11 × 10 −3 Ω −1 cm −1 for the samples of 15 and 24 h of In 2 S 3 deposition bath, respectively. The obtained CuInS 2 films showed appropriate values for their application as an absorbing layer in photovoltaic structures of the type: glass/SnO 2 :F/CdS/Sb 2 S 3 /CuInS 2 /PbS/C/Ag. The whole structure was obtained through chemical bath deposition technique. The solar cell corresponding to 15 h of In 2 S 3 deposition duration bath showed energy-conversion efficiency (η) of 0.53% with open circuit voltage (V oc ) of 530 mV, short circuit current density (J sc ) of 2.43 mA cm −2 , and fill factor (FF) of 0.41. In the case of the structure with 24 h of deposition of In 2 S 3 bath, η = 0.43% was measured with the following parameters: V oc = 330 mV, J sc = 4.78 mA cm −2 and FF = 0.27. - Highlights: • CuInS 2 films were formed by chemical bath deposition followed by a heat treatment. • Prepared CuInS 2 thin films can work as an effective absorbing layer in a solar cell. • A complete solar cell structure was made by a chemical bath deposition method

  4. Comparative study on Pulsed Laser Deposition and Matrix Assisted Pulsed Laser Evaporation of urease thin films

    International Nuclear Information System (INIS)

    Smausz, Tomi; Megyeri, Gabor; Kekesi, Renata; Vass, Csaba; Gyoergy, Eniko; Sima, Felix; Mihailescu, Ion N.; Hopp, Bela

    2009-01-01

    Urease thin films were produced by Matrix Assisted Pulsed Laser Evaporation (MAPLE) and Pulsed Laser Deposition from two types of targets: frozen water solutions of urease with different concentrations (1-10% m/v) and pure urease pellets. The fluence of the ablating KrF excimer laser was varied between 300 and 2200 mJ/cm 2 . Fourier transform infrared spectra of the deposited films showed no difference as compared to the original urease. Morphologic studies proved that the films consist of a smooth 'base' layer with embedded micrometer-sized droplets. Absorption-coefficient measurements contradicted the traditional 'absorptive matrix' model for MAPLE deposition. The laser energy was absorbed by urease clusters leading to a local heating-up and evaporation of the frozen matrix from the uppermost layer accompanied by the release of dissolved urease molecules. Significant enzymatic activity of urease was preserved only during matrix assisted transfer.

  5. Organic Thin Films Deposited by Emulsion-Based, Resonant Infrared, Matrix-Assisted Pulsed Laser Evaporation: Fundamentals and Applications

    Science.gov (United States)

    Ge, Wangyao

    Thin film deposition techniques are indispensable to the development of modern technologies as thin film based optical coatings, optoelectronic devices, sensors, and biological implants are the building blocks of many complicated technologies, and their performance heavily depends on the applied deposition technique. Particularly, the emergence of novel solution-processed materials, such as soft organic molecules, inorganic compounds and colloidal nanoparticles, facilitates the development of flexible and printed electronics that are inexpensive, light weight, green and smart, and these thin film devices represent future trends for new technologies. One appealing feature of solution-processed materials is that they can be deposited into thin films using solution-processed deposition techniques that are straightforward, inexpensive, high throughput and advantageous to industrialize thin film based devices. However, solution-processed techniques rely on wet deposition, which has limitations in certain applications, such as multi-layered film deposition of similar materials and blended film deposition of dissimilar materials. These limitations cannot be addressed by traditional, vacuum-based deposition techniques because these dry approaches are often too energetic and can degrade soft materials, such as polymers, such that the performance of resulting thin film based devices is compromised. The work presented in this dissertation explores a novel thin film deposition technique, namely emulsion-based, resonant infrared, matrix-assisted pulsed laser evaporation (RIR-MAPLE), which combines characteristics of wet and dry deposition techniques for solution-processed materials. Previous studies have demonstrated the feasibility of emulsion-based RIR-MAPLE to deposit uniform and continuous organic, nanoparticle and blended films, as well as hetero-structures that otherwise are difficult to achieve. However, fundamental understanding of the growth mechanisms that govern

  6. CuInS{sub 2} thin films obtained through the annealing of chemically deposited In{sub 2}S{sub 3}-CuS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pena, Y., E-mail: yolapm@gmail.com [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba S/N, Ciudad Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico); Lugo, S. [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba S/N, Ciudad Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico); Calixto-Rodriguez, M. [Centro de Investigacion en Energia, Universidad Nacional Autonoma de Mexico, Privada Xochicalco S/N, Col Centro, 62580, Temixco, Morelos (Mexico); Vazquez, A.; Gomez, I.; Elizondo, P. [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba S/N, Ciudad Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico)

    2011-01-01

    In this work, we report the formation of CuInS{sub 2} thin films on glass substrates by heating chemically deposited multilayers of copper sulfide (CuS) and indium sulfide (In{sub 2}S{sub 3}) at 300 and 350 deg. C in nitrogen atmosphere at 10 Torr. CIS thin films were prepared by varying the CuS layer thickness in the multilayers with indium sulfide. The XRD analysis showed that the crystallographic structure of the CuInS{sub 2} (JCPDS 27-0159) is present on the deposited films. From the optical analysis it was estimated the band gap value for the CIS film (1.49 eV). The electrical conductivity varies from 3 x 10{sup -8} to 3 {Omega}{sup -1} cm{sup -1} depending on the thickness of the CuS film. CIS films showed p-type conductivity.

  7. Electrochemical preparation of MoO{sub 3} buffer layer deposited onto the anode in organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Gacitua, M.; Soto, G.; Valle, M.A. del [Pontificia Universidad Catolica de Chile, Facultad de Quimica, Laboratorio de Electroquimica de Polimeros (LEP), Santiago (Chile); Boutaleb, Y.; Rehamnia, R. [Laboratoire d' Electrochimie, Universite Badji Mokhtar, Annaba (Algeria); Cattin, L.; Louarn, G. [Universite de Nantes, Nantes Atlantique Universites, Institut des Materiaux Jean Rouxel (IMN)-CNRS, Faculte des Sciences et Techniques, Nantes (France); Abe, S.Y. [Laboratoire de Physique de la Matiere Condensee et de Technologie (LPMCT), Universite de Cocody (Ivory Coast); Lare, Y. [Laboratoire d' Energie Solaire, Universite de Lome, Lome (Togo); Morsli, M; Bernede, J.C. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, Nantes (France); Drici, A. [LEREC Departement de physique, Universite Badji Mokhtar, Annaba (Algeria)

    2010-08-15

    In this work the authors have studied the advantages of using electrochemically deposited molybdenum oxide as a buffer layer in an organic bilayer heterojunction solar cell arrangement. Furthermore, it has been probed that electrochemistry provides an alternative low cost, reproducible and less laborious method to prepare thin layered deposits. The precursor solution is composed by a concentrated molybdic acid solution in a sulphuric media in order to ensure the obtainment of low reduced molybdenum species. Therefore, by means of potentiostatic techniques, ITO/molybdenum oxide transparent anodes were tested for the photovoltaic device showing improved surface properties. XDR and AFM techniques were used to characterize the morphology of the deposits. The films with optimum thickness (5 nm) are amorphous. XPS analysis indicates that the best results in solar cell performance are in hand with a heterogeneous composition of the molybdenum oxide film presenting Mo{sup V} and Mo{sup VI} as predominant species. The MoO{sub 3} films deposited by cyclic voltammetry are not as homogeneous as those deposited by potentiostatic technique and only Mo{sup VI} species are present. These differences may justify the different behaviour of the solar cells using these different buffer layers. Only buffer layers deposited by potentiostatic technique allow improving the cells performances in the same way than those achieved by evaporation. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  8. Ultra-high current density thin-film Si diode

    Science.gov (United States)

    Wang, Qi [Littleton, CO

    2008-04-22

    A combination of a thin-film .mu.c-Si and a-Si:H containing diode structure characterized by an ultra-high current density that exceeds 1000 A/cm.sup.2, comprising: a substrate; a bottom metal layer disposed on the substrate; an n-layer of .mu.c-Si deposited the bottom metal layer; an i-layer of .mu.c-Si deposited on the n-layer; a buffer layer of a-Si:H deposited on the i-layer, a p-layer of .mu.c-Si deposited on the buffer layer; and a top metal layer deposited on the p-layer.

  9. MgO thin films deposited by electrostatic spray pyrolysis for protecting layers in AC-plasma display panel

    CERN Document Server

    Kim, S G

    1999-01-01

    MgO thin films were deposited on SiO sub 2 (100) substrates by using electrostatic spray pyrolysis and Mg(tmhd) sub 2 as the precursor. The growth rates of the films varyed from 34 to 87 A/min and were measured for various substrate and guide temperatures. X-ray diffraction analysis provide evidence that the MgO films deposited at temperatures as low as 400 approx 500 .deg. C had preferred orientation to (100) plane perpendicular to the substrate surface. X-ray photoelectron spectroscopy and Auger electron spectroscopy data indicated that there were few organics incorporated in the films.

  10. Inductively coupled plasma nanoetching of atomic layer deposition alumina

    DEFF Research Database (Denmark)

    Han, Anpan; Chang, Bingdong; Todeschini, Matteo

    2018-01-01

    such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar...... the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching, and we......Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for Micro and Nano Electro-Mechanical Systems (MEMS and NEMS). 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials...

  11. Electrochemical studies of iron/carbonates system applied to the formation of thin layers of siderite on inert substrates

    International Nuclear Information System (INIS)

    Ithurbide, A.; Peulon, S.; Mandin, Ph.; Beaucaire, C.; Chausse, A.

    2007-01-01

    In order to understand the complex mechanisms of the reactions occurring, a methodology is developed. It is based on the use of compounds electrodeposited under the form of thin layers and which are used then as electrodes to study their interactions with the toxic species. It is in this framework that is studied the electrodeposition of siderite on inert substrates. At first, have been studied iron electrochemical systems in carbonated solutions. These studies have been carried out with classical electrochemical methods (cyclic voltametry, amperometry) coupled to in-situ measurements: quartz microbalance, pH. Different compounds have been obtained under the form of homogeneous and adherent thin layers. The analyses of these depositions, by different ex-situ characterizations (XRD, IR, SEM, EDS..) have revealed particularly the presence of siderite. Then, the influence of several experimental parameters (substrate, potential, medium composition, temperature) on the characteristics of siderite thin layers has been studied. From these experimental results, models have been proposed. (O.M.)

  12. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  13. Deposition of stress free c-axis oriented LiNbO{sub 3} thin film grown on (002) ZnO coated Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Shandilya, Swati; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Tomar, Monika [Miranda House, University of Delhi, Delhi 110007 (India)

    2012-05-15

    C-axis oriented lithium niobate thin films have been deposited on Si substrate using RF sputtering technique. A thin buffer layer of c-axis (002) oriented ZnO on Si substrate has been used as a nucleating layer to promote the growth of (006) oriented LiNbO{sub 3} film. The processing gas composition and pressure are found to be very critical in obtaining stress free LiNbO{sub 3} film having desired (006) orientation. The LiNbO{sub 3} films deposited under unique combination of sputtering pressure (10 mTorr) and argon percentage (80%) in reactive gas (Ar + O{sub 2}) composition become almost stress free having lattice parameter (1.3867 A) close to the bulk value. The observed variation in the structural properties and optical phonon modes observed by Raman spectroscopic studies of the oriented LiNbO{sub 3} thin film with stress has been correlated with growth kinetics.

  14. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  15. Magmatic ore deposits in layered intrusions - Descriptive model for reef-type PGE and contact-type Cu-Ni-PGE deposits

    Science.gov (United States)

    Zientek, Michael L.

    2012-01-01

    Layered, ultramafic to mafic intrusions are uncommon in the geologic record, but host magmatic ore deposits containing most of the world's economic concentrations of platinum-group elements (PGE) (figs. 1 and 2). These deposits are mined primarily for their platinum, palladium, and rhodium contents (table 1). Magmatic ore deposits are derived from accumulations of crystals of metallic oxides, or immiscible sulfide, or oxide liquids that formed during the cooling and crystallization of magma, typically with mafic to ultramafic compositions. "PGE reefs" are stratabound PGE-enriched lode mineralization in mafic to ultramafic layered intrusions. The term "reef" is derived from Australian and South African literature for this style of mineralization and used to refer to (1) the rock layer that is mineralized and has distinctive texture or mineralogy (Naldrett, 2004), or (2) the PGE-enriched sulfide mineralization that occurs within the rock layer. For example, Viljoen (1999) broadly defined the Merensky Reef as "a mineralized zone within or closely associated with an unconformity surface in the ultramafic cumulate at the base of the Merensky Cyclic Unit." In this report, we will use the term PGE reef to refer to the PGE-enriched mineralization, not the host rock layer. Within a layered igneous intrusion, reef-type mineralization is laterally persistent along strike, extending for the length of the intrusion, typically tens to hundreds of kilometers. However, the mineralized interval is thin, generally centimeters to meters thick, relative to the stratigraphic thickness of layers in an intrusion that vary from hundreds to thousands of meters. PGE-enriched sulfide mineralization is also found near the contacts or margins of layered mafic to ultramafic intrusions (Iljina and Lee, 2005). This contact-type mineralization consists of disseminated to massive concentrations of iron-copper-nickel-PGE-enriched sulfide mineral concentrations in zones that can be tens to hundreds

  16. Epitaxial growth and characterization of CoO/Fe(001) thin film layered structures

    International Nuclear Information System (INIS)

    Brambilla, A.; Sessi, P.; Cantoni, M.; Duo, L.; Finazzi, M.; Ciccacci, F.

    2008-01-01

    By means of X-ray photoemission spectroscopy and low energy electron diffraction, we show that it is possible to grow good quality thin epitaxial CoO films on Fe(001) substrates, through deposition in oxygen atmosphere. In particular, the composition and the structure of CoO(001)/Fe(001) bilayer systems and Fe(001)/CoO(001)/Fe(001) trilayer systems have been investigated by monitoring the evolution of the chemical interactions at the interfaces as a function of CoO thickness and growth temperature. We observe the presence of Fe oxides at the CoO/Fe interface and of a thin layer of metallic cobalt at the upper Fe/CoO interface of trilayer systems

  17. Pulsed laser deposition of piezoelectric lead zirconate titanate thin films maintaining a post-CMOS compatible thermal budget

    Science.gov (United States)

    Schatz, A.; Pantel, D.; Hanemann, T.

    2017-09-01

    Integration of lead zirconate titanate (Pb[Zrx,Ti1-x]O3 - PZT) thin films on complementary metal-oxide semiconductor substrates (CMOS) is difficult due to the usually high crystallization temperature of the piezoelectric perovskite PZT phase, which harms the CMOS circuits. In this work, a wafer-scale pulsed laser deposition tool was used to grow 1 μm thick PZT thin films on 150 mm diameter silicon wafers. Three different routes towards a post-CMOS compatible deposition process were investigated, maintaining a post-CMOS compatible thermal budget limit of 445 °C for 1 h (or 420 °C for 6 h). By crystallizing the perovskite LaNiO3 seed layer at 445 °C, the PZT deposition temperature can be lowered to below 400 °C, yielding a transverse piezoelectric coefficient e31,f of -9.3 C/m2. With the same procedure, applying a slightly higher PZT deposition temperature of 420 °C, an e31,f of -10.3 C/m2 can be reached. The low leakage current density of below 3 × 10-6 A/cm2 at 200 kV/cm allows for application of the post-CMOS compatible PZT thin films in low power micro-electro-mechanical-systems actuators.

  18. Effect of nitrogen environment on NdFeB thin films grown by radio frequency plasma beam assisted pulsed laser deposition

    International Nuclear Information System (INIS)

    Constantinescu, C.; Patroi, E.; Codescu, M.; Dinescu, M.

    2013-01-01

    Highlights: ► NdFeB thin films grown by PLD, in vacuum and in nitrogen, are presented. ► Nitrogen inclusion in thin film structures is related to improved coercitivity. ► Magnetical, optical and morphological properties of the thin films are discussed. - Abstract: NdFeB is a very attractive material for applications in electrical engineering and in electronics, for high-tech devices where high coercive field and high remanence are needed. In this paper we demonstrate that the deposition of nitrogen doped NdFeB thin films by pulsed laser deposition, in the presence of a nitrogen radiofrequency plasma beam, exhibit improved magnetic properties and surface morphology, when compared to vacuum deposited NdFeB layers. A Nd:YAG pulsed laser (3ω and 4ω) was focused on a NdFeB target, in vacuum, or in the presence of a nitrogen plasma beam. Substrate temperature (RT-850 °C), nitrogen gas pressure, and radiofrequency power (75–150 W), were particularly varied. The thin films were investigated by means of X-ray diffraction, atomic force microscopy, scanning electron microscopy, spectroscopic-ellipsometry, and vibrating sample magnetometry.

  19. Effect of nitrogen environment on NdFeB thin films grown by radio frequency plasma beam assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Constantinescu, C., E-mail: catalin.constantinescu@inflpr.ro [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor bd., Magurele, RO-077125, Bucharest (Romania); Patroi, E.; Codescu, M. [National Institute for Research and Development in Electrical Engineering - Advanced Research, 313 Spl. Unirii, Sector 3, RO-030138, Bucharest (Romania); Dinescu, M. [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor bd., Magurele, RO-077125, Bucharest (Romania)

    2013-03-01

    Highlights: Black-Right-Pointing-Pointer NdFeB thin films grown by PLD, in vacuum and in nitrogen, are presented. Black-Right-Pointing-Pointer Nitrogen inclusion in thin film structures is related to improved coercitivity. Black-Right-Pointing-Pointer Magnetical, optical and morphological properties of the thin films are discussed. - Abstract: NdFeB is a very attractive material for applications in electrical engineering and in electronics, for high-tech devices where high coercive field and high remanence are needed. In this paper we demonstrate that the deposition of nitrogen doped NdFeB thin films by pulsed laser deposition, in the presence of a nitrogen radiofrequency plasma beam, exhibit improved magnetic properties and surface morphology, when compared to vacuum deposited NdFeB layers. A Nd:YAG pulsed laser (3{omega} and 4{omega}) was focused on a NdFeB target, in vacuum, or in the presence of a nitrogen plasma beam. Substrate temperature (RT-850 Degree-Sign C), nitrogen gas pressure, and radiofrequency power (75-150 W), were particularly varied. The thin films were investigated by means of X-ray diffraction, atomic force microscopy, scanning electron microscopy, spectroscopic-ellipsometry, and vibrating sample magnetometry.

  20. Growing barium hexaferrite (BaFe{sub 12}O{sub 19}) thin films using chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Budiawanti, Sri, E-mail: awanty77@yahoo.com [Graduate Program of Materials Science, Department of Physics, FMIPA, Universitas Indonesia, Kampus UI Depok (Indonesia); Faculty of Teacher Training and Education, Sebelas Maret University (Indonesia); Soegijono, Bambang [Multiferroic Laboratory, Department of Physics, FMIPA, Universitas Indonesia, Kampus UI Depok (Indonesia)

    2016-04-19

    Barium hexaferrite (BaFe{sub 12}O{sub 19}, or simply known as BaM) thin films has been recognized as a potential candidate for microwave-based devices, magnetic recording media and data storage. To grow BaM thin films, chemical solution deposition is conducted using the aqueous solution of metal nitrates, which involves spin coatings on Si substrates. Furthermore, Thermal Gravimeter Analysis (TGA), X-Ray Diffractometer (XRD), Scanning Electron Microscopy (SEM) and Vibrating Sample Magnetometer (VSM) are applied to evaluate the decomposition behavior, structure, morphology, and magnetic properties of BaM thin films. Additionally, the effects of number of layers variation are also investigated. Finally, magnetic properties analysis indicates the isotropic nature of the films.

  1. Growing barium hexaferrite (BaFe_1_2O_1_9) thin films using chemical solution deposition

    International Nuclear Information System (INIS)

    Budiawanti, Sri; Soegijono, Bambang

    2016-01-01

    Barium hexaferrite (BaFe_1_2O_1_9, or simply known as BaM) thin films has been recognized as a potential candidate for microwave-based devices, magnetic recording media and data storage. To grow BaM thin films, chemical solution deposition is conducted using the aqueous solution of metal nitrates, which involves spin coatings on Si substrates. Furthermore, Thermal Gravimeter Analysis (TGA), X-Ray Diffractometer (XRD), Scanning Electron Microscopy (SEM) and Vibrating Sample Magnetometer (VSM) are applied to evaluate the decomposition behavior, structure, morphology, and magnetic properties of BaM thin films. Additionally, the effects of number of layers variation are also investigated. Finally, magnetic properties analysis indicates the isotropic nature of the films.

  2. Highly transparent and conductive double-layer oxide thin films as anodes for organic light-emitting diodes

    International Nuclear Information System (INIS)

    Yang Yu; Wang Lian; Yan He; Jin Shu; Marks, Tobin J.; Li Shuyou

    2006-01-01

    Double-layer transparent conducting oxide thin film structures containing In-doped CdO (CIO) and Sn-doped In 2 O 3 (ITO) layers were grown on glass by metal-organic chemical vapor deposition and ion-assisted deposition (IAD), respectively, and used as anodes for polymer light-emitting diodes (PLEDs). These films have a very low overall In content of 16 at. %. For 180-nm-thick CIO/ITO films, the sheet resistance is 5.6 Ω/□, and the average optical transmittance is 87.1% in the 400-700 nm region. The overall figure of merit (Φ=T 10 /R sheet ) of the double-layer CIO/ITO films is significantly greater than that of single-layer CIO, IAD-ITO, and commercial ITO films. CIO/ITO-based PLEDs exhibit comparable or superior device performance versus ITO-based control devices. CIO/ITO materials have a much lower sheet resistance than ITO, rendering them promising low In content electrode materials for large-area optoelectronic devices

  3. Picosecond and subpicosecond pulsed laser deposition of Pb thin films

    Directory of Open Access Journals (Sweden)

    F. Gontad

    2013-09-01

    Full Text Available Pb thin films were deposited on Nb substrates by means of pulsed laser deposition (PLD with UV radiation (248 nm, in two different ablation regimes: picosecond (5 ps and subpicosecond (0.5 ps. Granular films with grain size on the micron scale have been obtained, with no evidence of large droplet formation. All films presented a polycrystalline character with preferential orientation along the (111 crystalline planes. A maximum quantum efficiency (QE of 7.3×10^{-5} (at 266 nm and 7 ns pulse duration was measured, after laser cleaning, demonstrating good photoemission performance for Pb thin films deposited by ultrashort PLD. Moreover, Pb thin film photocathodes have maintained their QE for days, providing excellent chemical stability and durability. These results suggest that Pb thin films deposited on Nb by ultrashort PLD are a noteworthy alternative for the fabrication of photocathodes for superconductive radio-frequency electron guns. Finally, a comparison with the characteristics of Pb films prepared by ns PLD is illustrated and discussed.

  4. Modification of erbium photoluminescence decay rate due to ITO layers on thin films of SiO{sub 2}:Er doped with Si-nanoclusters

    Energy Technology Data Exchange (ETDEWEB)

    Wojdak, M., E-mail: m.wojdak@ucl.ac.uk [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Jayatilleka, H. [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario, Canada M5S 3G4 (Canada); Shah, M. [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Kenyon, A.J., E-mail: t.kenyon@ucl.ac.uk [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Gourbilleau, F.; Rizk, R. [Centre de Recherche sur les Ions, les Matériaux et la Photonique (CIMAP), ENSICAEN, CNRS, CEA/IRAMIS, Université de Caen, 14050 CAEN cedex (France)

    2013-04-15

    During the fabrication of MOS light emitting devices, the thin film of active material is usually characterized by photoluminescence measurements before electrical contacts are deposited. However, the presence of a conductive contact layer can alter the luminescent properties of the active material. The local optical density of states changes due to the proximity of luminescent species to the interface with the conductive medium (the top electrode), and this modifies the radiative rate of luminescent centers within the active layer. In this paper we report enhancement of the observed erbium photoluminescence rate after deposition of indium tin oxide contacts on thin films of SiO{sub 2}:Er containing silicon nanoclusters, and relate this to Purcell enhancement of the erbium radiative rate. -- Highlights: ► We studied photoluminescence of Er in SiO{sub 2} thin films doped with Si nanoclusters. ► Presence of ITO layer on the top enhances photoluminescence decay rate of Er. ► The effect depends on the thickness of active film. ► Radiative rate change in proximity of ITO layer was calculated theoretically. ► The calculation results are compared with the experiment and discussed.

  5. Effect of Tin Electrode (Sn, Electrode Distance and Thin Layer Size of Zinc Phthalocyanine (ZnPc to Resistance Changes With Ozone Exposure

    Directory of Open Access Journals (Sweden)

    Agustina Mogi

    2018-01-01

    Full Text Available This study was aimed to determine the effect of tin electrode distances and the thickness of a thin layer of ZnPc (Zinc phtyalocyanine toward changes in resistance with ozone exposure. Tin deposition on the glass surface was conducted using spraying method. The reaction between ozone and ZnPc produces electrical properties that can be read through the resistance value of the multimeter. Based on this study, it was investigated that the smaller a distance between the electrode and the thicker deposition of ZnPc lead to the less resistance. This showed that a thin layer of the conductivity increases along with the longer exposure to ozone gas. The movement of electrons with the hole was free.

  6. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.

  7. Pulsed laser deposited amorphous chalcogenide and alumino-silicate thin films and their multilayered structures for photonic applications

    Energy Technology Data Exchange (ETDEWEB)

    Němec, P. [Department of Graphic Arts and Photophysics, Faculty of Chemical Technology, University of Pardubice, Studentská 573, 53210 Pardubice (Czech Republic); Charrier, J. [FOTON, UMR CNRS 6082, Enssat, 6 rue de Kerampont, BP 80518, 22305 Lannion (France); Cathelinaud, M. [Missions des Ressources et Compétences Technologiques, UPS CNRS 2274, 92195 Meudon (France); Allix, M. [CEMHTI-CNRS, Site Haute Température, Orléans (France); Adam, J.-L.; Zhang, S. [Equipe Verres et Céramiques, UMR-CNRS 6226, Sciences Chimiques de Rennes (SCR), Université de Rennes 1, 35042 Rennes Cedex (France); Nazabal, V., E-mail: virginie.nazabal@univ-rennes1.fr [Department of Graphic Arts and Photophysics, Faculty of Chemical Technology, University of Pardubice, Studentská 573, 53210 Pardubice (Czech Republic); Equipe Verres et Céramiques, UMR-CNRS 6226, Sciences Chimiques de Rennes (SCR), Université de Rennes 1, 35042 Rennes Cedex (France)

    2013-07-31

    Amorphous chalcogenide and alumino-silicate thin films were fabricated by the pulsed laser deposition technique. Prepared films were characterized in terms of their morphology, chemical composition, and optical properties. Multilayered thin film stacks for reflectors and vertical microcavities were designed for telecommunication wavelength and the window of atmosphere transparency (band II) at 1.54 μm and 4.65 μm, respectively. Bearing in mind the benefit coming from the opportunity of an efficient wavelength tuning or, conversely, to stabilize the photoinduced effects in chalcogenide films as well as to improve their mechanical properties and/or their chemical durability, several pairs of materials from pure chalcogenide layers to chalcogenide/oxide layers were investigated. Different layer stacks were fabricated in order to check the compatibility between dissimilar materials which can have a strong influence on the interface roughness, adhesion, density, and homogeneity, for instance. Three different reflector designs were formulated and tested including all-chalcogenide layers (As{sub 40}Se{sub 60}/Ge{sub 25}Sb{sub 5}S{sub 70}) and mixed chalcogenide-oxide layers (As{sub 40}Se{sub 60}/alumino-silicate and Ga{sub 10}Ge{sub 15}Te{sub 75}/alumino-silicate). Prepared multilayers showed good compatibility between different material pairs deposited by laser ablation despite the diversity of chemical compositions. As{sub 40}Se{sub 60}/alumino-silicate reflector showed the best parameters; its stop band (R > 97% at 8° off-normal incidence) has a bandwidth of ∼ 100 nm and it is centered at 1490 nm. The quality of the different mirrors developed was good enough to try to obtain a microcavity structure for the 1.5 μm telecommunication wavelength made of chalcogenide layers. The microcavity structure consists of Ga{sub 5}Ge{sub 20}Sb{sub 10}S{sub 65} (doped with 5000 ppm of Er{sup 3+}) spacer surrounded by two 10-layer As{sub 40}Se{sub 60}/Ge{sub 25}Sb{sub 5}S{sub 70

  8. Natively textured surface hydrogenated gallium-doped zinc oxide transparent conductive thin films with buffer layers for solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xin-liang, E-mail: cxlruzhou@163.com; Wang, Fei; Geng, Xin-hua; Huang, Qian; Zhao, Ying; Zhang, Xiao-dan

    2013-09-02

    Natively textured surface hydrogenated gallium-doped zinc oxide (HGZO) thin films have been deposited via magnetron sputtering on glass substrates. These natively textured HGZO thin films exhibit rough pyramid-like textured surface, high optical transmittances in the visible and near infrared region and excellent electrical properties. The experiment results indicate that tungsten-doped indium oxide (In{sub 2}O{sub 3}:W, IWO) buffer layers can effectively improve the surface roughness and enhance the light scattering ability of HGZO thin films. The root-mean-square roughness of HGZO, IWO (10 nm)/HGZO and IWO (30 nm)/HGZO thin films are 28, 44 and 47 nm, respectively. The haze values at the wavelength of 550 nm increase from 7.0% of HGZO thin film without buffer layer to 18.37% of IWO (10 nm)/HGZO thin film. The optimized IWO (10 nm)/HGZO exhibits a high optical transmittance of 82.18% in the visible and near infrared region (λ ∼ 400–1100 nm) and excellent electrical properties with a relatively low sheet resistance of 3.6 Ω/□ and the resistivity of 6.21 × 10{sup −4} Ωcm. - Highlights: • Textured hydrogenated gallium-doped zinc oxide (HGZO) films were developed. • Tungsten-doped indium oxide (IWO) buffer layers were applied for the HGZO films. • Light-scattering ability of the HGZO films can be improved through buffer layers. • Low sheet resistance and high haze were obtained for the IWO(10 nm)/HGZO film. • The IWO/HGZO films are promising transparent conductive layers for solar cells.

  9. Low-temperature atomic layer deposition of MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Jurca, Titel; Wang, Binghao; Tan, Jeffrey M.; Lohr, Tracy L.; Marks, Tobin J. [Department of Chemistry and the Materials Research Center, Northwestern University, Evanston, IL (United States); Moody, Michael J.; Henning, Alex; Emery, Jonathan D.; Lauhon, Lincoln J. [Department of Materials Science and Engineering, and the Materials Research Center, Northwestern University, Evanston, IL (United States)

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe{sub 2}){sub 4} with H{sub 2}S for the low-temperature synthesis of MoS{sub 2}. This observation motivated an investigation of Mo(NMe{sub 2}){sub 4} as a volatile precursor for the atomic layer deposition (ALD) of MoS{sub 2} thin films. Herein we report that Mo(NMe{sub 2}){sub 4} enables MoS{sub 2} film growth at record low temperatures - as low as 60 C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Solution-processed In2S3 buffer layer for chalcopyrite thin film solar cells

    Science.gov (United States)

    Wang, Lan; Lin, Xianzhong; Ennaoui, Ahmed; Wolf, Christian; Lux-Steiner, Martha Ch.; Klenk, Reiner

    2016-02-01

    We report a route to deposit In2S3 thin films from air-stable, low-cost molecular precursor inks for Cd-free buffer layers in chalcopyrite-based thin film solar cells. Different precursor compositions and processing conditions were studied to define a reproducible and robust process. By adjusting the ink properties, this method can be applied in different printing and coating techniques. Here we report on two techniques, namely spin-coating and inkjet printing. Active area efficiencies of 12.8% and 12.2% have been achieved for In2S3-buffered solar cells respectively, matching the performance of CdS-buffered cells prepared with the same batch of absorbers.

  11. Copper diffusion in TaN-based thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Nazon, J. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France); Fraisse, B. [Laboratoire Structure, Proprietes et Modelisation des Solides (UMR 8580), Ecole Centrale de Paris, Grande Voie des Vignes, 92295 Chatenay-Malabry Cedex (France); Sarradin, J. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France); Fries, S.G. [SGF Scientific Consultancy, Arndt str.9, D-52064 Aachen (Germany); Tedenac, J.C. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France); Frety, N. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France)], E-mail: Nicole.Frety@univ-montp2.fr

    2008-07-15

    The diffusion of Cu through TaN-based thin layers into a Si substrate has been studied. The barrier efficiency of TaN/Ta/TaN multilayers of 150 nm in thickness has been investigated and is compared with that of TaN single layers. Thermal stabilities of these TaN-based thin layers against Cu diffusion were determined from in situ X-ray diffraction experiments, conducted in the temperature range of 773-973 K. The TaN/Ta/TaN barrier appeared to be more efficient in preventing Cu diffusion than the TaN single layer.

  12. Biomaterial thin film deposition and characterization by means of MAPLE technique

    International Nuclear Information System (INIS)

    Bloisi, F.; Vicari, L.; Papa, R.; Califano, V.; Pedrazzani, R.; Bontempi, E.; Depero, L.E.

    2007-01-01

    Polyethylene glycol (PEG) is a polymer with technologically important applications, especially as a biomaterial. Several biomedical applications (such as tissue engineering, spatial patterning of cells, anti-biofouling and biocompatible coatings) require the application of high quality PEG thin films. In order to have a good adhesion to substrate chemically modified polymer molecules have been used, but for some 'in vivo' applications it is essential to deposit a film with the same chemical and structural properties of bulk PEG. Pulsed laser deposition (PLD) technique is generally able to produce high quality thin films but it is inadequate for polymer/organic molecules. MAPLE (Matrix Assisted Pulsed Laser Evaporation) is a recently developed PLD based thin film deposition technique, particularly well suited for organic/polymer thin film deposition. Up to now MAPLE depositions have been carried out mainly by means of modified PLD systems, using excimer lasers operating in UV, but the use of less energetic radiations can minimize the photochemical decomposition of the polymer molecules. We have used a deposition system explicitly designed for MAPLE technique connected to a Q-switched Ng:YAG pulsed laser which can be operated at different wavelength ranging from IR to UV in order to optimise the deposition parameters. The capability of MAPLE technique to deposit PEG has been confirmed and preliminary results show that visible (532 nm wavelength) radiation gives better results with respect to UV (355 nm) radiation. Despite usually UV wavelengths have been used and even if more systematic tests must be performed, it is important to underline that the choice of laser wavelength plays an important role in the application of MAPLE thin film deposition technique

  13. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO2 thin films grown by atomic layer deposition at different temperatures

    Science.gov (United States)

    Saha, D.; Ajimsha, R. S.; Rajiv, K.; Mukherjee, C.; Gupta, M.; Misra, P.; Kukreja, L. M.

    2014-10-01

    TiO2 thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (Ts) in a wide range (50 °C ≤ Ts ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (Ts ≤ 150 °C) to the nanocrystalline films (2500 < Ts ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple-DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  14. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  15. Electrical response of electron selective atomic layer deposited TiO2‑x heterocontacts on crystalline silicon substrates

    Science.gov (United States)

    Ahiboz, Doğuşcan; Nasser, Hisham; Aygün, Ezgi; Bek, Alpan; Turan, Raşit

    2018-04-01

    Integration of oxygen deficient sub-stoichiometric titanium dioxide (TiO2‑x) thin films as the electron transporting-hole blocking layer in solar cell designs are expected to reduce fabrication costs by eliminating high temperature processes while maintaining high conversion efficiencies. In this paper, we conducted a study to reveal the electrical properties of TiO2‑x thin films grown on crystalline silicon (c-Si) substrates by atomic layer deposition (ALD) technique. Effect of ALD substrate temperature, post deposition annealing, and doping type of the c-Si substrate on the interface states and TiO2‑x bulk properties were extracted by performing admittance (C-V, G-V) and current-voltage (J-V) measurements. Moreover, the asymmetry in C-V and J-V measurements between the p-n type and n-n TiO2‑x-c-Si heterojunction types were examined and the electron transport selectivity of TiO2‑x was revealed.

  16. Atomic layer deposition of HfO{sub 2} for integration into three-dimensional metal-insulator-metal devices

    Energy Technology Data Exchange (ETDEWEB)

    Assaud, Loic [Aix Marseille Univ, CNRS, CINAM, Marseille (France); ICMMO-ERIEE, Universite Paris-Sud / Universite Paris-Saclay, CNRS, Orsay (France); Pitzschel, Kristina; Barr, Maissa K.S.; Petit, Matthieu; Hanbuecken, Margrit; Santinacci, Lionel [Aix Marseille Univ, CNRS, CINAM, Marseille (France); Monier, Guillaume [Universite Clermont Auvergne, Universite Blaise Pascal, CNRS, Institut Pascal, Clermont-Ferrand (France)

    2017-12-15

    HfO{sub 2} nanotubes have been fabricated via a template-assisted deposition process for further use in three-dimensional metal-insulator-metal (MIM) devices. HfO{sub 2} thin layers were grown by Atomic Layer Deposition (ALD) in anodic alumina membranes (AAM). The ALD was carried out using tetrakis(ethylmethylamino)hafnium and water as Hf and O sources, respectively. Long exposure durations to the precursors have been used to maximize the penetration depth of the HfO{sub 2} layer within the AAM and the effect of the process temperature was investigated. The morphology, the chemical composition, and the crystal structure were studied as a function of the deposition parameters using transmission and scanning electron microscopies, X-ray photoelectron spectroscopy, and X-ray diffraction, respectively. As expected, the HfO{sub 2} layers grown at low-temperature (T = 150 C) were amorphous, while for a higher temperature (T = 250 C), polycrystalline films were observed. The electrical characterizations have shown better insulating properties for the layers grown at low temperature. Finally, TiN/HfO{sub 2}/TiN multilayers were grown in an AAM as proof-of-concept for three-dimensional MIM nanostructures. (orig.)

  17. Theoretical study of thin metallic deposit layers: from electronic structure to kinetics

    International Nuclear Information System (INIS)

    Senhaji, Abdelali

    1993-01-01

    We have studied the relation between the equilibrium surface segregation in an alloy A c B 1-c and the kinetics of dissolution of a few metallic layers of A (or B) deposited on a B (or A) substrate. We used an energetic model derived from the electronic structure (T.B.I.M.) allowing us to study the surface segregation both in disordered and in ordered alloys. Moreover we have developed a kinetic model (K.T.B.I.M.) consistent with the TBIM energetic model to study the kinetics both of segregation and dissolution. This process has been applied to the Cu-Pt system for which Auger, LEED and photoemission experiments are in progress at L.U.R.E. Concerning the equilibrium surface segregation in the ordered state we have studied all the possible terminations for the (111) and (100) faces in the various ordered structures occurring on the F.C.C. lattice (L1 0 , L1 1 - L1 2 and L'). In particular we have determined the domain of (meta)stability of each termination, which is very useful to understand the competition between single and double steps in ordered alloys. Studying the kinetics of dissolution of a few layers of Cu (or Pt) deposited on the (111) or (100) face of a Pt (or Cu) substrate, we have shown the formation of surface compounds with a great variety of behaviours depending on the face or on the temperature. All these behaviours can be rationalized with the local equilibrium concept, which we have defined accurately within our model and which allows to connect the dissolution mode with the equilibrium segregation. (author) [fr

  18. Ceramic Composite Thin Films

    Science.gov (United States)

    Ruoff, Rodney S. (Inventor); Stankovich, Sasha (Inventor); Dikin, Dmitriy A. (Inventor); Nguyen, SonBinh T. (Inventor)

    2013-01-01

    A ceramic composite thin film or layer includes individual graphene oxide and/or electrically conductive graphene sheets dispersed in a ceramic (e.g. silica) matrix. The thin film or layer can be electrically conductive film or layer depending the amount of graphene sheets present. The composite films or layers are transparent, chemically inert and compatible with both glass and hydrophilic SiOx/silicon substrates. The composite film or layer can be produced by making a suspension of graphene oxide sheet fragments, introducing a silica-precursor or silica to the suspension to form a sol, depositing the sol on a substrate as thin film or layer, at least partially reducing the graphene oxide sheets to conductive graphene sheets, and thermally consolidating the thin film or layer to form a silica matrix in which the graphene oxide and/or graphene sheets are dispersed.

  19. Investigation of thin ZnO layers in view of laser desorption-ionization

    Energy Technology Data Exchange (ETDEWEB)

    Grechnikov, A A; Borodkov, A S [Vernadsky Institute of Geochemistry and Analytical Chemistry, Russian Academy of Sciences, 19 Kosygin Str., 119991 Moscow (Russian Federation); Georgieva, V B [Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Alimpiev, S S; Nikiforov, S M; Simanovsky, Ya O [General Physics Institute, Russian Academy of Sciences, 38 Vavilov Str., 119991 Moscow (Russian Federation); Dimova-Malinovska, D; Angelov, O I, E-mail: lazarova@issp.bas.b [Laboratory for Solar Energy and New Energy Sources, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria)

    2010-04-01

    Thin zinc oxide films (ZnO) were developed as a matrix-free platform for surface assisted laser desorption-ionization (SALDI) time-of-flight mass spectrometry. The ZnO films were deposited by RF magnetron sputtering of ZnO ceramic targets in Ar atmospheres on monocrystalline silicon. The generation under UV (355 nm) laser irradiation of positive ions of atenolol, reserpine and gramicidin S from the ZnO layers deposited was studied. All analytes tested were detected as protonated molecules with no or very structure-specific fragmentation. The mass spectra obtained showed low levels of chemical background noise. All ZnO films studied exhibited high stability and good reproducibility. The detection limits for test analytes are in the 10 femtomol range.

  20. Fast light-induced reversible wettability of a zinc oxide nanorod array coated with a thin gold layer

    Science.gov (United States)

    Wei, Yuefan; Du, Hejun; Kong, Junhua; Tran, Van-Thai; Koh, Jia Kai; Zhao, Chenyang; He, Chaobin

    2017-11-01

    Zinc oxide (ZnO) has gained much attention recently due to its excellent physical and chemical properties, and has been extensively studied in energy harvesting applications such as photovoltaic and piezoelectric devices. In recent years, its reversible wettability has also attracted increasing interest. The wettability of ZnO nanostructures with various morphologies has been studied. However, to the best of our knowledge, there is still a lack of investigations on further modifications on ZnO to provide more benefits than pristine ZnO. Comprehensive studies on the reversible wettability are still needed. In this study, a ZnO nanorod array was prepared via a hydrothermal process and subsequently coated with thin gold layers with varied thickness. The morphologies and structures, optical properties and wettability were investigated. It is revealed that the ZnO-Au system possesses recoverable wettability upon switching between visible-ultraviolet light and a dark environment, which is verified by the contact angle change. The introduction of the thin gold layer to the ZnO nanorod array effectively increases the recovery rate of the wettability. The improvements are attributed to the hierarchical structures, which are formed by depositing thin gold layers onto the ZnO nanorod array, the visible light sensitivity due to the plasmonic effect of the deposited gold, as well as the fast charge-induced surface status change upon light illumination or dark storage. The improvement is beneficial to applications in environmental purification, energy harvesting, micro-lenses, and smart devices.