WorldWideScience

Sample records for deposited cds films

  1. A comparative study of CdS thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pérez-Hernández, G., E-mail: german.perez@ujat.mx [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Pantoja-Enríquez, J. [Centro de Investigación y Desarrollo Tecnológico en Energías Renovables, UNICACH, Libramiento Norte No 1150, Tuxtla Gutiérrez, Chiapas 29039 (Mexico); Escobar-Morales, B. [Instituto Tecnológico de Cancún, Avenida Kábah Km 3, Cancún, Quintana Roo 77500 (Mexico); Martinez-Hernández, D.; Díaz-Flores, L.L.; Ricardez-Jiménez, C. [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Mathews, N.R.; Mathew, X. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico)

    2013-05-01

    Cadmium sulfide thin-films were deposited on glass slides and SnO{sub 2}:F coated glass substrates by chemical bath deposition, sputtering and close-spaced sublimation techniques. The films were studied for the structural and opto-electronic properties after annealing in an ambient identical to that employed in the fabrication of CdTe/CdS devices. Quantum efficiency of the CdTe/CdS solar cells fabricated with CdS buffer films prepared by the three methods were investigated to understand the role of CdS film preparation method on the blue response of the devices. The higher blue response observed for the devices fabricated with chemical bath deposited CdS film is discussed. - Highlights: ► CdS films were prepared by different techniques. ► Role of CdS on the blue response of device was studied. ► Structural and optical properties of CdS were analyzed. ► Chemically deposited CdS has high blue transmittance. ► CdS deposition method influences diffusion of S and Te.

  2. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  3. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  4. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  5. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  6. Effect of protic solvents on CdS thin films prepared by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw; Chen, Chun-Yu

    2015-03-31

    In this study, cadmium sulfide (CdS) thin films are grown on glass substrates by chemical bath deposition (CBD) in an aqueous bath containing 10–20 vol.% alcohol. The roles of ethanol as a protic solvent that substantially improves the quality of films are explored extensively. The deposited films in an alcohol bath are found to be more compact and smoother with smaller CdS grains. The X-ray diffractograms of the samples confirm that all films were polycrystalline with mixed wurtzite (hexagonal) and zinkblende (cubic) phases. Raman spectra indicate that, for a film deposited in an alcohol bath, the position of 1LO is closer to the value for single crystal CdS, indicating that these films have a high degree of crystallinity. The as-deposited CdS thin films in a 10 vol.% alcohol bath were found to have the highest visible transmittance of 81.9%. XPS analysis reveals a stronger signal of C1s for samples deposited in the alcohol baths, indicating that there are more carbonaceous residues on the films with protic solvent than on the films with water. A higher XPS S/Cd atomic ratio for films deposited in an alcohol bath indicates that undesirable surface reactions (leading to sulfur containing compounds other than CdS) occur less frequently over the substrates. - Highlights: • Study of CBD-CdS films grown in an alcohol-containing aqueous bath is reported. • The deposited films in an alcohol bath are more compact with smaller CdS grains. • Raman spectra show that in an alcohol bath, the CdS film has a better crystallinity. • XPS reveals more carbon residues remain on the films deposited using alcohol bath. • In an alcohol bath, the undesirable surface reactions with Cd ions were hindered.

  7. Optimization of deposition conditions of CdS thin films using response surface methodology

    Energy Technology Data Exchange (ETDEWEB)

    Yücel, Ersin, E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Güler, Nuray [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Yücel, Yasin [Department of Chemistry, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)

    2014-03-15

    Highlights: • Statistical methods used for optimization of CdS deposition parameters. • The morphology of the films was smooth, homogeneous and continuous. • Optimal conditions found as pH 11, stirring speed:361 rpm and deposition time: 55 min. • CdS thin film band gap value was 2.72 eV under the optimum conditions. -- Abstract: Cadmium sulfide (CdS) thin films were prepared on glass substrates by chemical bath deposition (CBD) technique under different pH, stirring speed and deposition time. Response Surface Methodology (RSM) and Central Composite Design (CCD) were used to optimization of deposition parameters of the CdS thin films. RSM and CCD were also used to understand the significance and interaction of the factors affecting the film quality. Variables were determined as pH, stirring speed and deposition time. The band gap was chosen as response in the study. Influences of the variables on the band gap and the film quality were investigated. 5-level-3-factor central composite design was employed to evaluate the effects of the deposition conditions parameters such as pH (10.2–11.8), stirring speed (132–468 rpm) and deposition time (33–67 min) on the band gap of the films. The samples were characterized using X-ray diffraction (XRD), scanning electron microscope (SEM) and ultraviolet–visible spectroscopy (UV–vis) measurements. The optimal conditions for the deposition parameters of the CdS thin films have been found to be: pH 11, 361 of stirring speed and 55 min of deposition time. Under the optimal conditions theoretical (predicted) band gap of CdS (2.66 eV) was calculated using optimal coded values from the model and the theoretical value is good agreement with the value (2.72 eV) obtained by verification experiment.

  8. Nanostructured CdS thin films deposited by spray pyrolysis method

    Energy Technology Data Exchange (ETDEWEB)

    Kerimova, A.; Bagiyev, E.; Aliyeva, E.; Bayramov, A. [Institute of Physics, Azerbaijan National Academy of Sciences, Baku (Azerbaijan)

    2017-06-15

    Influence of solution pH on the structural and optical properties of CdS films deposited by conventional spray pyrolysis technique was studied. X-Ray Diffraction (XRD), Atomic Force Microscopy (AFM), Photoluminescence spectroscopy (PLS), and Spectroscopic Ellipsometry (SE) methods were used for the characterization of the deposited films. PL spectrum of the film deposited from the solution with pH = 10.2 shows broad-band PL emission located at 460 nm (2.7 eV), which can be attributed to the quantum size effect at grain sizes of <10 nm. No shifts of ε{sub 1} and ε{sub 2} due to the quantum size effect are observed in dielectric function spectra, what can be caused by low concentration of nano-sized (<10 nm) CdS grains. The change in the film properties with the pH of the solution was analyzed in terms of variation of grain sizes of the polycrystalline films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Solution precursor plasma deposition of nanostructured CdS thin films

    International Nuclear Information System (INIS)

    Tummala, Raghavender; Guduru, Ramesh K.; Mohanty, Pravansu S.

    2012-01-01

    Highlights: ► Inexpensive process with capability to produce large scale nanostructured coatings. ► Technique can be employed to spray the coatings on any kind of substrates including polymers. ► The CdS coatings developed have good electrical conductivity and optical properties. ► Coatings possess large amount of particulate boundaries and nanostructured grains. -- Abstract: Cadmium sulfide (CdS) films are used in solar cells, sensors and microelectronics. A variety of techniques, such as vapor based techniques, wet chemical methods and spray pyrolysis are frequently employed to develop adherent CdS films. In the present study, rapid deposition of CdS thin films via plasma spray route using a solution precursor was investigated, for the first time. Solution precursor comprising cadmium chloride, thiourea and distilled water was fed into a DC plasma jet via an axial atomizer to create ultrafine droplets for instantaneous and accelerated thermal decomposition in the plasma plume. The resulting molten/semi-molten ultrafine/nanoparticles of CdS eventually propel toward the substrate to form continuous CdS films. The chemistry of the solution precursor was found to be critical in plasma pyrolysis to control the stoichiometry and composition of the films. X-ray diffraction studies confirmed hexagonal α-CdS structure. Surface morphology and microstructures were investigated to compare with other synthesis techniques in terms of process mechanism and structural features. Transmission electron microscopy studies revealed nanostructures in the atomized particulates. Optical measurements indicated a decreasing transmittance in the visible light with increasing the film thickness and band gap was calculated to be ∼2.5 eV. The electrical resistivity of the films (0.243 ± 0.188 × 10 5 Ω cm) was comparable with the literature values. These nanostructured polycrystalline CdS films could be useful in sensing and solar applications.

  10. Solution precursor plasma deposition of nanostructured CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tummala, Raghavender [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States); Guduru, Ramesh K., E-mail: rkguduru@umich.edu [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States); Mohanty, Pravansu S. [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States)

    2012-03-15

    Highlights: Black-Right-Pointing-Pointer Inexpensive process with capability to produce large scale nanostructured coatings. Black-Right-Pointing-Pointer Technique can be employed to spray the coatings on any kind of substrates including polymers. Black-Right-Pointing-Pointer The CdS coatings developed have good electrical conductivity and optical properties. Black-Right-Pointing-Pointer Coatings possess large amount of particulate boundaries and nanostructured grains. -- Abstract: Cadmium sulfide (CdS) films are used in solar cells, sensors and microelectronics. A variety of techniques, such as vapor based techniques, wet chemical methods and spray pyrolysis are frequently employed to develop adherent CdS films. In the present study, rapid deposition of CdS thin films via plasma spray route using a solution precursor was investigated, for the first time. Solution precursor comprising cadmium chloride, thiourea and distilled water was fed into a DC plasma jet via an axial atomizer to create ultrafine droplets for instantaneous and accelerated thermal decomposition in the plasma plume. The resulting molten/semi-molten ultrafine/nanoparticles of CdS eventually propel toward the substrate to form continuous CdS films. The chemistry of the solution precursor was found to be critical in plasma pyrolysis to control the stoichiometry and composition of the films. X-ray diffraction studies confirmed hexagonal {alpha}-CdS structure. Surface morphology and microstructures were investigated to compare with other synthesis techniques in terms of process mechanism and structural features. Transmission electron microscopy studies revealed nanostructures in the atomized particulates. Optical measurements indicated a decreasing transmittance in the visible light with increasing the film thickness and band gap was calculated to be {approx}2.5 eV. The electrical resistivity of the films (0.243 {+-} 0.188 Multiplication-Sign 10{sup 5} {Omega} cm) was comparable with the literature

  11. An in-situ chemical reaction deposition of nanosized wurtzite CdS thin films

    International Nuclear Information System (INIS)

    Chu Juan; Jin Zhengguo; Cai Shu; Yang Jingxia; Hong Zhanglian

    2012-01-01

    Nanocrystalline CdS thin films were deposited on glass substrates by an ammonia-free in-situ chemical reaction synthesis technique using cadmium cationic precursor solid films as reaction source and sodium sulfide based solutions as anionic reaction medium. Effects of ethanolamine addition to the cadmium cationic precursor solid films, deposition cycle numbers and annealing treatments in Ar atmosphere on structure, morphology, chemical composition and optical properties of the resultant films were investigated by X-ray diffraction, field emission scanning electron microscope, energy dispersive X-ray analysis and UV–Vis spectra measurements. The results show that CdS thin films deposited by the in-situ chemical reaction synthesis have wurtzite structure with (002) plane preferential orientation and crystallite size is in the range of 16 nm–19 nm. The growth of film thickness is almost constant with deposition cycle numbers and about 96 nm per cycle.

  12. Effect of the sulfur and fluorine concentration on physical properties of CdS films grown by chemical bath deposition

    Directory of Open Access Journals (Sweden)

    K.E. Nieto-Zepeda

    Full Text Available Undoped and F-doped CdS thin films were grown on glass slides by chemical bath deposition using thiourea, cadmium acetate and ammonium fluoride as sulfur, cadmium, and fluorine sources, respectively. Undoped CdS films were deposited varying the concentration of thiourea. Once the optimal thiourea concentration was determined, based on the crystalline quality of the samples, this concentration was maintained and ammonium fluoride was added at different concentrations in order to explore the effect of the F nominal concentration on properties of CdS films. Undoped and F-doped CdS films were characterized by X-ray diffraction, UV–Vis, room temperature photoluminescence, and four probe resistivity measurements. Results showed highly transparent F-doped CdS films with strong PL and low resistivity were obtained. Keywords: CdS films, F-doped CdS films, Chemical bath deposition, Optical properties, Room temperature photoluminescence

  13. Structural and optical properties of nano-structured CdS thin films prepared by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Bai, Rekha, E-mail: rekha.mittal07@gmail.com; Kumar, Dinesh; Chaudhary, Sujeet; Pandya, Dinesh K. [Thin Film Laboratory, Physics Department, Indian Institute of Technology Delhi, New Delhi-110016 (India)

    2016-05-06

    Cadmium sulfide (CdS) thin films have been deposited on conducting glass substrates by chemical bath deposition (CBD) technique. The effect of precursor concentration on the structural, morphological, compositional, and optical properties of the CdS films has been studied. Crystal structure of these CdS films is characterized by X-ray diffraction (XRD) and it reveals polycrystalline structure with mixture of cubic and wurtzite phases with grain size decreasing as precursor concentration is increased. Optical studies reveal that the CdS thin films have high transmittance in visible spectral region reaching 90% and the films possess direct optical band gap that decreases from 2.46 to 2.39 eV with decreasing bath concentration. Our study suggests that growth is nucleation controlled.

  14. Structural and optical properties of nano-structured CdS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Bai, Rekha; Kumar, Dinesh; Chaudhary, Sujeet; Pandya, Dinesh K.

    2016-01-01

    Cadmium sulfide (CdS) thin films have been deposited on conducting glass substrates by chemical bath deposition (CBD) technique. The effect of precursor concentration on the structural, morphological, compositional, and optical properties of the CdS films has been studied. Crystal structure of these CdS films is characterized by X-ray diffraction (XRD) and it reveals polycrystalline structure with mixture of cubic and wurtzite phases with grain size decreasing as precursor concentration is increased. Optical studies reveal that the CdS thin films have high transmittance in visible spectral region reaching 90% and the films possess direct optical band gap that decreases from 2.46 to 2.39 eV with decreasing bath concentration. Our study suggests that growth is nucleation controlled.

  15. Electronic and optical properties of CdS films deposited by evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Huang, L.; Wei, Z.L.; Zhang, F.M.; Wu, X.S., E-mail: xswu@nju.edu.cn

    2015-11-05

    CdS films grown by thermal evaporation on glass substrate under ultra-high vacuum are prepared with varying the growth temperature and atmosphere environment. The minimum resistivity of the films is as low as 2.0 Ω·cm, and the carrier density even reaches 1.6 × 10{sup 18} cm{sup −3}, which is much less than that prepared by the chemical bath deposition (CBD) method. The transmittance and band gap increase with the set the argon atmosphere and the growth temperature in the optimum value. Our results indicate the CdS films grown by evaporation at high vacuum may be more suitable for the application in optoelectronic devices, such as the solar cell materials. - Highlights: • CdS films are grown by the ultra-high vacuum evaporation. • CdS film here with the high carrier density reaches to 10{sup 18} cm{sup −3} is obtained. • The film has low resistivity, which is as low as 2 Ω∙ cm. • The optical band gap become wider from 2.42 eV to 2.54 eV.

  16. Influence of substrate temperature, growth rate and TCO substrate on the properties of CSS deposited CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Schaffner, J., E-mail: jschaffner@surface.tu-darmstadt.de; Feldmeier, E.; Swirschuk, A.; Schimper, H.-J.; Klein, A.; Jaegermann, W.

    2011-08-31

    The growth of CdS thin films by close space sublimation (CSS) has been systematically studied using an ultra-high vacuum system known as DAISY-SOL in order to understand the basic growth mechanisms and their impact on the film properties. Substrate temperature and deposition rate were varied, and the surface properties of the CdS layer were determined by photoelectron spectroscopy (XPS) without breaking the vacuum. To analyze the influence of the deposition conditions on the layer morphology and crystallographic structure, the films were further characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and atomic force microscopy (AFM). The SEM and AFM studies show a correlation between the deposition rate and the film morphology. For high deposition rates, edged grain shapes and smoother surfaces were observed than for low deposition rates. CdS films were deposited onto two different commercially available fluorine-doped tin oxide (FTO) substrates. XRD studies show that a high <200> texture of the FTO substrate prefers the CdS growth in <0001> orientation of the hexagonal crystal modification.

  17. Chemical bath deposition of CdS thin films doped with Zn and Cu

    Indian Academy of Sciences (India)

    Abstract. Zn- and Cu-doped CdS thin films were deposited onto glass substrates by the chemical bath technique. ... Cadmium sulfide; chemical bath deposition; doping; optical window. 1. ..... at low temperature (10 K), finding similar trends than.

  18. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  19. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  20. Dense CdS thin films on fluorine-doped tin oxide coated glass by high-rate microreactor-assisted solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Su, Yu-Wei, E-mail: suyuweiwayne@gmail.com [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Ramprasad, Sudhir [Energy Processes and Materials Division, Pacific Northwest National Laboratory, Corvallis, OR 9730 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Han, Seung-Yeol; Wang, Wei [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Ryu, Si-Ok [School of Display and Chemical Engineering, Yeungnam University, 214-1 Dae-dong, Gyeonsan, Gyeongbuk 712-749 (Korea, Republic of); Palo, Daniel R. [Barr Engineering Co., Hibbing, MN 55747 (United States); Paul, Brian K. [School of Mechanical, Industrial and Manufacturing Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Chang, Chih-hung [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States)

    2013-04-01

    Continuous microreactor-assisted solution deposition is demonstrated for the deposition of CdS thin films on fluorine-doped tin oxide (FTO) coated glass. The continuous flow system consists of a microscale T-junction micromixer with the co-axial water circulation heat exchanger to control the reacting chemical flux and optimize the heterogeneous surface reaction. Dense, high quality nanocrystallite CdS thin films were deposited at an average rate of 25.2 nm/min, which is significantly higher than the reported growth rate from typical batch chemical bath deposition process. Focused-ion-beam was used for transmission electron microscopy specimen preparation to characterize the interfacial microstructure of CdS and FTO layers. The band gap was determined at 2.44 eV by UV–vis absorption spectroscopy. X-ray photon spectroscopy shows the binding energies of Cd 3d{sub 3/2}, Cd 3d{sub 5/2}, S 2P{sub 3/2} and S 2P{sub 1/2} at 411.7 eV, 404.8 eV, 162.1 eV and 163.4 eV, respectively. - Highlights: ► CdS films deposited using continuous microreactor-assisted solution deposition (MASD) ► Dense nanocrystallite CdS films can be reached at a rate of 25.2 [nm/min]. ► MASD can approach higher film growth rate than conventional chemical bath deposition.

  1. Characterisation of nanocrystalline CdS thin films deposited by CBD

    International Nuclear Information System (INIS)

    Devi, R.; Sarma, B.K.

    2006-01-01

    Nanocrystalline thin films of CdS are deposited on glass substrates by chemical bath deposition using polyvinyl alcohol (PVA) matrix solution. Crystallite sizes of the films are determined from X-ray diffraction and are found to vary from 5.4 nm to 7 nm. The band gaps of the nanocrystalline material is determined from the U-V spectrograph and are found to be within the range from 2.6 eV to 2.8 eV as grain size decreases. The band gaps are also determined from the dependence of electrical conductivity of the films with temperature. An increase of molarity decreases the grain size which in turn increases the band gap. (author)

  2. Chemical Bath Deposition and Characterization of CdS layer for CZTS Thin Film Solar Cell

    OpenAIRE

    Kamal, Tasnim; Parvez, Sheikh; Matin, Rummana; Bashar, Mohammad Shahriar; Hossain, Tasnia; Sarwar, Hasan; Rashid, Mohammad Junaebur

    2016-01-01

    CZTS is a new type of an absorber and abundant materials for thin film solar cells (TFSC). Cadmium sulfide (CdS) is the n-type buffer layer of it with band gap of 2.42 eV. Cadmium sulfide (CdS) buffer layer of CZTS solar cell was deposited on soda-lime glass substrates by the Chemical Bath Deposition(CBD) method, using anhydrous Cadmium chloride(CdCl_2) and Thiourea (CS(NH_2)_2). Deposition of CdS using CBD is based on the slow release of Cd^ ions and S^ ions in an alkaline bath which is achi...

  3. Fabrication of CdS films with superhydrophobicity by the microwave assisted chemical bath deposition.

    Science.gov (United States)

    Liu, Y; Tan, T; Wang, B; Zhai, R; Song, X; Li, E; Wang, H; Yan, H

    2008-04-15

    A simple method of microwave assisted chemical bath deposition (MA-CBD) was adopted to fabricate cadmium sulfide (CdS) thin films. The superhydrophobic surface with a water contact angle (CA) of 151 degrees was obtained. Via a scanning electron microscopy (SEM) observation, the film was proved having a porous micro/nano-binary structure which can change the property of the surface and highly enhance the hydrophobicity of the film. A possible mechanism was suggested to describe the growth of the porous structure, in which the microwave heating takes an important role in the formation of two distinct characteristic dimensions of CdS precipitates, the growth of CdS sheets in micro-scale and sphere particles in nano-scale. The superhydrophobic films may provide novel platforms for photovoltaic, sensor, microfluidic and other device applications.

  4. In-situ boron doping of chemical-bath deposited CdS thin films

    International Nuclear Information System (INIS)

    Khallaf, Hani; Park, S.; Schulte, Alfons; Chai, Guangyu; Lupan, Oleg; Chow, Lee; Heinrich, Helge

    2009-01-01

    In-situ boron doping of CdS using chemical-bath deposition (CBD) is reported. The effect of B doping on optical properties, as well as electrical properties, crystal structure, chemistry, and morphology of CdS films is studied. We present a successful approach towards B doping of CdS using CBD, where a resistivity as low as 1.7 x 10 -2 Ωcm and a carrier density as high as 1.91 x 10 19 cm -3 were achieved. The bandgap of B-doped films was found to slightly decrease as the[B]/[Cd] ratio in the solution increases. X-ray diffraction studies showed B 3+ ions likely enter the lattice substitutionally. A phase transition, due to annealing, as well as induced lattice defects, due to B doping, were detected by micro-Raman spectroscopy and transmission electron microscopy. The chemistry and morphology of films were unaffected by B doping. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Role of the buffer solution in the chemical deposition of CdS films for CIGS solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sooho; Kim, Donguk; Baek, Dohyun; Hong, Byoungyou; Yi, Junsin; Lee, Jaehyeong [Sungkyunkwan University, Suwon (Korea, Republic of); Park, Yongseob [Chosun College of Science and and Technology, Gwangju (Korea, Republic of); Choi, Wonseok [Hanbat National University, Daejeon (Korea, Republic of)

    2014-05-15

    In this work, the effects of NH{sub 4}Ac on the structural and the electro-optical properties of CdS films were investigated. CdS thin films were deposited on soda-lime glass and indium-tin-oxide (ITO) coated glass from a chemical bath containing 0.025 M cadmium acetate, 0 M ∼ 0.2 M ammonium acetate, 0.5 M thiourea, and ammonia. Cadmium acetate was the cadmium source, ammonium acetate served as a buffer, ammonia was the complexing agent, and thiourea was the source of sulfur. A commonly- available chemical bath deposition system was successfully modified to obtain precise control over the pH of the solution at 75 .deg. C during the deposition. Chemically deposited CdS films were studied by using field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), optical transmittance, and electrical resistivity measurements.

  6. CdS-based p-i-n diodes using indium and copper doped CdS films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N; Berrellez-Reyes, F; Mizquez-Corona, R; Ramirez-Esquivel, O; Mejia, I; Quevedo-Lopez, M

    2015-01-01

    In this work we report a method to dope cadmium sulfide (CdS) thin films using pulsed laser deposition. Doping is achieved during film growth at substrate temperatures of 100 °C by sequential deposition of the CdS and the dopant material. Indium sulfide and copper disulfide targets were used as the dopant sources for n-type and p-type doping, respectively. Film resistivities as low as 0.2 and 1 Ω cm were achieved for indium and copper doped films, respectively. Hall effect measurements demonstrated the change in conductivity type from n-type to p-type when the copper dopants are incorporated into the film. The controlled incorporation of indium or copper, in the undoped CdS film, results in substitutional defects in the CdS, which increases the electron and hole concentration up to 4 × 10 18 cm −3 and 3 × 10 20 cm −3 , respectively. The results observed with CdS doping can be expanded to other chalcogenides material compounds by just selecting different targets. With the optimized doped films, CdS-based p-i-n diodes were fabricated yielding an ideality factor of 4, a saturation current density of 2 × 10 −6 A cm −2 and a rectification ratio of three orders of magnitude at ±3 V. (paper)

  7. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  8. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  9. Effect of complexing agent on the photoelectrochemical properties of bath deposited CdS thin films

    International Nuclear Information System (INIS)

    Patil, S.B.; Singh, A.K.

    2010-01-01

    In the present paper photoelectrochemical (PEC) performance of bath deposited CdS thin films based on complexing agents i.e. ammonia and triethanolamine (TEA) has been discussed. Effect of annealing has also been analyzed. The as-deposited and annealed (at 523 K for 1 h in air) films were characterized by X-ray diffraction (XRD), ultraviolet-visible (UV-vis) absorption spectroscopy, SEM, electrochemical impedance spectroscopy (EIS), and PEC properties. XRD studies revealed that the films were nanocrystalline in nature with mixed hexagonal and cubic phases. TEA complex resulted in better crystallinity. Further improvement in the crystallinity of the films was observed after air annealing. The marigold flower-like structure, in addition to flakes morphology, was observed with TEA complex, whereas for ammonia complex only flakes morphology was observed. The UV-vis absorption studies revealed that the optical absorption edge for the films with ammonia and TEA complex was around 475 nm and 500 nm, respectively. Annealing of the films resulted in red shift in the UV-vis absorption. The PEC cell performance of CdS films was found to be strongly affected by crystallinity and morphology of the films resulted due to complexing agent and annealing. The air annealed film deposited using TEA complex showed maximum short circuit current density (J sc ) and open circuit voltage (V oc ) i.e. 99 μA/cm 2 and 376 mV respectively, under 10 mW/cm 2 of illumination. The films deposited using TEA complex showed good stability under PEC cell conditions.

  10. Effect of Si ion irradiation on polycrystalline CdS thin film grown from novel photochemical deposition technique

    International Nuclear Information System (INIS)

    Soundeswaran, S.; Senthil Kumar, O.; Ramasamy, P.; Kabi Raj, D.; Avasthi, D.K.; Dhanasekaran, R.

    2005-01-01

    CdS thin films have been deposited from aqueous solution by photochemical reactions. The solution contains Cd(CH 3 COO) 2 and Na 2 S 2 O 3 , and pH is controlled in an acidic region by adding H 2 SO 4 . The solution is illuminated with light from a high-pressure mercury-arc lamp. CdS thin films are formed on a glass substrate by the heterogeneous nucleation and the deposited thin films have been subjected to high-energy Si ion irradiations. Si ion irradiation has been performed with an energy of 80 MeV at fluences of 1x10 11 , 1x10 12 , 1x10 13 and 1x10 14 ions/cm 2 using tandem pelletron accelerator. The irradiation-induced changes in CdS thin films are studied using XRD, Raman spectroscopy and photoluminescence. Broadening of the PL emission peak were observed with increasing irradiation fluence, which could be attributed to the band tailing effect of the Si ion irradiation. The lattice disorder takes place at high Si ion fluences

  11. Size-dependent photodegradation of CdS particles deposited onto TiO2 mesoporous films by SILAR method

    International Nuclear Information System (INIS)

    Ahmed, Rasin; Will, Geoffrey; Bell, John; Wang Hongxia

    2012-01-01

    The particle size, size distribution and photostability of CdS nanoparticles incorporated onto mesoporous TiO 2 films by a successive ionic layer adsorption and reaction (SILAR) method were investigated by Raman spectroscopy, UV–Visible spectroscopy, transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). High-resolution TEM indicated that the synthesized CdS particles were hexagonal phase and the particle sizes were less than 5 nm for up to nine SILAR deposition cycles. Quantum size effect was found with the CdS-sensitized TiO 2 films prepared with up to nine SILAR cycles. The band gap of CdS nanoparticles decreased from 2.65 to 2.37 eV with the increase of the SILAR cycles from 1 to 11. The investigation of the stability of the CdS/TiO 2 films in air under illumination (440.6 μW/cm 2 ) showed that the photodegradation rate was up to 85 % per day for the sample prepared with three SILAR cycles. XPS analysis indicated that the photodegradation was due to the oxidation of CdS, leading to the transformation from sulphide to sulphate (CdSO 4 ). Furthermore, the degradation rate was strongly dependent upon the particle size of CdS. Smaller particles showed faster degradation rate. The size-dependent photo-induced oxidization was rationalized with the variation of size-dependent distribution of surface atoms of CdS particles. Molecular dynamics-based theoretical calculation has indicated that the surface sulphide anion of a large CdS particle such as CdS made with 11 cycles (CdS × 11, average particle size = 5.6 nm) accounts for 9.6 % of the material whereas this value is increased to 19.2 % for (CdS × 3)-based smaller particles (average particle size = 2.7 nm). The photostability of CdS nanoparticles was significantly enhanced when coated with ZnS particles deposited with four SILAR cycles. The growth mechanism of ZnS upon CdS nanoparticles was discussed.

  12. Growth of CdS thin films on indium coated glass substrates via chemical bath deposition and subsequent air annealing

    Energy Technology Data Exchange (ETDEWEB)

    Ghosh, Biswajit; Kumar, Kamlesh; Singh, Balwant Kr; Banerjee, Pushan; Das, Subrata, E-mail: neillohit@yahoo.co.in

    2014-11-30

    Graphical abstract: - Highlights: • CdS film grown on indium coated glass substrates via CBD and subsequent annealing. • Disappearance of the indium (1 1 2) peak confirms interdiffusion at 300 °C. • SIMS indicates the subsequent interdiffusion at progressively higher temperature. • Composite In–CdS layer showed lower photosensitivity compared to pure CdS. - Abstract: In the present work attempts were made to synthesize indium doped CdS films by fabricating In/CdS bilayers using CBD-CdS on vacuum evaporated In thin films and subsequent air annealing. 135 nm CdS films were grown onto 20 nm and 35 nm indium coated glass substrate employing chemical bath deposition technique. The In/CdS bilayers thus formed were subjected to heat treatment at the temperatures between 200 and 400 °C for 4 min in the muffle furnace to facilitate indium to diffuse into the CdS films. XRD pattern ascertained no noticeable shift in lattice constant implying grain boundary metal segregation, while secondary ion mass spectrometry indicated the diffusion profile of indium into CdS matrices. Mass spectrometry results showed that substantial diffusion of indium had been taken place within CdS at 400 °C. Dark and photocurrent with different illumination time were measured to ascertain the photosensitivity of pure and composite CdS films.

  13. Spin-coating deposition of PbS and CdS thin films for solar cell application

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Jayesh; Mighri, Frej [Laval University, CREPEC, Department of Chemical Engineering, Quebec, QC (Canada); Ajji, Abdellah [Ecole Polytechnique, CREPEC, Chemical Engineering Department, Montreal, QC (Canada); Tiwari, Devendra; Chaudhuri, Tapas K. [Charotar University of Science and Technology (CHARUSAT), Dr. K.C. Patel Research and Development Centre, Anand District, Gujarat (India)

    2014-12-15

    In this work, we describe a simple spin-coating deposition technique for lead sulphide (PbS) and cadmium sulphide (CdS) films from a methanolic metal-thiourea complex. The characterization of the films by X-ray diffraction and X-ray photoelectron spectroscopy techniques revealed that pure cubic phase PbS and CdS layers were formed via this method. As shown by atomic force microscopy and scanning electron microscopy results, both films were homogeneous and presented a smooth surface. Optical properties showed that the energy band gap of PbS and CdS films were around 1.65 and 2.5 eV, respectively. The PbS film is p-type in nature with an electrical conductivity of around 0.8 S/cm. The hole concentration and mobility were 2.35 x 10{sup 18} cm{sup -3} and 2.16 x 10{sup -3} cm{sup 2}/V/s, respectively, as determined from Hall measurement. Both films were used to develop a thin film solar cell device of graphite/PbS/CdS/ITO/glass. Device characterization showed the power conversion efficiency of around 0.24 %. The corresponding open circuit voltage, short circuit current and fill factor were 0.570 V, 1.32 mA/cm{sup 2} and 0.32, respectively. (orig.)

  14. Effect of the cadmium ion source on the structural and optical properties of chemical bath deposited CdS thin films

    Science.gov (United States)

    Rami, M.; Benamar, E.; Fahoume, M.; Chraibi, F.; Ennaoui, A.

    1999-06-01

    The chemical bath deposition (CBD) technique has been successfully used to deposit cadmium sulphide from cadmium chloride and cadmium acetate as the cadmium ion source and thiourea as the sulphur source on both glass microscope slide and indium tin oxide coated glass substrates. Various properties of the films such as surface morphology, crystallinity, optical properties and resistivitiy have been investigated. XRD patterns reveal that the CdS films deposited from cadmium chloride have an hexagonal structure. Their preferential orientation changes from (002) to (100) with the thermal annealing. Films deposited from cadmium acetate are amorphous but improve their crystallinity with annealing. SEM analysis shows that the grains of the as deposited films are randomly shaped and appear to be bigger in the case of the CdS prepared from cadmium chloride. The optical transmission of the layers are in the 70-80 % range for wavelength above the band gap absorption which makes them more appropriate as window material in heterojunction solar cells.

  15. Effect of the cadmium ion source on the structural and optical properties of chemical bath deposited CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rami, M.; Benamar, E.; Fahoume, M.; Chraibi, F.; Ennaoui, A. [University Mohamed V, Laboratory of Materials Physics, Dept., Faculty of Sciences, Rabat (Morocco)

    1999-06-01

    The chemical bath deposition (CBD) technique has been successfully used to deposit cadmium sulphide from cadmium chloride and cadmium acetate as the cadmium ion source and thiourea as the sulphur source on both glass microscope slide and indium tin oxide coated glass substrates. Various properties of the films such as surface morphology, crystallinity, optical properties and resistivity have been investigated. XRD patterns reveal that the CdS films deposited from cadmium chloride have an hexagonal structure. Their preferential orientation changes from (002) to (100) with the thermal annealing. Films deposited from cadmium acetate are amorphous but improve their crystallinity with annealing. SEM analysis shows that the grains of the as deposited films are randomly shaped and appear to be bigger in the case of the CdS prepared from cadmium chloride. The optical transmission of the layers are in the 70-80% range for wavelength above the band gap absorption which makes them more appropriate as window material in heterojunction solar cells. (authors)

  16. Size-dependent photodegradation of CdS particles deposited onto TiO{sub 2} mesoporous films by SILAR method

    Energy Technology Data Exchange (ETDEWEB)

    Ahmed, Rasin; Will, Geoffrey; Bell, John; Wang Hongxia, E-mail: hx.wang@qut.edu.au [Queensland University of Technology, School of Chemistry, Physics and Mechanical Engineering (Australia)

    2012-09-15

    The particle size, size distribution and photostability of CdS nanoparticles incorporated onto mesoporous TiO{sub 2} films by a successive ionic layer adsorption and reaction (SILAR) method were investigated by Raman spectroscopy, UV-Visible spectroscopy, transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). High-resolution TEM indicated that the synthesized CdS particles were hexagonal phase and the particle sizes were less than 5 nm for up to nine SILAR deposition cycles. Quantum size effect was found with the CdS-sensitized TiO{sub 2} films prepared with up to nine SILAR cycles. The band gap of CdS nanoparticles decreased from 2.65 to 2.37 eV with the increase of the SILAR cycles from 1 to 11. The investigation of the stability of the CdS/TiO{sub 2} films in air under illumination (440.6 {mu}W/cm{sup 2}) showed that the photodegradation rate was up to 85 % per day for the sample prepared with three SILAR cycles. XPS analysis indicated that the photodegradation was due to the oxidation of CdS, leading to the transformation from sulphide to sulphate (CdSO{sub 4}). Furthermore, the degradation rate was strongly dependent upon the particle size of CdS. Smaller particles showed faster degradation rate. The size-dependent photo-induced oxidization was rationalized with the variation of size-dependent distribution of surface atoms of CdS particles. Molecular dynamics-based theoretical calculation has indicated that the surface sulphide anion of a large CdS particle such as CdS made with 11 cycles (CdS Multiplication-Sign 11, average particle size = 5.6 nm) accounts for 9.6 % of the material whereas this value is increased to 19.2 % for (CdS Multiplication-Sign 3)-based smaller particles (average particle size = 2.7 nm). The photostability of CdS nanoparticles was significantly enhanced when coated with ZnS particles deposited with four SILAR cycles. The growth mechanism of ZnS upon CdS nanoparticles was discussed.

  17. Effects of bacteria on CdS thin films used in technological devices

    Science.gov (United States)

    Alpdoğan, S.; Adıgüzel, A. O.; Sahan, B.; Tunçer, M.; Metin Gubur, H.

    2017-04-01

    Cadmium sulfide (CdS) thin films were fabricated on glass substrates by the chemical bath deposition method at 70 {}^\\circ \\text{C} considering deposition times ranging from 2 h to 5 h. The optical band gaps of CdS thin films were found to be in the 2.42-2.37 eV range. CdS thin films had uniform spherical nano-size grains which had polycrystalline, hexagonal and cubic phases. The films had a characteristic electrical resistivity of the order of {{10}5} Ω \\text{cm} and n-type conductivity at room condition. CdS thin films were incubated in cultures of B.domonas aeruginosa and Staphylococcus aureus, which exist abundantly in the environment, and form biofilms. SEM images showed that S. aureus and K. pneumonia were detected significantly on the film surfaces with a few of P. aeruginosa and B. subtilis cells attached. CdS thin film surface exhibits relatively good resistance to the colonization of P. aeruginosa and B. subtilis. Optical results showed that the band gap of CdS thin films which interacted with the bacteria is 2.42 \\text{eV} . The crystal structure and electrical properties of CdS thin films were not affected by bacterial adhesion. The antimicrobial effect of CdS nanoparticles was different for different bacterial strains.

  18. In situ, real-time thickness measurement techniques for bath-deposited CdS thin films on Cu(In,Ga)Se2

    International Nuclear Information System (INIS)

    Mann, Jonathan R.; Noufi, Rommel

    2012-01-01

    A technique has been developed that can measure the thickness of a 30–70 nm thin film of cadmium sulfide on a Cu(In,Ga)Se 2 substrate, in real time, as it grows in a chemical bath. The technique does not damage the film, and can be used to monitor batch depositions and roll-to-roll depositions with equal accuracy. The technique is based on reflectance spectroscopy through the chemical bath. - Highlights: ► Reflection spectra were collected during the chemical bath deposition of CdS. ► Two algorithms were generated to extract film thickness from each spectrum. ► Two conventional techniques were used to independently verify CdS film thicknesses. ► The accuracies of the algorithms are within 7% of the actual thicknesses. ► The algorithms offer in situ, real time thicknesses through the chemical bath.

  19. Effect of indium doping level on certain physical properties of CdS films deposited using an improved SILAR technique

    Energy Technology Data Exchange (ETDEWEB)

    Ravichandran, K., E-mail: kkr1365@yahoo.com [P.G. and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur-613 503, Tamil Nadu (India); Senthamilselvi, V. [P.G. and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur-613 503, Tamil Nadu (India); Department of Physics, Kunthavai Naachiyaar Government College for Women (Autonomous), Thanjavur-613 007, Tamil Nadu (India)

    2013-04-01

    The influence of indium (In) doping levels (0, 2, …, 8 at.%) on certain physical properties of cadmium sulphide (CdS) thin films deposited using an improved successive ionic layer adsorption and reaction (ISILAR) method has been studied. In this improved SILAR technique, a fresh anionic solution was introduced after a particular number of dipping cycles in order to achieve good stoichiometry. All the deposited films exhibited cubic phase with (1 1 1) plane as preferential orientation. The calculated crystallite size values are found to be decreased from 54.80 nm to 23.65 nm with the increase in In doping level. The optical study confirmed the good transparency (80%) of the film. A most compact and pinhole free smooth surface was observed for the CdS films with 8 at.% of In doping level. The perceived photoluminescence (PL) bands endorsed the lesser defect crystalline nature of the obtained CdS:In films. The chemical composition analysis (EDAX) showed the near stoichiometric nature of this ISILAR deposited CdS:In films.

  20. Effect of indium doping level on certain physical properties of CdS films deposited using an improved SILAR technique

    International Nuclear Information System (INIS)

    Ravichandran, K.; Senthamilselvi, V.

    2013-01-01

    The influence of indium (In) doping levels (0, 2, …, 8 at.%) on certain physical properties of cadmium sulphide (CdS) thin films deposited using an improved successive ionic layer adsorption and reaction (ISILAR) method has been studied. In this improved SILAR technique, a fresh anionic solution was introduced after a particular number of dipping cycles in order to achieve good stoichiometry. All the deposited films exhibited cubic phase with (1 1 1) plane as preferential orientation. The calculated crystallite size values are found to be decreased from 54.80 nm to 23.65 nm with the increase in In doping level. The optical study confirmed the good transparency (80%) of the film. A most compact and pinhole free smooth surface was observed for the CdS films with 8 at.% of In doping level. The perceived photoluminescence (PL) bands endorsed the lesser defect crystalline nature of the obtained CdS:In films. The chemical composition analysis (EDAX) showed the near stoichiometric nature of this ISILAR deposited CdS:In films.

  1. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N.; Martinez-Landeros, V.; Mejia, I.; Aguirre-Tostado, F.S.; Nascimento, C.D.; Azevedo, G. de M; Krug, C.; Quevedo-Lopez, M.A.

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10 −1 to 10 4 Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10 19 to 10 13 cm −3 and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm 2 /V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10 19 to 10 13 cm −3 . • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied

  2. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Como, N. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Martinez-Landeros, V. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Mejia, I. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Aguirre-Tostado, F.S. [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Nascimento, C.D.; Azevedo, G. de M; Krug, C. [Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre, 91509-900 (Brazil); Quevedo-Lopez, M.A., E-mail: mquevedo@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States)

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10{sup −1} to 10{sup 4} Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10{sup 19} to 10{sup 13} cm{sup −3} and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm{sup 2}/V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10{sup 19} to 10{sup 13} cm{sup −3}. • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied.

  3. Sequential electro-deposition of Bi{sub 2}S{sub 3}/CdS films as co-sensitizer photoanodes for liquid junction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Jana, Atanu; Hazra, Prasenjit; Hazra, Mukul; Datta, Jayati, E-mail: jayati_datta@rediffmail.com

    2016-11-01

    In this investigation multilayered conjugate films are formulated with Bi{sub 2}S{sub 3} and CdS nanoparticles (NPs) on FTO glass substrate. Thin layer Bi{sub 2}S{sub 3} was deposited and subsequently covered with various levels of CdS coating. Optical properties and XRD analysis of the films show existence of both the compound phases. The morphology of the films studied through electron microscopy reveals coverage of spherical CdS NPs on the network of Bi{sub 2}S{sub 3} NPs. The electrochemical impedance records and performances output characteristics of the n-type films show that the most efficient co-sensitizer matrix is produced with deep coating of CdS on thin layer of Bi{sub 2}S{sub 3}. - Highlights: • Multilayered Bi{sub 2}S{sub 3}/CdS conjugate films are formulated on FTO glass substrate. • Photo-degradation of Bi{sub 2}S{sub 3} is restricted by the coating of CdS layer. • High level of Cd coating on thin layer of Bi{sub 2}S{sub 3} have shown appreciable photocurrent output. • Photo-conversion efficiency of 0.93% is observed for the best conjugate film.

  4. Influences of the CdS nanoparticles grown strategies on CdTe nanorods array films: A comparison between successive ionic layer absorption and reaction and chemical bath deposition

    International Nuclear Information System (INIS)

    Wang, Jun; Zhou, Xiaoming; Lv, Pin; Yang, Lihua; Ding, Dong; Niu, Jiasheng; Liu, Li; Li, Xue; Fu, Wuyou; Yang, Haibin

    2016-01-01

    The cadmium sulfide (CdS) film is deposited on the surface of cadmium telluride (CdTe) nanorods (NRs) by two different methods, successive ionic layer adsorption and reaction (SILAR) and chemical bath deposition (CBD) techniques. The influence of the deposition parameters on the properties of the films is investigated. Compared to SILAR, CBD is a simple and time saving technique, which can ensure full coverage and better growth of CdS on the surface of CdTe NRs. The photovoltaic characteristics of CdS sensitized CdTe films are also investigated. It is found that the CdTe/CBD-CdS thin film demonstrates excellent photoelectrical properties, which is ascribed to the large absorption coefficient of the material, indicating the potential applications in solar cells.

  5. Structural, morphological and optical properties of Na and K dual doped CdS thin film

    International Nuclear Information System (INIS)

    Mageswari, S.; Dhivya, L.; Palanivel, Balan; Murugan, Ramaswamy

    2012-01-01

    Highlights: ► Effect of incorporation of Na, K and Na,K dual dopants into CdS thin film was investigated. ► Thin films were prepared by simple chemical bath deposition technique. ► The XRD analysis revealed cubic phase for all the investigated films. ► AFM analysis revealed uniform surface with crack free and densely packed morphology for CdS:Na,K film. ► The band gap value increases for CdS:Na, CdS:K and CdS:Na,K thin films compared to CdS film. - Abstract: CdS, sodium doped CdS (CdS:Na), potassium doped CdS (CdS:K) and sodium and potassium dual doped CdS (CdS:Na,K) thin films were deposited on glass substrate by chemical bath deposition (CBD) technique. Structural, morphological and optical properties of the as-grown films were characterised using X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray analysis (EDAX), atomic force microscopy (AFM) and ultraviolet visible (UV–VIS) spectroscopy. The XRD analysis revealed cubic phase for ‘as-deposited’ CdS, CdS:Na, CdS:K and CdS:Na,K dual doped thin films. AFM analysis revealed uniform film surface with crack free and densely packed morphology for CdS:Na,K film. The absorption edge in the optical absorption spectra shifts towards the shorter wavelength for CdS:Na, CdS:K and CdS:Na,K thin films compared to CdS film. The optical band gap of CdS, CdS:Na, CdS:K and CdS:Na,K thin films was found to be 2.31, 2.35, 2.38 and 2.34 eV, respectively.

  6. Structural and optical properties of Ni-doped CdS thin films prepared by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Premarani, R. [Arumugam Pillai SeethaiAmmal College, Thiruppattur-630211 (India); Saravanakumar, S., E-mail: sarophy84@gmail.com; Chandramohan, R. [SreeSevuganAnnamalai College, Devakottai-630303 (India); Mahalingam, T. [Department of Electrical and Computer Engineering, Ajou University, Suwon 443-749 (Korea, Republic of)

    2015-06-24

    The structural and optical behavior of undoped Cadmiun Sulphide (CdS) and Ni-doped CdS thinfilms prepared by Chemical Bath Deposition (CBD) technique is reported. The crystallite sizes of the thinfilms have been characterized by X-ray diffraction pattern (XRD). The particle sizes increase with the increase of Ni content in the CdS thinfilms. Scanning Electron Microscope (SEM) results indicated that CdS thinfilms is made up of aggregate of spherical-like particles. The composition was estimated by Energy Dispersive Analysis of X-ray (EDX) and reported. Spectroscopic studies revealed considerable improvement in transmission and the band gap of the films changes with addition of Ni dopant that is associated with variation in crystallite sizes in the nano regime.

  7. Effect of chlorine doping on the structural, morphological, optical and electrical properties of spray deposited CdS thin films

    Directory of Open Access Journals (Sweden)

    T. Sivaraman

    2015-10-01

    Full Text Available CdS and chlorine doped CdS (CdS:Cl thin films with different Cl-doping levels (0, 2, 4, 6 and 8 at% have been deposited on glass substrates by a spray pyrolysis technique using a perfume atomizer. The effect of Cl doping on the structural, morphological, optical and electrical properties of the films was investigated. XRD patterns revealed that all the films exhibit hexagonal crystal structure with a preferential orientation along the (0 0 2 plane irrespective of the Cl doping level. The particle size value decreases from 22.03 nm to 18.12 nm with increase in Cl concentration. Optical band gap is blue-shifted from 2.48 eV to 2.73 eV with increase in Cl doping concentration. All the films have resistivity in the order of 104 Ω cm. The obtained results confirm that chlorine as an anionic dopant material can enhance the physical properties of CdS thin films to a large extent.

  8. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  9. Physical properties and characterization of Ag doped CdS thin films

    International Nuclear Information System (INIS)

    Shah, N.A.; Nazir, A.; Mahmood, W.; Syed, W.A.A.; Butt, S.; Ali, Z.; Maqsood, A.

    2012-01-01

    Highlights: ► CdS thin films were grown. ► By ion exchange, Ag was doped. ► Physical properties were investigated. - Abstract: Thin films of cadmium sulfide with very well defined preferential orientation and relatively high absorption coefficient were fabricated by thermal evaporation technique. The research is focused to the fabrication and characterization of the compositional data of CdS thin films obtained by using X-ray diffraction, scanning electron microscope along with energy dispersive X-ray spectroscopy. The optical properties were studied by using a UV-VIS-NIR spectrophotometer. The effects of silver-doping by ion exchange process on the properties of as-deposited CdS thin films have been investigated.

  10. Thermal and optical properties of polycrystalline CdS thin films deposited by the gradient recrystallization and growth (GREG) technique using photoacoustic methods

    International Nuclear Information System (INIS)

    Albor-Aguilera, M.L.; Gonzalez-Trujillo, M.A.; Cruz-Orea, A.; Tufino-Velazquez, M.

    2009-01-01

    In this work we report the study of the thermal and optical properties of polycrystalline CdS thin films deposited by the gradient recrystallization and growth technique. CdS films were grown on pyrex glass substrates. These studies were carried out using an open photoacoustic cell made out of an electret microphone. From X-ray diffraction, atomic force microscope and photoluminescence measurements we observed polycrystalline CdS films with good morphology and crystalline quality. We obtained a thermal diffusivity coefficient of our samples with values ranging from 3.15 to 3.89 x 10 -2 cm 2 /s. For comparison, we measured a value of 1.0 x 10 -2 cm 2 /s for the thermal diffusivity coefficient of a CdS single crystal. We measured an energy gap value of 2.42 eV for our samples by using a photoacoustic spectroscopy system

  11. Chemical synthesis of porous web-structured CdS thin films for photosensor applications

    Energy Technology Data Exchange (ETDEWEB)

    Gosavi, S.R., E-mail: srgosavi.taloda@gmail.com [C. H. C. Arts, S. G. P. Commerce, and B. B. J. P. Science College, Taloda, Dist., Nandurbar 425413, M. S. (India); Nikam, C.P. [B.S.S.P.M.S. Arts, Commerce and Science College, Songir, Dist., Dhule 424309, M. S. (India); Shelke, A.R.; Patil, A.M. [Department of Physics, Shivaji University, Kolhapur 416004, M.S. (India); Ryu, S.-W. [Department of Physics, Chonnam National University, Gwangju 500-757 (Korea, Republic of); Bhat, J.S. [Department of Physics, Karnatak University, Dharwad 580003 (India); Deshpande, N.G., E-mail: nicedeshpande@yahoo.co.in [Department of Physics, Shivaji University, Kolhapur 416004, M.S. (India)

    2015-06-15

    The photo-activity of chemically deposited cadmium sulphide (CdS) thin film has been studied. The simple chemical route nucleates the CdS films with size up to the mean free path of the electron. Growth Kinematics of crystalline hexagonal CdS phase in the thin film form was monitored using X-ray diffraction. The time limitation set for the formation of the amorphous/nano-crystalline material is 40 and 60 min. Thereafter enhancement of the crystalline orientation along the desired plane was identified. Web-like porous structured surface morphology of CdS thin film over the entire area is observed. With decrease in synthesis time, increase of band gap energy i.e., a blue spectral shift was seen. The activation energy of CdS thin film at low and high temperature region was examined. It is considered that this activation energy corresponds to the donor levels associated with shallow traps or surface states of CdS thin film. The photo-electrochemical performance of CdS thin films in polysulphide electrolyte showed diode-like characteristics. Exposure of light on the CdS electrode increases the photocurrent. This suggests the possibility of production of free carriers via excited ions and also the light harvesting mechanism due to porous web-structured morphology. These studies hint that the obtained CdS films can work as a photosensor. - Highlights: • Photoactivity of chemically synthesized cadmium sulphide (CdS) thin films was studied. • Web-like porous structured surface morphology of CdS thin film over the entire area was observed. • Blue spectral shift with lowering of the synthesis time suggests films can act as a window layer over the absorber layer. • Porous web-structured CdS thin films can be useful in light harvesting.

  12. Chemical synthesis of porous web-structured CdS thin films for photosensor applications

    International Nuclear Information System (INIS)

    Gosavi, S.R.; Nikam, C.P.; Shelke, A.R.; Patil, A.M.; Ryu, S.-W.; Bhat, J.S.; Deshpande, N.G.

    2015-01-01

    The photo-activity of chemically deposited cadmium sulphide (CdS) thin film has been studied. The simple chemical route nucleates the CdS films with size up to the mean free path of the electron. Growth Kinematics of crystalline hexagonal CdS phase in the thin film form was monitored using X-ray diffraction. The time limitation set for the formation of the amorphous/nano-crystalline material is 40 and 60 min. Thereafter enhancement of the crystalline orientation along the desired plane was identified. Web-like porous structured surface morphology of CdS thin film over the entire area is observed. With decrease in synthesis time, increase of band gap energy i.e., a blue spectral shift was seen. The activation energy of CdS thin film at low and high temperature region was examined. It is considered that this activation energy corresponds to the donor levels associated with shallow traps or surface states of CdS thin film. The photo-electrochemical performance of CdS thin films in polysulphide electrolyte showed diode-like characteristics. Exposure of light on the CdS electrode increases the photocurrent. This suggests the possibility of production of free carriers via excited ions and also the light harvesting mechanism due to porous web-structured morphology. These studies hint that the obtained CdS films can work as a photosensor. - Highlights: • Photoactivity of chemically synthesized cadmium sulphide (CdS) thin films was studied. • Web-like porous structured surface morphology of CdS thin film over the entire area was observed. • Blue spectral shift with lowering of the synthesis time suggests films can act as a window layer over the absorber layer. • Porous web-structured CdS thin films can be useful in light harvesting

  13. Interfacial passivation of CdS layer to CdSe quantum dots-sensitized electrodeposited ZnO nanowire thin films

    International Nuclear Information System (INIS)

    Zhang, Jingbo; Sun, Chuanzhen; Bai, Shouli; Luo, Ruixian; Chen, Aifan; Sun, Lina; Lin, Yuan

    2013-01-01

    ZnO porous thin films with nanowire structure were deposited by the one-step electrochemical deposition method. And a CdS layer was coated on the as-deposited ZnO nanowire thin films by successive ionic layer adsorption and reaction (SILAR) method to passivate surface states. Then the films were further sensitized by CdSe quantum dots (QDs) to serve as a photoanode for fabricating quantum dots-sensitized solar cells (QDSSCs). The effect of the CdS interfacial passivation layer on the performance of the QDSSCs was systematically investigated by varying the SILAR cycle number and heating the passivation layer. The amorphous CdS layer with an optimized thickness can effectively suppress the recombination of the injected electrons with holes on QDs and the redox electrolyte. The newly formed CdS layer on the surface of the ZnO nanowire thin film obviously prolongs the electron lifetime in the passivated ZnO nanoporous thin film because of the lower surface trap density in the ZnO nanowires after CdS deposition, which is favorable to the higher short-circuit photocurrent density (J sc ). For the CdSe QDs-sensitized ZnO nanoporous thin film with the interfacial passivation layer, the J sc and conversion efficiency can reach a maximum of 8.36 mA cm −2 and 2.36%, respectively. The conversion efficiency was improved by 83.47% compared with that of the cell based on the CdSe QDs-sensitized ZnO nanoporous thin film without CdS interfacial passivation (0.39%)

  14. A comparative study of thermal annealing effects under various atmospheres on nano-structured CdS thin films prepared by CBD

    Energy Technology Data Exchange (ETDEWEB)

    Kong, Lingjun; Li, Jianmin; Chen, Guilin; Zhu, Changfei, E-mail: cfzhu@ustc.edu.cn; Liu, Weifeng, E-mail: liuwf@ustc.edu.cn

    2013-10-05

    Highlights: •Smooth and uniform CdS thin films were deposited successfully by CBD method. •The influence of CdCl{sub 2}-assisted annealing under various atmospheres of CdS films has been investigated. •We gave a more detailed research on annealing temperature after identified the most optimal annealing method. •High quality CdS films were obtained with air–CdCl{sub 2}-assisted treatments at 400 °C for 0.5 h. •GIXRD was used as a new analysis method of CdS in this paper. -- Abstract: Cadmium sulfide (CdS) nanofilms have been deposited on the glass substrate using the chemical bath technique. The effects of CdCl{sub 2}-assisted annealing under different atmosphere (vacuum, Ar and air) on the structural, morphological and optical properties of CdS nanofilms have been studied. After identifying the optimal annealing atmosphere, we also investigated the CdS thin film annealed at different annealing temperature (300, 400 and 500 °C). Films have been characterized by GI-XRD analysis, scanning electron microscopy, and UV–Vis–NIR spectrophotometer. The as-deposited CdS films have been found to be nanocrystalline in nature with a mixture of two crystallographic phases: a hexagonal phase and a cubic phase. After annealed in air with a CdCl{sub 2} coating layer at 400 °C, the films showed pure hexagonal phase, indicating the phase transition of CdS. It was found that the treatment in air with a CdCl{sub 2} coating layer increased the crystallinity and the mean grain size of CdS film, which are advantageous to the application in solar cells as a window layer material.

  15. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  16. Effect of annealing time on optical and electrical properties of CdS thin films

    Science.gov (United States)

    Soliya, Vanshika; Tandel, Digisha; Patel, Chandani; Patel, Kinjal

    2018-05-01

    Cadmium sulphide (CdS) is semiconductor compound of II-VI group. Thin film of CdS widely used in the applications such as, a buffer layer in copper indium diselenide (CIS) hetrojunction based solar cells, transistors, photo detectors and light emitting diodes. Because of the ease of making like chemical bath deposition (CBD), screen printing and thermal evaporation. It is extensively used in the CIS based solar cells as a buffer layers. The buffer layers usually used for reducing the interface recombination of the photo generated carriers by means of improving the lattice mismatch between the layers. The optimum thickness and the optoelectronics properties of CdS thin films like, optical band gap, electrical resistivity, structure, and composition etc., are to be considering for its use as a buffer layer. In the present study the CdS thin film were grown by simple dip coating method. In this method we had prepared 0.1M Cadmium-thiourea precursor solution. Before the deposition process of CdS, glass substrate has been cleaned using Methanol, Acetone, Trichloroethylene and De-ionized (DI) water. After coating of precursor layer, it was heated at 200 °C for themolysis. Then after CdS films were annealed at 200 °C for different time and studied its influence on the optical transmission, band gap, XRD, raman and the electrical resistivity. As increasing the annealing time we had observed the average transmission of the films was reduce after the absorption edge. In addition to the blue shift of absorption edge was observed. The observed optimum band gap was around 2.50 eV. XRD and raman analysis confirms the cubuc phase of CdS. Hot probe method confirms the n-type conductivity of the CdS film. Hall probe data shows the resistivity of the films was in the order of 103 Ωcm. Observed data signifies its future use in the many optoelectronics devices.

  17. Structural, optical and magnetic properties of Mn diffusion-doped CdS thin films prepared by vacuum evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Aksu, S. [SoloPower, Inc., 5981 Optical Ct., San Jose, CA 95138 (United States); Bacaksiz, E., E-mail: eminb@ktu.edu.tr [Department of Physics, Karadeniz Technical University, 61080 Trabzon (Turkey); Parlak, M. [Department of Physics, Middle East Technical University, 06531 Ankara (Turkey); Yilmaz, S.; Polat, I.; Altunbas, M. [Department of Physics, Karadeniz Technical University, 61080 Trabzon (Turkey); Tuerksoy, M.; Topkaya, R. [Department of Physics, Gebze Institute of Technology, Gebze, 41400 Kocaeli (Turkey); Ozdogan, K. [Department of Physics, Yildiz Technical University, 34210 Istanbul (Turkey)

    2011-10-17

    Highlights: {yields} Cadmium sulphide thin films were deposited by vacuum evaporation. {yields} Elemental Mn was deposited onto CdS thin films by using electron beam evaporation and annealed under vacuum at different temperatures. {yields} Structural, optical and magnetic studies of Mn-doped CdS have been investigated. {yields} X-ray diffraction results showed that the undoped CdS film had a zinc-blende structure with a strong preferred orientation along the (1 1 1) direction. {yields} Magnetic measurements show that Mn-doped CdS thin films exhibit a ferromagnetism behavior at room temperature. - Abstract: The effect of Mn-doping on the vacuum deposited CdS thin films has been investigated by studying the changes in the structural, optical and magnetic properties of the films. A thin Mn layer evaporated on the CdS film surface served as the source layer for the diffusion doping. Doping was accomplished by annealing the CdS/Mn stack layers at the temperature range from 300 deg. C to 400 deg. C in step of 50 deg. C for 30 min under vacuum. The X-ray diffraction results showed that the undoped CdS film had a zinc-blende structure with a strong preferred orientation along the (1 1 1) direction. The incorporation of Mn did not cause any change in the texture but reduced the peak intensity and lead to a smaller crystallite size. Investigation of surface morphology using atomic force microscopy confirmed the decrease in the grain size with the Mn diffusion. In addition, a more uniform grain size distribution was observed in the doped films. X-ray photoelectron spectroscopy analysis showed that Mn atoms on the surface of the films were bounded to either sulphur or oxygen atoms. Auger electron spectroscopy of the diffusion-doped CdS sample at 350 deg. C indicated that the atomic Mn concentration was higher close to the surface region and Mn was distributed with a steadily decreasing profile through the bulk of the film with an average atomic concentration value around few

  18. Study of electrostatically self-assembled thin films of CdS and ZnS nanoparticle semiconductors

    Science.gov (United States)

    Suryajaya

    In this work, CdS and ZnS semiconducting colloid nanoparticles coated with organic shell, containing either SO[3-] or NH[2+] groups, were deposited as thin films using the technique of electrostatic self-assembly. The films produced were characterized with UV-vis spectroscopy and spectroscopic ellipsometry - for optical properties; atomic force microscopy (AFM) - for morphology study; mercury probe - for electrical characterisation; and photon counter - for electroluminescence study. UV-vis spectra show a substantial blue shift of the main absorption band of both CdS and ZnS, either in the form of solutions or films, with respect to the bulk materials. The calculation of nanoparticles' radii yields the value of about 1.8 nm for both CdS and ZnS.The fitting of standard ellipsometry data gave the thicknesses (d) of nanoparticle layers of around 5 nm for both CdS and ZnS which corresponds well to the size of particles evaluated from UV-vis spectral data if an additional thickness of the organic shell is taken into account. The values of refractive index (n) and extinction coefficient (k) obtained were about 2.28 and 0.7 at 633 nm wavelength, for both CdS and ZnS.Using total internal reflection (TIRE), the process of alternative deposition of poly-allylamine hydrochloride (PAH) and CdS (or ZnS) layers could be monitored in-situ. The dynamic scan shows that the adsorption kinetic of the first layer of PAH or nanoparticles was slower than that of the next layer. The fitting of TIRE spectra gavethicknesses of about 7 nm and 12 nm for CdS and ZnS, respectively. It supports the suggestion of the formation of three-dimensional aggregates of semiconductor nanoparticles intercalated with polyelectrolyte.AFM images show the formation of large aggregates of nanoparticles, about 40-50 nm, for the films deposited from original colloid solutions, while smaller aggregates, about 12-20 nm, were obtained if the colloid solutions were diluted.Current-voltage (I-V) and capacitance

  19. Low-temperature processed ZnO and CdS photodetectors deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N; Moreno, S; Mejia, I; Quevedo-Lopez, M A

    2014-01-01

    UV-VIS photodetectors using an interdigital configuration, with zinc oxide (ZnO) and cadmium sulfide (CdS) semiconductors deposited by pulsed laser deposition, were fabricated with a maximum processing temperature of 100 °C. Without any further post-growth annealing, the photodetectors are compatible with flexible and transparent substrates. Aluminum (Al) and indium tin oxide (ITO) were investigated as contacts. Focusing on underwater communications, the impact of metal contact (ITO versus Al) was investigated to determine the maximum responsivity using a laser with a 405 nm wavelength. As expected, the responsivity increases for reduced metal finger separation. This is a consequence of reduced carrier transit time for shorter finger separation. For ITO, the highest responsivities for both films (ZnO and CdS) were ∼3 A W −1 at 5 V. On the other hand, for Al contacts, the maximum responsivities at 5 V were ∼0.1 A W −1 and 0.7 A W −1 for CdS and ZnO, respectively. (paper)

  20. A study of size dependent structure, morphology and luminescence behavior of CdS films on Si substrate

    International Nuclear Information System (INIS)

    Kaushik, Diksha; Singh, Ragini Raj; Sharma, Madhulika; Gupta, D.K.; Lalla, N.P.; Pandey, R.K.

    2007-01-01

    Size tunable cadmium sulfide (CdS) films deposited by a dip coating technique on silicon (100) and indium tin oxide/glass substrates have been characterized using X-ray diffraction, X-ray reflectivity, transmission electron microscopy, atomic force microscopy and photoluminescence spectroscopy. The structural characterization indicated growth of an oriented phase of cadmium sulfide. Transmission electron microscopy used to calculate the particle size indicated narrow size dispersion. The tendency of nanocrystalline CdS films to form ordered clusters of CdS quantum dots on silicon (100) substrate has been revealed by morphological studies using atomic force microscopy. The photoluminescence emission spectroscopy of the cadmium sulfide films has also been investigated. It is shown that the nanocrystalline CdS exhibit intense photoluminescence as compared to the large grained polycrystalline CdS films. The effect of quantum confinement also manifested as a blue shift of photoluminescence emission. It is shown that the observed photoluminescence behavior of CdS is substantially enhanced when the nanocrystallites are assembled on silicon (100) substrate

  1. Characterization of nanostructured photosensitive cadmium sulphide thin films grown by SILAR deposition technique

    International Nuclear Information System (INIS)

    Ubale, A.U.; Bargal, A.N.

    2010-01-01

    This paper reports the preparation of photosensitive nanostructured CdS thin films by successive ionic layer adsorption and reaction (SILAR) method at room temperature. To obtain good quality CdS thin films, preparative conditions such as concentration of cationic and anionic precursors, adsorption and rinsing time durations etc. are optimized. The structural, optical and electrical characterizations of the as-deposited and annealed CdS thin films were carried out using X-ray diffraction, scanning electron microscopy, optical absorption and electrical resistivity methods. The photoconductivity studies showed that the annealed films are more than that photosensitive. The TEP measurement shows that deposited films are of n-type. (author)

  2. Improving the optical and crystalline properties on CdS thin films growth on small and large area by using CBD technique

    Energy Technology Data Exchange (ETDEWEB)

    Albor A, M. L.; Flores M, J. M.; Hernandez V, C.; Contreras P, G.; Mejia G, C.; Rueda M, G. [IPN, Escuela Superior de Fisica y Matematicas, Departamento de Fisica, Unidad Profesional Adolfo Lopez Mateos, Zacatenco, 07738 Ciudad de Mexico (Mexico); Gonzalez T, M. A. [IPN, Escuela Superior de Computo, Departamento de Formacion Basica, Unidad Profesional Adolfo Lopez Mateos, 07738 Ciudad de Mexico (Mexico)

    2016-11-01

    CdS polycrystalline thin films have been used as window layer in solar cells; the optical and crystalline quality of the CdS-partner plays and important role in the photovoltaic device performance. CdS thin films were deposited by using Chemical Bath Deposition. The SnO{sub 2}:F substrates used were chemically treated with HCl (0.1 M) and others were thermally annealed in different atmospheres (Ar and O{sub 2}). The physical properties of CdS thin films were influenced by the HCl treatment, position, size and the substrates movement inside the reaction beaker. The CdS samples were deposited in areas of 4 cm{sup 2}, 50 cm{sup 2} and 100 cm{sup 2}. Finally CdS thin films with thickness of 35-300 nm with good optical and crystalline quality on a uniform morphology were obtained. Transmittance values were obtained for all samples about 85-90 % with an average of gap energy of 2.5 eV. The structural characteristics of the samples were determined by the X-ray diffraction patterns, by means of a D-500 Siemens X-ray system. (Author)

  3. Influence of Ag doping concentration on structural and optical properties of CdS thin film

    International Nuclear Information System (INIS)

    Kumar, Pragati; Saxena, Nupur; Gupta, Vinay; Agarwal, Avinash

    2015-01-01

    This work shows the influence of Ag concentration on structural properties of pulsed laser deposited nanocrystalline CdS thin film. X-ray photoelectron spectroscopy (XPS) studies confirm the dopant concentration in CdS films and atomic concentration of elements. XPS studies show that the samples are slightly sulfur deficient. GAXRD scan reveals the structural phase transformation from cubic to hexagonal phase of CdS without appearance of any phase of CdO, Ag 2 O or Ag 2 S suggesting the substitutional doping of Ag ions. Photoluminescence studies illustrate that emission intensity increases with increase in dopant concentration upto 5% and then decreases for higher dopant concentration

  4. Influence of Ag doping concentration on structural and optical properties of CdS thin film

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pragati, E-mail: pkumar.phy@gmail.com [Department of Physics, Bareilly College, Bareilly, 243 005, Uttar Pradesh (India); Department of Physics and Astrophysics, University of Delhi, Delhi, 110 007 (India); Saxena, Nupur; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi, 110 007 (India); Agarwal, Avinash [Department of Physics, Bareilly College, Bareilly, 243 005, Uttar Pradesh (India)

    2015-05-15

    This work shows the influence of Ag concentration on structural properties of pulsed laser deposited nanocrystalline CdS thin film. X-ray photoelectron spectroscopy (XPS) studies confirm the dopant concentration in CdS films and atomic concentration of elements. XPS studies show that the samples are slightly sulfur deficient. GAXRD scan reveals the structural phase transformation from cubic to hexagonal phase of CdS without appearance of any phase of CdO, Ag{sub 2}O or Ag{sub 2}S suggesting the substitutional doping of Ag ions. Photoluminescence studies illustrate that emission intensity increases with increase in dopant concentration upto 5% and then decreases for higher dopant concentration.

  5. Flexible pressure sensor based on graphene aerogel microstructures functionalized with CdS nanocrystalline thin film

    Science.gov (United States)

    Plesco, Irina; Dragoman, Mircea; Strobel, Julian; Ghimpu, Lidia; Schütt, Fabian; Dinescu, Adrian; Ursaki, Veaceslav; Kienle, Lorenz; Adelung, Rainer; Tiginyanu, Ion

    2018-05-01

    In this paper, we report on functionalization of graphene aerogel with a CdS thin film deposited by magnetron sputtering and on the development of flexible pressure sensors based on ultra-lightweight CdS-aerogel nanocomposite. Analysis by scanning electron microscopy, transmission electron microscopy and energy dispersive X-ray analysis disclose the uniform deposition of nanocrystalline CdS films with quasi-stoichiometric composition. The piezoresistive response of the aforementioned nanocomposite in the pressure range from 1 to 5 atm is found to be more than one order of magnitude higher than that inherent to suspended graphene membranes, leading to an average sensitivity as high as 3.2 × 10-4 kPa-1.

  6. Enhanced electrical and optical properties of CdS:Na thin films by photochemical deposition

    Science.gov (United States)

    Kumar, V. Nirmal; Suriakarthick, R.; Gopalakrishnan, R.; Hayakawa, Y.

    2017-06-01

    CdS:Na thin film was deposited on a glass substrate by photochemical deposition from aqueous solution contained CdSO4.5H2O and Na2S2O3 as cation and anion sources, respectively. The anion source Na2S2O3 served as Na dopant source. The deposited film exhibited cubic phase of CdS and incorporation of Na was revealed from X-ray diffraction study. The incorporation of Na in CdS changed the surface morphology from spherical to nano rods. CdS:Na thin film showed blue shift in its absorption spectrum which was more desirable for transmitting higher energy photons (visible region) in thin film solar cells. The Raman analysis confirmed 1 LO and 2 LO process at 297 and 593 cm-1, respectively. The carrier concentration of CdS increased with the inclusion of Na and its resistivity value decreased. Both the electrical and optical properties of CdS were enhanced in CdS:Na thin films which was desirable as a window layer material for photovoltaic application.

  7. Effect of Low Concentration Sn Doping on Optical Properties of CdS Films Grown by CBD Technique

    Directory of Open Access Journals (Sweden)

    Mohd Sabri Mohd Ghazali

    2011-09-01

    Full Text Available Thin and transparent films of doped cadmium sulfide (CdS were obtained on commercial glass substrates by Chemical Bath Deposition (CBD technique. The films were doped with low concentration of Sn, and annealed in air at 300 °C for 45 min. The morphological characterization of the films with different amounts of dopant was made using SEM and EDAX analysis. Optical properties of the films were evaluated by measuring transmittance using the UV-vis spectrophotometer. A comparison of the results revealed that lower concentration of Sn doping improves transmittance of CdS films and makes them suitable for application as window layer of CdTe/CIGS solar cells.

  8. Optical and AFM study of electrostatically assembled films of CdS and ZnS colloid nanoparticles

    International Nuclear Information System (INIS)

    Suryajaya; Nabok, A.; Davis, F.; Hassan, A.; Higson, S.P.J.; Evans-Freeman, J.

    2008-01-01

    CdS and ZnS semiconducting colloid nanoparticles coated with the organic shell, containing either SO 3 - or NH 2 + groups, were prepared using the aqueous phase synthesis. The multilayer films of CdS (or ZnS) were deposited onto glass, quartz and silicon substrates using the technique of electrostatic self-assembly. The films produced were characterized with UV-vis spectroscopy, spectroscopic ellipsometry and atomic force microscopy. A substantial blue shift of the main absorption band with respect to the bulk materials was found for both CdS and ZnS films. The Efros equation in the effective mass approximation (EMA) theoretical model allowed the evaluation of the nanoparticle radius of 1.8 nm, which corresponds well to the ellipsometry results. AFM shows the formation of larger aggregates of nanoparticles on solid surfaces

  9. Investigations on structural, vibrational, morphological and optical properties of CdS and CdS/Co films by ultrasonic spray pyrolysis

    International Nuclear Information System (INIS)

    Aksay, S.; Polat, M.; Ozer, T.; Koese, S.; Guerbuez, G.

    2011-01-01

    CdS and CdS/Co films have been deposited on glass substrates by an ultrasonic spray pyrolysis method. The effects of Co incorporation on the structural, optical, morphological, elemental and vibrational properties of these films were investigated. XRD analysis confirmed the hexagonal wurtzite structure of all films and had no impurity phase. While CdS film has (0 0 2) as the preferred orientation, CdS/Co films have (1 1 0) as the preferred orientation. The direct optical band gap was found to decrease from 2.42 to 2.39 eV by Co incorporation. The decrease of the direct energy gaps by increasing Co contents is mainly due to the sp-d exchange interaction between the localized d-electrons of Co 2+ ions and band electrons of CdS. After the optical investigations, it was seen that the transmittance of CdS films decreased by Co content. The Raman measurements revealed two peaks corresponding to the 1LO and 2LO modes of hexagonal CdS. The vibrational modes of Cd-S were obtained in the wavenumber range (590-715 cm -1 ) using Fourier transform infrared spectroscopy (FTIR). The elemental analysis of the film was done by energy dispersive X-ray spectrometry.

  10. Investigations on structural, vibrational, morphological and optical properties of CdS and CdS/Co films by ultrasonic spray pyrolysis

    Science.gov (United States)

    Aksay, S.; Polat, M.; Özer, T.; Köse, S.; Gürbüz, G.

    2011-09-01

    CdS and CdS/Co films have been deposited on glass substrates by an ultrasonic spray pyrolysis method. The effects of Co incorporation on the structural, optical, morphological, elemental and vibrational properties of these films were investigated. XRD analysis confirmed the hexagonal wurtzite structure of all films and had no impurity phase. While CdS film has (0 0 2) as the preferred orientation, CdS/Co films have (1 1 0) as the preferred orientation. The direct optical band gap was found to decrease from 2.42 to 2.39 eV by Co incorporation. The decrease of the direct energy gaps by increasing Co contents is mainly due to the sp-d exchange interaction between the localized d-electrons of Co2+ ions and band electrons of CdS. After the optical investigations, it was seen that the transmittance of CdS films decreased by Co content. The Raman measurements revealed two peaks corresponding to the 1LO and 2LO modes of hexagonal CdS. The vibrational modes of Cd-S were obtained in the wavenumber range (590-715 cm-1) using Fourier transform infrared spectroscopy (FTIR). The elemental analysis of the film was done by energy dispersive X-ray spectrometry.

  11. PbS Thin Films for Photovoltaic Applications Obtained by Non-Traditional Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Pérez-García Claudia Elena

    2015-01-01

    Full Text Available To optimize cost-efficiency relation for thin film solar cells, we explore the recently developed versions of chemical deposition of semiconductor films, together with classic CBD (Chemical Bath Deposition: SILAR (Successive Ionic Layer Adsorption and Reaction and PCBD (Photo Chemical Bath Deposition, all of them ammonia-free and ecologically friendly. The films of CdS and PbS were made, and experimental solar cells with CdS window layer and PbS absorber elaborated. We found that band gap of PbS films can be monitored by deposition process due to porosity-induced quantum confinement which depends on the parameters of the process. We expect that the techniques employed can be successfully used for production of optoelectronic devices.

  12. Raman spectroscopy of optical properties in CdS thin films

    Directory of Open Access Journals (Sweden)

    Trajić J.

    2015-01-01

    Full Text Available Properties of CdS thin films were investigated applying atomic force microscopy (AFM and Raman spectroscopy. CdS thin films were prepared by using thermal evaporation technique under base pressure 2 x 10-5 torr. The quality of these films was investigated by AFM spectroscopy. We apply Raman scattering to investigate optical properties of CdS thin films, and reveal existence of surface optical phonon (SOP mode at 297 cm-1. Effective permittivity of mixture were modeled by Maxwell - Garnet approximation. [Projekat Ministarstva nauke Republike Srbije, br. 45003

  13. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom)

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films.

  14. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films

  15. Improved electrical stability of CdS thin film transistors through Hydrogen-based thermal treatments

    KAUST Repository

    Salas Villaseñor, Ana L.

    2014-06-01

    Thin film transistors (TFTs) with a bottom-gate configuration were fabricated using a photolithography process with chemically bath deposited (CBD) cadmium sulfide (CdS) films as the active channel. Thermal annealing in hydrogen was used to improve electrical stability and performance of the resulting CdS TFTs. Hydrogen thermal treatments results in significant V T instability (V T shift) improvement while increasing the I on/I off ratio without degrading carrier mobility. It is demonstrated that after annealing V T shift and I on/I off improves from 10 V to 4.6 V and from 105 to 10 9, respectively. Carrier mobility remains in the order of 14.5 cm2 V s-1. The reduced V T shift and performance is attributed to a reduction in oxygen species in the CdS after hydrogen annealing, as evaluated by Fourier transform infrared spectroscopy (FTIR). © 2014 IOP Publishing Ltd.

  16. Improved electrical stability of CdS thin film transistors through Hydrogen-based thermal treatments

    KAUST Repository

    Salas Villaseñ or, Ana L.; Mejia, Israel I.; Sotelo-Lerma, Mé rida; Guo, Zaibing; Alshareef, Husam N.; Quevedo-Ló pez, Manuel Angel Quevedo

    2014-01-01

    Thin film transistors (TFTs) with a bottom-gate configuration were fabricated using a photolithography process with chemically bath deposited (CBD) cadmium sulfide (CdS) films as the active channel. Thermal annealing in hydrogen was used to improve electrical stability and performance of the resulting CdS TFTs. Hydrogen thermal treatments results in significant V T instability (V T shift) improvement while increasing the I on/I off ratio without degrading carrier mobility. It is demonstrated that after annealing V T shift and I on/I off improves from 10 V to 4.6 V and from 105 to 10 9, respectively. Carrier mobility remains in the order of 14.5 cm2 V s-1. The reduced V T shift and performance is attributed to a reduction in oxygen species in the CdS after hydrogen annealing, as evaluated by Fourier transform infrared spectroscopy (FTIR). © 2014 IOP Publishing Ltd.

  17. Optical properties of CdS thin films by (SILAR) method

    International Nuclear Information System (INIS)

    Ates, A.; Gurbulak, B.; Yildirim, M.

    2004-01-01

    Full text: CdS thin film was grown by Successive ionic layer adsorption and reaction (SILAR) technique on quartz substrate. The film homogeneous of film is good and the film colour obtained as orange. Optical properties of CdS thin film has been investigated as a function of temperature in the temperature range 10-320 K with 10 K steps. The band gap energy decreased with increasing temperature

  18. Photoelectrochemical and Raman characterization of In2O3 mesoporous films sensitized by CdS nanoparticles

    Directory of Open Access Journals (Sweden)

    Mikalai V. Malashchonak

    2013-04-01

    Full Text Available The method of successive ion layer adsorption and reaction was applied for the deposition of CdS nanoparticles onto a mesoporous In2O3 substrate. The filling of the nanopores in In2O3 films with CdS particles mainly occurs during the first 30 cycles of the SILAR deposition. The surface modification of In2O3 with CdS nanoparticles leads to the spectral sensitization of photoelectrochemical processes that manifests itself in a red shift of the long-wavelength edge in the photocurrent spectrum by 100–150 nm. Quantum-confinement effects lead to an increase of the bandgap from 2.49 to 2.68 eV when decreasing the number of SILAR cycles from 30 to 10. The spectral shift and the widening of the Raman line belonging to CdS evidences the lattice stress on the CdS/In2O3 interfaces and confirms the formation of a close contact between the nanoparticles.

  19. Structural analysis of CdS thin films obtained by multiple dips of oscillating chemical bath

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez Lazos, C.D. [Seccion de Electronica del Estado Solido, Centro de Investigacion y de Estudios Avanzados, Av. Instituto Politecnico Nacional 2508, Col. San Pedro Zacatenco, 07360 Mexico, D.F. (Mexico); Rosendo, E., E-mail: erosendo@siu.buap.m [Centro de Investigacion en Dispositivos Semiconductores, Universidad Autonoma de Puebla, 14 Sur y San Claudio, Col. San Manuel, C.P. 72570, Puebla (Mexico); Ortega, M. [Seccion de Electronica del Estado Solido, Centro de Investigacion y de Estudios Avanzados, Av. Instituto Politecnico Nacional 2508, Col. San Pedro Zacatenco, 07360 Mexico, D.F. (Mexico); Oliva, A.I. [Departamento de Fisica Aplicada, Centro de Investigacion y de Estudios Avanzados, Unidad Merida, A.P. 73 Cordemex, 97310 Merida, Yucatan (Mexico); Tapia, O.; Diaz, T.; Juarez, H.; Garcia, G. [Centro de Investigacion en Dispositivos Semiconductores, Universidad Autonoma de Puebla, 14 Sur y San Claudio, Col. San Manuel, C.P. 72570, Puebla (Mexico); Rubin, M. [Facultad de Ciencias de la Computacion, 14 Sur y San Claudio, Col. San Manuel, C.P. 72570, Puebla (Mexico)

    2009-11-25

    Highly oriented CdS thin films with thicknesses greater than 1 mum were deposited by multiple dips, using oscillating chemical bath deposition (OCBD) at the bath temperature of 75 deg. C, and deposition time ranging from 15 to 75 min for a single dip. Samples with different thickness were prepared by repeating the deposition process for two and three times. The films deposited by a single dip have the alpha-greenockite structure showing the (0 0 2) as preferred orientation, as indicated by the X-ray diffraction measurements. This notable characteristic is preserved in the samples obtained from two or three dips. The crystallite size for the samples deposited by a single dip depends on the deposition time, because it varied from 23 to 37 nm as the deposition time increased. Nevertheless for samples deposited by two and three dips, the grain size shows no noticeable change, being about 22 nm.

  20. An optimized multilayer structure of CdS layer for CdTe solar cells application

    International Nuclear Information System (INIS)

    Han Junfeng; Liao Cheng; Jiang Tao; Spanheimer, C.; Haindl, G.; Fu, Ganhua; Krishnakumar, V.; Zhao Kui; Klein, A.; Jaegermann, W.

    2011-01-01

    Research highlights: → Two different methods to prepare CdS films for CdTe solar cells. → A new multilayer structure of window layer for the CdTe solar cell. → Thinner CdS window layer for the solar cell than the standard CdS layer. → Higher performance of solar cells based on the new multilayer structure. - Abstract: CdS layers grown by 'dry' (close space sublimation) and 'wet' (chemical bath deposition) methods are deposited and analyzed. CdS prepared with close space sublimation (CSS) has better crystal quality, electrical and optical properties than that prepared with chemical bath deposition (CBD). The performance of CdTe solar cell based on the CSS CdS layer has higher efficiency than that based on CBD CdS layer. However, the CSS CdS suffers from the pinholes. And consequently it is necessary to prepare a 150 nm thin film for CdTe/CdS solar cell. To improve the performance of CdS/CdTe solar cells, a thin multilayer structure of CdS layer (∼80 nm) is applied, which is composed of a bottom layer (CSS CdS) and a top layer (CBD CdS). That bi-layer film can allow more photons to pass through it and significantly improve the short circuit current of the CdS/CdTe solar cells.

  1. SHI induced enhancement in green emission from nanocrystalline CdS thin films for photonic applications

    International Nuclear Information System (INIS)

    Kumar, Pragati; Saxena, Nupur; Chandra, Ramesh; Gao, Kun; Zhou, Shengqiang; Agarwal, Avinash; Singh, Fouran; Gupta, Vinay; Kanjilal, D.

    2014-01-01

    Intense green emission is reported from nanocrystalline CdS thin films grown by pulsed laser deposition. The effect of ion beam induced dense electronic excitation on luminescence property of CdS films is explored under irradiation using 70 MeV 58 Ni 6+ ions. It is found that swift heavy ion beam irradiation enhances the emission intensity by an order of 1 and broadens the emission range. This feature is extremely useful to enhance the performance of different photonic devices like light emitting diodes and lasers, as well as luminescence based sensors. To examine the role of energy relaxation process of swift heavy ions in creation/annihilation of different defect levels, multi-peaks are fitted in photoluminescence spectra using a Gaussian function. The variation of contribution of different emissions in green emission with ion fluence is studied. Origin of enhancement in green emission is supported by various characterization techniques like UV–visible absorption spectroscopy, glancing angle X-ray diffraction, micro-Raman spectroscopy and transmission electron microscopy. A possible mechanism of enhanced GE due to ion beam irradiation is proposed on the basis of existing models. -- Highlights: • Room temperature green luminescence nanocrystalline CdS thin films grown by pulsed laser deposition. • Enhanced green emission by means of swift heavy ion irradiation. • Multipeak fitting of photoluminescence spectra using a Gaussian function. • Variation of area contributed by different emissions in green emission is studied with respect to ion fluence. • Mechanism of enhanced green emission is discussed based on creation/annihilation of defects due to ion beam irradiation

  2. SHI induced enhancement in green emission from nanocrystalline CdS thin films for photonic applications

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pragati, E-mail: pkumar.phy@gmail.com [Department of Physics, Bareilly College, Shahmat Ganj Road, Bareilly 243005, Uttar Pradesh (India); Saxena, Nupur [Inter University Accelerator Centre, Aruna Asaf Ali Marg, P.O. Box 10502, New Delhi 110067 (India); Chandra, Ramesh [Institute Instrumentation Centre, Indian Institute of Technology, Roorkee 247667 (India); Gao, Kun; Zhou, Shengqiang [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (HZDR), P.O. Box 510119, 01314 Dresden (Germany); Agarwal, Avinash [Department of Physics, Bareilly College, Shahmat Ganj Road, Bareilly 243005, Uttar Pradesh (India); Singh, Fouran [Inter University Accelerator Centre, Aruna Asaf Ali Marg, P.O. Box 10502, New Delhi 110067 (India); Gupta, Vinay [Department of Physics and Astrophysics, Delhi University, Delhi 110007 (India); Kanjilal, D. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, P.O. Box 10502, New Delhi 110067 (India)

    2014-03-15

    Intense green emission is reported from nanocrystalline CdS thin films grown by pulsed laser deposition. The effect of ion beam induced dense electronic excitation on luminescence property of CdS films is explored under irradiation using 70 MeV {sup 58}Ni{sup 6+} ions. It is found that swift heavy ion beam irradiation enhances the emission intensity by an order of 1 and broadens the emission range. This feature is extremely useful to enhance the performance of different photonic devices like light emitting diodes and lasers, as well as luminescence based sensors. To examine the role of energy relaxation process of swift heavy ions in creation/annihilation of different defect levels, multi-peaks are fitted in photoluminescence spectra using a Gaussian function. The variation of contribution of different emissions in green emission with ion fluence is studied. Origin of enhancement in green emission is supported by various characterization techniques like UV–visible absorption spectroscopy, glancing angle X-ray diffraction, micro-Raman spectroscopy and transmission electron microscopy. A possible mechanism of enhanced GE due to ion beam irradiation is proposed on the basis of existing models. -- Highlights: • Room temperature green luminescence nanocrystalline CdS thin films grown by pulsed laser deposition. • Enhanced green emission by means of swift heavy ion irradiation. • Multipeak fitting of photoluminescence spectra using a Gaussian function. • Variation of area contributed by different emissions in green emission is studied with respect to ion fluence. • Mechanism of enhanced green emission is discussed based on creation/annihilation of defects due to ion beam irradiation.

  3. Studies of CdS/CdTe interface: Comparison of CdS films deposited by close space sublimation and chemical bath deposition techniques

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jun-feng, E-mail: pkuhjf@bit.edu.cn [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); School of Physics, Beijing Institute of Technology, Beijing 100081 (China); Fu, Gan-hua; Krishnakumar, V.; Schimper, Hermann-Josef [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Liao, Cheng [Department of Physics, Peking University, Beijing 100871 (China); Jaegermann, Wolfram [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Besland, M.P. [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France)

    2015-05-01

    The CdS layers were deposited by two different methods, close space sublimation (CSS) and chemical bath deposition (CBD) technique. The CdS/CdTe interface properties were investigated by transmission electron microscope (TEM) and X-ray photoelectron spectroscopy (XPS). The TEM images showed a large CSS-CdS grain size in the range of 70-80 nm. The interface between CSS-CdS and CdTe were clear and sharp, indicating an abrupt hetero-junction. On the other hand, CBD-CdS layer had much smaller grain size in the 5-10 nm range. The interface between CBD-CdS and CdTe was not as clear as CSS-CdS. With the stepwise coverage of CdTe layer, the XPS core levels of Cd 3d and S 2p in CSS-CdS had a sudden shift to lower binding energies, while those core levels shifted gradually in CBD-CdS. In addition, XPS depth profile analyses indicated a strong diffusion in the interface between CBD-CdS and CdTe. The solar cells prepared using CSS-CdS yielded better device performance than the CBD-CdS layer. The relationships between the solar cell performances and properties of CdS/CdTe interfaces were discussed. - Highlights: • Studies of CdS deposited by close space sublimation and chemical bath deposition • An observation of CdS/CdTe interface by transmission electron microscope • A careful investigation of CdS/CdTe interface by X ray photoelectron spectra • An easier diffusion at the chemical bath deposition CdS and CdTe interface.

  4. Studies on structural, optical, and photoelectric properties of CdS{sub 1-x}Se{sub x} films fabricated by selenization of chemical bath deposited CdS films

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Tianyu; Gu, Han; Ge, Zhenhua; Zhang, Lei; Wang, Zhicheng; Fang, Yong; Han, Zhida; Qian, Bin; Jiang, Xuefan [Department of Physics, Changshu Institute of Technology, Changshu (China); Wu, Wangping [School of Mechanical Engineering, Changzhou University, Changzhou (China)

    2017-02-15

    In this paper, high-photosensitive CdS{sub 1-x}Se{sub x} films are synthesized by a two-step technique, which includes the chemical bath deposition of CdS films and a following selenization process. The structural, optical, and photoelectric properties of the CdS{sub 1-x}Se{sub x} films were investigated. With the substitution of selenium for sulfur atoms, grain sizes of the as-prepared CdS{sub 1-x}Se{sub x} films are effectively enlarged and reach the scales of the films thickness when the selenization temperature exceeds 450 C. With increasing the selenization temperature from 350 to 550 C, the band gaps of CdS{sub 1-x}Se{sub x} films gradually decrease from 2.37 to 1.82 eV. Under the co-action of the grain-size enlargement and band-gap decrease, the CdS{sub 1-x}Se{sub x} films fabricated at 450 C show very pronounced photosensitivity. Noteworthy, the ratio of photo to dark conductivity of the CdS{sub 1-x}Se{sub x} film selenized at 450 C reaches 1.1 x 10{sup 5}, suggesting a promising application potential in the photoelectric devices. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Formation of CdS thin films in a chemical bath environment under the action of an external magnetic field

    International Nuclear Information System (INIS)

    Vaskes-Luna, Kh.G.; Zekhe, A.; Nhukhil'o-Garsiya, M.P.; Starostenko, O.

    2000-01-01

    The effect of external magnetic field on obtaining thin CdS films on glass sub layers through the method of chemical deposition from the cadmium chloride aqueous solution is studied. The intensity and direction of the magnetic field during deposition obviously affect the number of physical properties of polycrystalline films: thickness, grain size and optical quality. The films characteristics are studied through an atomic-power microscope, light absorption spectroscopy and conductometry in darkness. The results obtained are interpreted on the basis of notions on the cadmium and sulfur specific interaction in the chemical bath with a magnetic field [ru

  6. Solar cells based on electrodeposited thin films of ZnS, CdS, CdSSe and CdTe

    Science.gov (United States)

    Weerasinghe, Ajith R.

    The motivations of this research were to produce increased efficiency and low-cost solar cells. The production efficiency of Si solar cells has almost reached their theoretical limit, and reducing the manufacturing cost of Si solar cells is difficult to achieve due to the high-energy usage in material purifying and processing stages. Due to the low usage of materials and input energy, thin film solar cells have the potential to reduce the costs. CdS/CdTe thin film solar cells are already the cheapest on $/W basis. The cost of CdTe solar cells can be further reduced if all the semiconducting layers are fabricated using the electrodeposition (ED) method. ED method is scalable, low in the usage of energy and raw materials. These benefits lead to the cost effective production of semiconductors. The conventional method of fabricating CdS layers produces Cd containing waste solutions routinely, which adds to the cost of solar cells.ZnS, CdS and CdS(i-X)Sex buffer and window layers and CdTe absorber layers have been successfully electrodeposited and explored under this research investigation. These layers were fully characterised using complementary techniques to evaluate the material properties. Photoelectrochemical (PEC) studies, optical absorption, X-ray diffraction (XRD), X-ray fluorescence (XRF), scanning electron microscopy (SEM), energy-dispersive X-ray (EDX) spectroscopy, atomic force microscopy (AFM) and Raman spectroscopy were utilised to evaluate the material properties of these solid thin film layers. ZnS and CdS thin film layers were electrodeposited from Na-free chemical precursors to avoid the group I element (Na) to reduce deterioration of CdTe devices. Deposition parameters such as, growth substrates, temperature, pH, growth cathodic voltage, stirring rate, time and chemical concentrations were identified to fabricate the above semiconductors. To further optimise these layers, a heat treatment process specific to the material was developed. In addition

  7. Real time spectroscopic ellipsometry for analysis and control of thin film polycrystalline semiconductor deposition in photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Koirala, Prakash; Attygalle, Dinesh; Aryal, Puruswottam; Pradhan, Puja; Chen, Jie [Center for Photovoltaics Innovation and Commercialization and Department of Physics and Astronomy, University of Toledo, Toledo, OH 43606 (United States); Marsillac, Sylvain [Department of Electrical and Computer Engineering, Old Dominion University, Norfolk, VA 23529 (United States); Ferlauto, Andre S.; Podraza, Nikolas J.; Collins, Robert W. [Center for Photovoltaics Innovation and Commercialization and Department of Physics and Astronomy, University of Toledo, Toledo, OH 43606 (United States)

    2014-11-28

    Real time spectroscopic ellipsometry (RTSE) from the near-infrared to ultraviolet has been applied for analysis of the deposition of polycrystalline thin films that form the basis of two key photovoltaic heterojunction configurations, superstrate SnO{sub 2}/CdS/CdTe and substrate Mo/Cu(In{sub 1−x}Ga{sub x})Se{sub 2}/CdS. The focus of this work is to develop capabilities for monitoring and controlling the key steps in the fabrication of these device structures. Analysis of RTSE data collected during sputter deposition of CdS on a rough SnO{sub 2} transparent top contact provides the time evolution of the CdS effective thickness, or film volume per unit substrate area. This thickness includes interface, bulk, and surface roughness layer components and affects the CdS/CdTe heterojunction performance and the quantum efficiency of the solar cell in the blue region of the solar spectrum. Similarly, analysis of RTSE data collected during co-evaporation of Cu(In{sub 1−x}Ga{sub x})Se{sub 2} (CIGS; x ∼ 0.3) on a rough Mo back contact provides the evolution of a second phase of Cu{sub 2−x}Se within the CIGS layer. During the last stage of CIGS deposition, the In, Ga, and Se co-evaporants convert this Cu{sub 2−x}Se phase to CIGS, and RTSE identifies the endpoint, specifically the time at which complete conversion occurs and single-phase, large-grain CIGS is obtained in this key stage. - Highlights: • Real time spectroscopic ellipsometry (RTSE) study of CdS and CuIn{sub 1−x}Ga{sub x}Se{sub 2} (CIGS) films. • RTSE during CdS deposition provides the evolution of the CdS effective thickness. • RTSE for CIGS film enables to measure and control the composition and thickness. • The work leads to the development of optical models for processing steps.

  8. Phase transformation synthesis of TiO2/CdS heterojunction film with high visible-light photoelectrochemical activity

    Science.gov (United States)

    Liu, Canjun; Yang, Yahui; Li, Jie; Chen, Shu

    2018-06-01

    CdS/TiO2 heterojunction film used as a photoanode has attracted much attention in the past few years due to its good visible light photocatalytic activity. However, CdS/TiO2 films prepared by conventional methods (successive ionic layer adsorption and reaction, chemical bath deposition and electrodeposition) show numerous grain boundaries in the CdS layer and an imperfect contact at the heterojunction interface. In this study, we designed a phase transformation method to fabricate CdS/TiO2 nanorod heterojunction films. The characterization results showed that the CdS layer with fewer grain boundaries was conformally coated on the TiO2 nanorod surface and the formation mechanism has been explained in this manuscript. Moreover, the prepared CdS/TiO2 films show a high photocatalytic activity and the photocurrent density is as high as 9.65 mA cm‑2 at 0.80 V versus RHE. It may be attributed to fewer grain boundaries and a compact heterojunction contact, which can effectively improve charge separation and transportation.

  9. Investigations on microstructural and optical properties of CdS films fabricated by a low-cost, simplified spray technique using perfume atomizer for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Ravichandran, K.; Philominathan, P. [PG and Research Department of Physics, AVVM, Sri Pushpam College, Poondi, Thanjavur District, Tamil Nadu (India)

    2008-11-15

    Good quality CdS films were fabricated by employing a simplified spray pyrolysis technique using perfume atomizer. CdS films have been deposited from aqueous solutions of sulphur and cadmium, keeping the molar concentrations of S:Cd = 0.01:0.01, 0.02:0.02, 0.04:0.04 and 0.06:0.06 in the starting solutions. The structural studies reveal that the S:Cd concentration has a strong influence on the microstructural characteristics of the sprayed CdS films. It was found that there is a transition in the preferred orientation from (0 0 2) plane to (1 0 1) plane when S:Cd molar concentration increases. The SEM images depict that the films are uniform and homogeneous. All the films have high optical transmittance (>80%) in the visible range. The optical band gap values are found to be in the range of 2.46-2.52 eV. CdS films fabricated by this simple and economic spray technique without using any carrier gas are found to be good in structural and optical properties which are desirable for photovoltaic applications. Hence, this simplified version of spray technique can be considered as an economic alternative to conventional spray pyrolysis (using carrier gas), for the mass production of low-cost, large area CdS coatings for solar cell applications. (author)

  10. CdS nanoparticle sensitized titanium dioxide decorated graphene for enhancing visible light induced photoanode

    International Nuclear Information System (INIS)

    Yousefzadeh, S.; Faraji, M.; Nien, Y.T.; Moshfegh, A.Z.

    2014-01-01

    Highlights: • CdS nanoparticles were deposited on TiO 2 /graphene film by different SILAR cycles. • The visible light absorption increased due to graphene and CdS nanoparticles. • The highest photocurrent density was achieved for nanocomposite with 30 CdS cycles. • A mechanism has been suggested for nanocomposite photoanodes, significantly. - Abstract: CdS/TiO 2 /graphene (CTG) nanocomposite thin films were synthesized by a facile production route. The TiO 2 /graphene (TG) nanocomposite was initially fabricated by sol-gel method in such a way that TiO 2 nanoparticles loaded on graphene oxide (GO) sheet via photocatalytic process. Then, CdS nanoparticles were deposited on the TG thin film by successive ion layer adsorption and reaction process (SILAR) approach. Based on atomic force microscopy (AFM), scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses, the TG thin film possessed a larger surface area as compared with the pure TiO 2 thin film due to presence of graphene sheet. UV/visible spectroscopy exhibited that visible absorption of the CTG samples increased with increasing CdS SILAR deposition cycle (n). Enhanced photocurrent response of the CTG(n) photoanodes measured as compared with the TG and T photoanodes due to good electrical conductivity and large surface area of graphene as well as the visible light-harvesting ability of CdS nanoparticles. Maximum photocurrent density of about 4.5 A/m 2 and electron life time of about 5 s was measured for the CTG(30) photoanodes

  11. CdS nanoparticle sensitized titanium dioxide decorated graphene for enhancing visible light induced photoanode

    Energy Technology Data Exchange (ETDEWEB)

    Yousefzadeh, S.; Faraji, M. [Physics Department, Sharif University of Technology, P.O. Box 11155-9161, Tehran (Iran, Islamic Republic of); Nien, Y.T. [Department of Materials Science and Engineering, National Formosa University, Taiwan (China); Moshfegh, A.Z., E-mail: moshfegh@sharif.edu [Physics Department, Sharif University of Technology, P.O. Box 11155-9161, Tehran (Iran, Islamic Republic of); Institute for Nanoscience and Nanotechnology, Sharif University of Technology, P.O. Box 14588-89694, Tehran (Iran, Islamic Republic of)

    2014-11-30

    Highlights: • CdS nanoparticles were deposited on TiO{sub 2}/graphene film by different SILAR cycles. • The visible light absorption increased due to graphene and CdS nanoparticles. • The highest photocurrent density was achieved for nanocomposite with 30 CdS cycles. • A mechanism has been suggested for nanocomposite photoanodes, significantly. - Abstract: CdS/TiO{sub 2}/graphene (CTG) nanocomposite thin films were synthesized by a facile production route. The TiO{sub 2}/graphene (TG) nanocomposite was initially fabricated by sol-gel method in such a way that TiO{sub 2} nanoparticles loaded on graphene oxide (GO) sheet via photocatalytic process. Then, CdS nanoparticles were deposited on the TG thin film by successive ion layer adsorption and reaction process (SILAR) approach. Based on atomic force microscopy (AFM), scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses, the TG thin film possessed a larger surface area as compared with the pure TiO{sub 2} thin film due to presence of graphene sheet. UV/visible spectroscopy exhibited that visible absorption of the CTG samples increased with increasing CdS SILAR deposition cycle (n). Enhanced photocurrent response of the CTG(n) photoanodes measured as compared with the TG and T photoanodes due to good electrical conductivity and large surface area of graphene as well as the visible light-harvesting ability of CdS nanoparticles. Maximum photocurrent density of about 4.5 A/m{sup 2} and electron life time of about 5 s was measured for the CTG(30) photoanodes.

  12. Pulsed laser deposition of semiconductor-ITO composite films on electric-field-applied substrates

    International Nuclear Information System (INIS)

    Narazaki, Aiko; Sato, Tadatake; Kawaguchi, Yoshizo; Niino, Hiroyuki; Yabe, Akira; Sasaki, Takeshi; Koshizaki, Naoto

    2002-01-01

    The DC electric-field effect on the crystallinity of II-VI semiconductor in composite systems has been investigated for CdS-ITO films fabricated via alternative pulsed laser deposition (PLD) of CdS and indium tin oxide (ITO) on electric-field-applied substrates. The alternative laser ablation was performed under irradiation of ArF excimer laser in mixture gas of helium and oxygen. The application of electric-field facilitated the preferential crystal-growth of CdS in nanometer scale at low pressure, whereas all the films grown without the field were amorphous. There is a large difference in the crystallization between the films grown on field-applied and heated substrates; the latter showed the crystal-growth with random orientations. This difference indicates that the existence of electric-field has an influence on the transformation from amorphous to crystalline phase of CdS. The driving force for the field-induced crystallization is also discussed in the light of the Joule heat

  13. Effect of Ag doping on opto-electrical properties of CdS thin films for solar cell applications

    International Nuclear Information System (INIS)

    Nazir, Adnan; Toma, Andrea; Shah, Nazar Abbas; Panaro, Simone; Butt, Sajid; Sagar, Rizwan ur Rehman; Raja, Waseem; Rasool, Kamran; Maqsood, Asghari

    2014-01-01

    Highlights: • Polycrystalline CdS thin films are fabricated by means of Close Spaced Sublimation technique. • Ag is doped by simple ion-exchange technique in order to reduce resistivity of CdS thin films. • Remarkable reduction in resistivity without introducing many transparency losses. - Abstract: Cadmium sulfide (CdS) polycrystalline thin films of different thicknesses (ranging from 370 nm to 750 nm) were fabricated on corning glass substrates using Close Spaced Sublimation (CSS) technique. Optical and electrical investigation revealed that CdS thin films show an appreciable transparency (50–70% transmission) in visible range and a highly resistive behavior (10 6 Ω cm). Samples were doped by silver (Ag) at different concentrations, using ion exchange technique, in order to reduce the resistivity of CdS thin films and to improve their efficiency as a window layer for solar cell application. The doping of Ag in pure CdS thin films resulted into an increase of surface roughness and a decrease both in electrical resistivity and in transparency. By optimizing annealing parameters, we were able to properly control the optical properties of the present system. In fact, the Ag doping of pure CdS films has led to a decrease of the sample resistivity by three orders of magnitude (10 3 Ω cm) against a 20% cut in optical transmission

  14. Thermal and structural properties of spray pyrolysed CdS thin film

    Indian Academy of Sciences (India)

    Unknown

    Thermal diffusivity and conductivity in these films decrease at least two orders compared with bulk. ... Afifi et al. (1986) prepared evaporated thin film on glass substrate. ... phase of CdS and the identification of the peaks indicate that the film is ...

  15. Deuterium markers in CdS and Zn(O,S) buffer layers deposited by solution growth for Cu(In,Ga)Se{sub 2} thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Witte, Wolfram; Eicke, Axel; Hariskos, Dimitrios [Zentrum fuer Sonnenenergie und Wasserstoff-Forschung Baden-Wuerttemberg (ZSW), Stuttgart (Germany); Souza, Roger A. de; Martin, Manfred [Institute of Physical Chemistry, RWTH Aachen University (Germany)

    2017-12-15

    This contribution describes an easy and cheap approach to introduce deuterium (D) as an isotopic marker into the commonly used buffer layer materials CdS and Zn(O,S) for Cu(In,Ga)Se{sub 2} (CIGS) thin-film solar cells. D was successfully incorporated during the growth of Zn(O,S) and CdS buffer layers by chemical bath deposition (CBD) with D{sub 2}O. CIGS solar cells prepared with D-containing buffers grown by CBD exhibit power conversion efficiencies above 16%, that is, the D content has no detrimental effect on the performance or other solar cell parameters of the devices. With depth profiles obtained by time-of-flight secondary ion mass spectrometry (ToF-SIMS) we clearly detect the intentionally incorporated D within the solution-grown Zn(O,S) buffer. Assuming that D is present as OD, we compare the amount of OD within the Zn(O,S) layer with the amount of OH on the surface of the subsequent sputtered (Zn,Mg)O layer. Possible applications and future experiments of the method inserting isotopic markers such as D in functional layers of chalcopyrite-type thin-film solar cells and beyond are discussed. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Analysis of the electrodeposition and surface chemistry of CdTe, CdSe, and CdS thin films through substrate-overlayer surface-enhanced Raman spectroscopy.

    Science.gov (United States)

    Gu, Junsi; Fahrenkrug, Eli; Maldonado, Stephen

    2014-09-02

    The substrate-overlayer approach has been used to acquire surface enhanced Raman spectra (SERS) during and after electrochemical atomic layer deposition (ECALD) of CdSe, CdTe, and CdS thin films. The collected data suggest that SERS measurements performed with off-resonance (i.e. far from the surface plasmonic wavelength of the underlying SERS substrate) laser excitation do not introduce perturbations to the ECALD processes. Spectra acquired in this way afford rapid insight on the quality of the semiconductor film during the course of an ECALD process. For example, SERS data are used to highlight ECALD conditions that yield crystalline CdSe and CdS films. In contrast, SERS measurements with short wavelength laser excitation show evidence of photoelectrochemical effects that were not germane to the intended ECALD process. Using the semiconductor films prepared by ECALD, the substrate-overlayer SERS approach also affords analysis of semiconductor surface adsorbates. Specifically, Raman spectra of benzenethiol adsorbed onto CdSe, CdTe, and CdS films are detailed. Spectral shifts in the vibronic features of adsorbate bonding suggest subtle differences in substrate-adsorbate interactions, highlighting the sensitivity of this methodology.

  17. Surface modification of cadmium sulfide thin film honey comb nanostructures: Effect of in situ tin doping using chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, K.C., E-mail: wilsonphy@gmail.com [Department of Physics, Govt. Polytechnic College Kothamangalam, Chelad P O, Ernakulam, Kerala 686681 (India); Department of Physics, B. S. Abdur Rahman University, Vandaloor, Chennai, Tamilnadu 600048 (India); Basheer Ahamed, M. [Department of Physics, B. S. Abdur Rahman University, Vandaloor, Chennai, Tamilnadu 600048 (India)

    2016-01-15

    Graphical abstract: - Highlights: • Novel honey comb like cadmium sulfide thin film nanostructures prepared using chemical bath deposition on glass substrates. • Honey comb nanostructure found in two layers: an ultra thin film at bottom and well inter connected with walls of < 25 nm thick on top; hence maximum surface area possible for CdS nanostructure. • Shell size of the nanostructures and energy band gaps were controlled also an enhanced persistent conductivity observed on Sn doping. - Abstract: Even though nanostructures possess large surface to volume ratio compared to their thin film counterpart, the complicated procedure that demands for the deposition on a substrate kept them back foot in device fabrication techniques. In this work, a honey comb like cadmium sulfide (CdS) thin films nanostructure are deposited on glass substrates using simple chemical bath deposition technique at 65 °C. Energy band gaps, film thickness and shell size of the honey comb nanostructures are successfully controlled using tin (Sn) doping and number of shells per unit area is found to be maximum for 5% Sn doped (in the reaction mixture) sample. X-ray diffraction and optical absorption analysis showed that cadmium sulfide and cadmium hydroxide coexist in the samples. TEM measurements showed that CdS nanostructures are embedded in cadmium hydroxide just like “plum pudding”. Persistent photoconductivity measurements of the samples are also carried out. The decay constants found to be increased with increases in Sn doping.

  18. Planar heterojunction perovskite solar cell based on CdS electron transport layer

    KAUST Repository

    Abulikemu, Mutalifu

    2017-07-02

    We report on planar heterojunction perovskite solar cells employing a metal chalcogenide (CdS) electron transport layer with power conversion efficiency up to 10.8%. The CdS layer was deposited via solution-process chemical bath deposition at low-temperature (60°C). Pinhole-free and uniform thin films were obtained with good structural, optical and morphological properties. An optimal layer thickness of 60nm yielded an improved open-circuit voltage and fill factor compared to the standard TiO2-based solar cells. Devices showed a higher reproducibility of the results compared to TiO2-based ones. We also tested the effect of annealing temperature on the CdS film and the effect of CdCl2 treatment followed by high temperature annealing (410°C) that is expected to passivate the surface, thus eliminating eventual trap-states inducing recombination.

  19. Planar heterojunction perovskite solar cell based on CdS electron transport layer

    KAUST Repository

    Abulikemu, Mutalifu; Barbe, Jeremy; El Labban, Abdulrahman; Eid, Jessica; Del Gobbo, Silvano

    2017-01-01

    We report on planar heterojunction perovskite solar cells employing a metal chalcogenide (CdS) electron transport layer with power conversion efficiency up to 10.8%. The CdS layer was deposited via solution-process chemical bath deposition at low-temperature (60°C). Pinhole-free and uniform thin films were obtained with good structural, optical and morphological properties. An optimal layer thickness of 60nm yielded an improved open-circuit voltage and fill factor compared to the standard TiO2-based solar cells. Devices showed a higher reproducibility of the results compared to TiO2-based ones. We also tested the effect of annealing temperature on the CdS film and the effect of CdCl2 treatment followed by high temperature annealing (410°C) that is expected to passivate the surface, thus eliminating eventual trap-states inducing recombination.

  20. Temperature-dependent photoluminescence and mechanism of CdS thin film grown on Si nanoporous pillar array

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Ling Ling [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); College of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Li, Yan Tao [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); School of Material Science and Engineering, Henan University of Technology, Zhengzhou 454052 (China); Hu, Chu Xiong [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); Li, Xin Jian, E-mail: lixj@zzu.edu.cn [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China)

    2015-09-15

    Highlights: • CdS/silicon nanoporous pillar array (CdS/Si-NPA) was prepared by a CBD method. • The PL spectrum of CdS/Si-NPA was measured at different temperatures, from 10 to 300 K. • The PL spectrum was composed of four emission bands, obeying different mechanisms. • The PL degradation with temperature was due to phonon-induced escape of carriers. - Abstract: Si-based cadmium sulfide (CdS) is a prospective semiconductor system in constructing optoelectronic nanodevices, and this makes the study on the factors which may affect its optical and electrical properties be of special importance. Here we report that CdS thin film was grown on Si nanoporous pillar array (Si-NPA) by a chemical bath deposition method, and the luminescent properties of CdS/Si-NPA as well as its mechanism were studied by measuring and analyzing its temperature-dependent photoluminescence (PL) spectrum. The low-temperature measurement disclosed that the PL spectrum of CdS/Si-NPA could be decomposed into four emission bands, a blue band, a green band, a red band and an infrared band. The blue band was due to the luminescence from Si-NPA substrate, and the others originate from the CdS thin film. With temperature increasing, the peak energy, PL intensity and peak profile shape for the PL bands from CdS evolves differently. Through theoretical and fitting analyses, the origins of the green, red and infrared band are attributed to the near band-edge emission, the radiative recombination from surface defects to Cd vacancies and those to S interstitials, respectively. The cause of PL degradation is due to the thermal quenching process, a phonon-induced electron escape but with different activation energies. These results might provide useful information for optimizing the preparing parameters to promote the performance of Si-based CdS optoelectronic devices.

  1. Analysis rbs of CdS thin films grown by cbd to different intensities of the magnetic field and temperature of the chemical bath

    International Nuclear Information System (INIS)

    Pedrero, E.; Vigil, O.

    1999-01-01

    Depth and composition of CdS thin films grown by chemical bath deposition under different temperature and magnetic DC field conditions, were determined by Rutherford Backscattering Spectrometry. The above parameters were evaluated as a function of the bath temperature and the intensity of the induction magnetic field applied

  2. Growth analysis of cadmium sulfide thin films by atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Moutinho, H.R.; Dhere, R.G.; Ramanathan, K. [National Renewable Energy Lab., Golden, CO (United States)] [and others

    1996-05-01

    CdS films have been deposited by solution growth on SnO{sub 2} and glass substrates. Nucleation on SnO{sub 2} occurs at early deposition times, and complete conformal coverage is observed at low thickness values. The average grain size of the CdS films is established at these early times. In films deposited on glass substrates, nucleation is slower and occurs through 3-dimensional islands that increase in size and number as deposition proceeds. Optical measurements show that the bandgap values of CdS films deposited on SnO{sub 2} depend mainly on substrate structure. Hydrogen heat treatment does not affect the surface morphology of the samples, but decreases bandgap values.

  3. Novel patterning of CdS / CdTe thin film with back contacts for photovoltaic application

    Science.gov (United States)

    Ilango, Murugaiya Sridar; Ramasesha, Sheela K.

    2018-04-01

    The heterostructure of patterned CdS / CdTe thin films with back contact have been devised with electron beam lithography and fabricated using sputter deposition technique. The metallic contacts for n-CdS and p-CdTe are patterned such that both are placed at the bottom of the cell. This avoids losses due to contact shading and increases absorption in the window layer. Patterning of the device surface helps in increasing the junction area which can modulate the absorption of more number of photons due to total internal reflection. Computing the surface area between a planar and a patterned device has revealed 133% increase in the junction area. The physical and optical properties of the sputter-deposited CdS / CdTe layers are also presented. J- V characteristics of the solar cell showed the fill factor to be 25.9%, open circuit voltage to be 17 mV and short-circuit current density to be 113.68 A/m2. The increase in surface area is directly related to the increase in the short circuit current of the photovoltaic cell, which is observed from the results of simulated model in Atlas / Silvaco.

  4. Nano-architecture based photoelectrochemical water oxidation efficiency enhancement by CdS photoanodes

    Science.gov (United States)

    Pareek, Alka; Kim, Hyun Gyu; Paik, Pradip; Joardar, Joydip; Borse, Pramod H.

    2017-02-01

    In the present work, 2D nanostructuring has been utilized to impart an efficiency improvement to the hexagonal phase CdS films for the photoelectrochemical (PEC) cells those were deposited by spray pyrolysis technique. By controlling the aerosol droplet- size, population and impingement time during the spray pyrolysis deposition, various nano-features viz. randomly aligned nanorods, nanotubes and nanowires of CdS has been demonstrated for the first time. A growth mechanism has been proposed to predict the temporal evolution of the nanostructures. The prominent nanoscale structures show improved optical properties in the visible range of solar spectrum. The structural studies validate the morphological differences of nanostructures in terms of the texture coefficient analysis as well as 2D micro x-ray diffraction imaging. Electrochemical characterization is carried out to understand the effect of nanostructuring on the PEC performance of the CdS photoanodes in the sulphide (0.1 M Na2S  +  0.02 M Na2SO3) electrolyte at applied bias of 0.2 V (versus SCE). The evolution of morphology from randomly aligned rods to nanowire is responsible for improved photocurrent (3.5 times). CdS film morphology can be tuned to nanotubes, nano- rose buds and nanorod bunches even by doping Zn2+ ions in CdS lattice. Nano-structuring of doped CdS has shown enhanced performance of the photoanodes. The nanotubes structures yielded highest photocurrent density of 1.6 mA cm-2. Whereas modifying the 2D-nanostructured CdS film by simple MoO3 spray coating yields the photocurrent enhancement to 2.1 mA cm-2.

  5. Electrophoretic deposition of CdS coatings and their photocatalytic activities in the degradation of tetracycline antibiotic

    Energy Technology Data Exchange (ETDEWEB)

    Vázquez, A., E-mail: alejandro.lqi@gmail.com [Universidad Autónoma de Nuevo León, Facultad de Ciencias Químicas, Av. Universidad S/N, San Nicolás de los Garza, 66455 Nuevo León (Mexico); Hernández-Uresti, D.B., E-mail: ing.dianahdz@gmail.com [Universidad Autónoma de Nuevo León, CICFIM–Facultad de Ciencias Físico Matemáticas, Av. Universidad S/N, San Nicolás de los Garza, 66455 Nuevo León (Mexico); Obregón, S. [Universidad Autónoma de Nuevo León, CICFIM–Facultad de Ciencias Físico Matemáticas, Av. Universidad S/N, San Nicolás de los Garza, 66455 Nuevo León (Mexico)

    2016-11-15

    Highlights: • CdS photocatalyst was prepared by electrophoretic deposition. • The CdS coating was used in the photodegradation of antibiotics. • O{sub 2}{sup −} and ·OH radicals were responsible for the degradation of tetracycline. - Abstract: The photocatalytic activities of CdS coatings formed by electrophoretic deposition (EPD) were evaluated through the photodegradation of an antibiotic, tetracycline. First, CdS nanoparticles were synthesized under microwave irradiation of aqueous solutions containing the cadmium and sulfur precursors at stoichiometric amounts and by using trisodium citrate as stabilizer. Microwave irradiation was carried out in a conventional microwave oven at 2.45 GHz and 1650 W of nominal power, for 60 s. The CdS nanoparticles were characterized by UV–vis spectrophotometry, photoluminescence and X-ray diffraction. Electrophoretic deposition parameters were 300 mV, 600 mV and 900 mV of applied voltage between aluminum plates separated by 1 cm. The fractal dimensions of the surfaces were evaluated by atomic force microscopy and correlated to the morphological and topographic characteristics of the coatings. The photocatalytic activity of the CdS coatings was investigated by means the photodegradation of the tetracycline antibiotic under simulated sunlight irradiation. According to the results, the photoactivity of the coatings directly depends on the concentration of the precursors and the applied voltage during the deposition. The material obtained at 600 mV showed the best photocatalytic behavior, probably due to its physical properties, such as optimum load and suitable aggregate size.

  6. Chemical bath deposition of thin semiconductor films for use as buffer layers in CuInS2 thin film solar cells

    International Nuclear Information System (INIS)

    Kaufmann, C.A.

    2002-01-01

    A CulnS 2 thin film solar cell is a multilayered semiconductor device. The solar cells discussed have a layer sequence Mo/CulnS 2 /buffer/i-ZnO/ZnO:Ga, where a heterojunction establishes between the p-type absorber and the n-type front contact. Conventionally the buffer consists of CdS, deposited by chemical bath deposition (CBD). Apart from providing process oriented benefits the buffer layer functions as a tool for engineering the energy band line-up at the heterojunction interface. Motivated through environmental concern and EU legislation it is felt necessary to substitute this potentially toxic layer by an alternative, Cd-free component. This thesis investigates the suitability of various Zn- and In-compounds, in particular In(OH,O) x S y , as alternative buffer layer materials using CBD. Initial experiments were carried out depositing Zn-based compounds from aqueous solutions. Characterization of the layers, the solution and the processed solar cells was performed. This thesis focuses on the investigation of the CBD process chemistry for the deposition of In-compound thin films. A careful study of the morphology and composition of the deposited thin films was conducted using electron microscopy (SEM, HREM), elastic recoil detection analysis, X-ray photoelectron spectroscopy and optical transmission spectroscopy. This allowed conclusions concerning the nucleation and film growth mechanism from the chemical bath. Connections between bath chemistry, different growth phases, layer morphology and solar cell performance were sought and an improved deposition process was developed. As a result, Cd-free CulnS 2 thin film solar cells with efficiencies of up to 10.6%) (total area) could be produced. Overall the substitution of CdS is shown to be possible by different alternative compounds, such as Zn(OH,O) x S y or In(OH,O) x S y . In the case of In(OH,O) x S y , an understanding of the CBD process and the effect of different growth phases on the resulting solar cell

  7. Effects of ultraviolet light on B-doped CdS thin films prepared by spray pyrolysis method using perfume atomizer

    Science.gov (United States)

    Novruzov, V. D.; Keskenler, E. F.; Tomakin, M.; Kahraman, S.; Gorur, O.

    2013-09-01

    Boron doped CdS thin films were deposited by spray pyrolysis method using perfume atomizer. The effects of ultraviolet light on the structural, optical and electrical properties of B-doped CdS thin films were investigated as a function of dopant concentration (B/Cd). X-ray diffraction studies showed that all samples were polycrystalline nature with hexagonal structure. It was determined that the preferred orientation of non-illuminated samples changes from (1 0 1) to (0 0 2) with B concentration. The c lattice constant of films decreases from 6.810 Å to 6.661 Å with boron doping. The XRD peak intensity increased with the illumination for almost all the samples. The lattice parameters of B-doped samples remained nearly constant after illumination. It was found that the optical transmittance, photoluminescence spectra, resistivity and carrier concentration of the B-doped samples are stable after the illumination with UV light. Also the effects of UV light on B-doped CdS/Cu2S solar cell were investigated and it was determined that photoelectrical parameters of B-doped solar cell were more durable against the UV light.

  8. Effects of ultraviolet light on B-doped CdS thin films prepared by spray pyrolysis method using perfume atomizer

    Energy Technology Data Exchange (ETDEWEB)

    Novruzov, V.D. [Department of Physics, Recep Tayyip Erdogan University, Rize (Turkey); Keskenler, E.F., E-mail: keskenler@gmail.com [Department of Nanotechnology Engineering, Recep Tayyip Erdogan University, Rize (Turkey); Tomakin, M. [Department of Physics, Recep Tayyip Erdogan University, Rize (Turkey); Kahraman, S. [Department of Physics, Mustafa Kemal University, Hatay (Turkey); Gorur, O. [Department of Physics, Abant Izzet Baysal University, Bolu (Turkey)

    2013-09-01

    Boron doped CdS thin films were deposited by spray pyrolysis method using perfume atomizer. The effects of ultraviolet light on the structural, optical and electrical properties of B-doped CdS thin films were investigated as a function of dopant concentration (B/Cd). X-ray diffraction studies showed that all samples were polycrystalline nature with hexagonal structure. It was determined that the preferred orientation of non-illuminated samples changes from (1 0 1) to (0 0 2) with B concentration. The c lattice constant of films decreases from 6.810 Å to 6.661 Å with boron doping. The XRD peak intensity increased with the illumination for almost all the samples. The lattice parameters of B-doped samples remained nearly constant after illumination. It was found that the optical transmittance, photoluminescence spectra, resistivity and carrier concentration of the B-doped samples are stable after the illumination with UV light. Also the effects of UV light on B-doped CdS/Cu{sub 2}S solar cell were investigated and it was determined that photoelectrical parameters of B-doped solar cell were more durable against the UV light.

  9. Fabrication and characterization of In2S3 thin films deposited by thermal evaporation technique

    International Nuclear Information System (INIS)

    Timoumi, A.; Bouzouita, H.; Kanzari, M.; Rezig, B.

    2005-01-01

    Indium sulphide, In 2 S 3 , thin films present an alternative to conventional CdS films as buffer layer for CIS-based thin film solar cells. The objective is to eliminate toxic cadmium for environmental reasons. Indium sulphide is synthesized and deposited by single source vacuum thermal evaporation method on glass substrates. The films are analyzed by X-ray diffraction (XRD) and spectrophotometric measurements. They have a good crystallinity, homogeneity and adhesion. The X-ray diffraction analysis confirmed the initial amorphous nature of the deposited InS film and phase transition into crystalline In 2 S 3 formed upon annealing at free air for 250 deg. C substrate temperature for 2 h. The optical constants of the deposited films were obtained from the analysis of the experimental recorded transmission and reflectance spectral data over the wavelength range of 300-1800 nm. We note that the films annealed at 250 deg. C for 2 h show a good homogeneity with 80% transmission. An analysis of the optical absorption data of the deposited films revealed an optical direct band gap energy in the range of 2.0-2.2 eV

  10. Characterization of chemically deposited low-cost 2-6 thin films solar cells : modifying effects with catalytic silicotungstic acid (STA)

    Energy Technology Data Exchange (ETDEWEB)

    Petuenju, E.N.; Savadogo, O. [Ecole Polytechnique de Montreal, Montreal, PQ (Canada). Laboratoire de nouveaux materiaux pour l' energie et l' electrochimie

    2008-07-01

    This paper described some properties of cadmium sulphide (CdS) thin films that were chemically deposited on a silicon wafer for solar cell and optoelectronic applications. The as-deposited films were thermally annealed and characterized through structural, electrical, optical, and spectroscopic methods. The modifying effects of silicotungstic acid (STA) showed promising characteristics for various applications, including X- and gamma-ray detector devices. The films were characterized by X-rays Photoelectron Spectroscopy, Scanning Electron Microscopy, X-ray Diffraction, and Photoluminescence. Ellipsometry measurements were used to determine the thickness of the sample. The study showed that there is no significant effect of the addition of 10-4 M of STA on the thickness of the film. This might be due to the low concentration of STA. No significant difference was noted for samples deposited with and without STA. In both cases the crystallite size of the sample was estimated to be lower than 1.5 microns. The CdS/Si and CdS(STA)/Si samples were annealed at 360 degrees C for 12 hours. The samples prepared with and without STA had the same structure. CdS deposited without and STA exhibited a mixed hexagonal and cubic structure, most likely because the annealing temperature of the sample was very close to the transition temperature of the cubic structure to the hexagonal structure. 3 refs., 1 tab., 3 figs.

  11. Subatomic deformation driven by vertical piezoelectricity from CdS ultrathin films.

    Science.gov (United States)

    Wang, Xuewen; He, Xuexia; Zhu, Hongfei; Sun, Linfeng; Fu, Wei; Wang, Xingli; Hoong, Lai Chee; Wang, Hong; Zeng, Qingsheng; Zhao, Wu; Wei, Jun; Jin, Zhong; Shen, Zexiang; Liu, Jie; Zhang, Ting; Liu, Zheng

    2016-07-01

    Driven by the development of high-performance piezoelectric materials, actuators become an important tool for positioning objects with high accuracy down to nanometer scale, and have been used for a wide variety of equipment, such as atomic force microscopy and scanning tunneling microscopy. However, positioning at the subatomic scale is still a great challenge. Ultrathin piezoelectric materials may pave the way to positioning an object with extreme precision. Using ultrathin CdS thin films, we demonstrate vertical piezoelectricity in atomic scale (three to five space lattices). With an in situ scanning Kelvin force microscopy and single and dual ac resonance tracking piezoelectric force microscopy, the vertical piezoelectric coefficient (d 33) up to 33 pm·V(-1) was determined for the CdS ultrathin films. These findings shed light on the design of next-generation sensors and microelectromechanical devices.

  12. Synthesis of CdS nanocrystals in polymeric films studied by in-situ GID and GISAXS

    KAUST Repository

    Di Luccio, Tiziana; Carbone, Dina; Masala, Silvia; Ramachandran, Karthik; Kornfield, Julie

    2015-01-01

    In this work, we describe the synthesis of CdS nanocrystals in thin polymeric films by in-situ Grazing Incidence Diffraction (GID) and Grazing Incidence Small Angle Scattering (GISAXS). The 2D GISAXS patterns indicate how the precursor structure is altered as the temperature is varied from 25°C to 300°C. At 150°C, the CdS nanocrystals start to arrange themselves in a hexagonal lattice with a lattice parameter of 27 A. The diffraction intensity from the hexagonal lattice reaches a maximum at 170"C and decreases steadily upon further heating above 220°C indicating loss of symmetry. Correspondingly, the GID scans at 170°C show strong crystalline peaks from cubic CdS nanocrystals that are about 2 nm size. The results indicate that a temperature of 170°C is sufficient to synthesize CdS nanocrystals without degradation of the polymer matrix (Topas) in thin films (about 30nm). © 2015 Materials Research Society.

  13. Synthesis of CdS nanocrystals in polymeric films studied by in-situ GID and GISAXS

    KAUST Repository

    Di Luccio, Tiziana

    2015-07-07

    In this work, we describe the synthesis of CdS nanocrystals in thin polymeric films by in-situ Grazing Incidence Diffraction (GID) and Grazing Incidence Small Angle Scattering (GISAXS). The 2D GISAXS patterns indicate how the precursor structure is altered as the temperature is varied from 25°C to 300°C. At 150°C, the CdS nanocrystals start to arrange themselves in a hexagonal lattice with a lattice parameter of 27 A. The diffraction intensity from the hexagonal lattice reaches a maximum at 170"C and decreases steadily upon further heating above 220°C indicating loss of symmetry. Correspondingly, the GID scans at 170°C show strong crystalline peaks from cubic CdS nanocrystals that are about 2 nm size. The results indicate that a temperature of 170°C is sufficient to synthesize CdS nanocrystals without degradation of the polymer matrix (Topas) in thin films (about 30nm). © 2015 Materials Research Society.

  14. Deposition and characterization of (Cd sub(x) Zn sub(1-x)) S thin films

    International Nuclear Information System (INIS)

    Ferreira, C.L.

    1984-01-01

    Thin films of (Cd sub(x) Zn sub(1-x)) S were deposited on the substrates of soda-lime glass and borosilicate glass by coevaporation of CdS and ZnS, using the technique of hot wall. The temperatures for substrate (200 0 C), wall (350 0 C), CdS source (900 0 -1000 0 C) and ZnS source (900 0 -1200 0 C) were found to be optimum for formation of the films with deposition rates in the range of 0.5 μm.min -1 . The films obtained were with Wurtzite structure, with the crystallographic planes oriented in (001) direction. A linear variation of the parameter C 0 of hexagonal lattice with the concentration of Zn, in the range of 20% to 60%, was observed. For lower and higher concentration outside this range there was a tendency of saturation of C 0 . Measurements of Hall voltage and resistivity demonstrated the mobility and carrier concentration in the range of 10-40 cm 2 v -1 sec -1 and 1.45 x 10 19 - 3.83 x 10 20 cm -3 , respectively, whereas the resistivity of the films ranged from 2.11 x 10 -2 Ω.cm for the Zn concentration variation from 20% to 70%. Measurements of optical absorption revealed linear variation of refractive index of the films with Zn concentration for the wavelenght in the range of 0.5 to 2.0 μm. (Cd sub(x) Zn sub(1-x)) S films with 0.7 2 S. (Author) [pt

  15. Co+ -ion implantation induced doping of nanocrystalline CdS thin films: structural, optical, and vibrational properties

    International Nuclear Information System (INIS)

    Chandramohan, S.; Sarangi, S.N.; Majumder, S.; Som, T.; Kanjilal, A.; Sathyamoorthy, R.

    2009-01-01

    Full text: Transition metal (Mn, Fe, Co and Ni) doped CdS nanostructures and nanocrystalline thin films have attracted much attention due to their anticipated applications in magneto-optical, non-volatile memory and future spintronics devices. Introduction of impurities in substitutional positions is highly desirable for such applications. Ion implantation is known to provide many advantages over conventional methods for efficient doping and possibility of its seamless integration with device processing steps. It is not governed by equilibrium thermodynamics and offers the advantages of high spatial selectivity and to overcome the solubility limits. In this communication, we report on modifications of structural morphological, optical, and vibrational properties of 90 keV Co + -ion implanted CdS thin films grown by thermal evaporation. Co + -ion implantation was performed in the fluence range of 0.1-3.6x10 16 ions cm -2 These fluences correspond to Co concentration in the range of 0.34-10.8 at % at the peak position of profile. Implantation was done at an elevated temperature of 573 K in order to avoid amorphization and to enhance the solubility of Co ions in the CdS lattice. Films were characterized by glancing angle X-ray diffraction (GAXRD), atomic force microscopy (AFM), optical absorption, and micro-Raman spectroscopy. Implantation does not lead to any secondary phase formation either in the form of impurity or the metallic clusters. However, implantation improves the crystalline quality of the samples and leads to supersaturation of Co ions in the CdS lattice. Thus, nanocrystalline CdS thin films can be considered as a good radiation- resistant material, which can be employed for prolonged use in solar cells for space applications. The optical band gap is found to decrease systematically with increasing ion fluence from 2.39 to 2.28 eV. Implantation leads to agglomeration of grains and a systematic increase in the surface roughness. Both GAXRD and micro

  16. Photovoltaic performance enhancement of CdS quantum dot-sensitized TiO2 photoanodes with plasmonic gold nanoparticles

    International Nuclear Information System (INIS)

    Liu, Aiping; Ren, Qinghua; Zhao, Ming; Xu, Tao; Yuan, Ming; Zhao, Tingyu; Tang, Weihua

    2014-01-01

    Highlights: • CdS QD-sensitized TiO 2 porous photoanode with plasmonic gold. • A prominent light absorption enhancement of hybrid was attained by gold plasmon. • The photovoltaic response of hybrid was tunable by CdS amount. • The Au/TiO 2 /CdS hybrid had a potential application in energy conversion devices. -- Abstract: The CdS quantum dot-sensitized TiO 2 films with plasmonic gold nanoparticles were designed as photoanodes by the electrodeposition of gold combined with the “successive ionic layer adsorption and reaction” (SILAR) method for CdS deposition on porous TiO 2 films. A prominent enhancement in light absorption of Au/TiO 2 /CdS hybrid was attained by efficient light scattering of gold plasmons as sub-wavelength antennas and concentrators. The photogenerated electron formed in the near-surface region of TiO 2 and CdS were facilitated to transfer to the plasmonic gold, resulting in the enhancement of photocurrent and incident photon-to-current conversion efficiency of hybrid photoanode upon photoirradiation. Furthermore, the photovoltaic response of hybrid was highly tunable with respect to the number of SILAR cycles applied to deposit CdS. The thicker absorber layer with less porous structure and larger CdS crystals might limit the electrolyte diffusion into the hybrid electrode and impose a barrier for electron tunneling and transferring. The highly versatile and tunable properties of Au/TiO 2 /CdS photoanodes demonstrated their potential application in energy conversion devices

  17. The effect of thermal annealing on the optical band gap of cadmium sulphide thin films, prepared by the chemical bath deposition technique

    International Nuclear Information System (INIS)

    Ampong, F. K.; Boakye, F.; Asare Donkor, N. K.

    2010-01-01

    Cadmium sulphide thin films have been prepared by the chemical bath deposition technique (ph 11, 70 degree centigrade). Two different sets of films were prepared under varied conditions and concentrations of their ions sources (Cd 2+ from cadmium nitrate, S 2- from thiourea) and Na 2 EDTA as a complexing agent. A UV mini-Schimazu UV-VIS Spectrophotometer was used to determine the optical absorbance of the films as a function of wavelength at room temperature over the wavelength range 200 - 600 nm. The samples were then thermally annealed for thirty minutes, at temperatures of 100 degree centigrade, and 200 degree centigrade, after which the absorbance of the films were again recorded. The band gap values obtained for the sample with 0.5 M CdS as deposited, annealed at 100 degree centigrade and 200 degree centigrade were 2.1 eV, 2.2 eV and 2.3 eV respectively. Whilst the values obtained for the sample 0.15 CdS as deposited, annealed at 100 degree centigrade and annealed at 200 degree centigrade were 2.0 eV, 2.01 eV and 2.02 eV respectively. The increase in band gap with annealing temperature might be attributed to the improvement in crystallinity in the films. (au)

  18. Enhanced Photovoltaic Properties of the Solar Cells Based on Cosensitization of CdS and Hydrogenation

    Directory of Open Access Journals (Sweden)

    Hongcai He

    2015-01-01

    Full Text Available The hydrogenated TiO2 porous nanocrystalline film is modified with CdS quantum dots by successive ionic layer adsorption and reaction (SILAR method to prepare the cosensitized TiO2 solar cells by CdS quantum dots and hydrogenation. The structure and topography of the composite photoanode film were confirmed by X-ray diffraction and scanning electron microscopy. With deposited CdS nanoparticles, UV absorption spectra of H:TiO2 photoanode film indicated a considerably enhanced absorption in the visible region. The cosensitized TiO2 solar cell by CdS quantum dots and hydrogenation presents much better photovoltaic properties than either CdS sensitized TiO2 solar cells or hydrogenated TiO2 solar cells, which displays enhanced photovoltaic performance with power conversion efficiency (η of 1.99% (Jsc=6.26 mA cm−2, Voc=0.65 V, and FF = 0.49 under full one-sun illumination. The reason for the enhanced photovoltaic performance of the novel cosensitized solar cell is primarily explained by studying the Nyquist spectrums, IPCE spectra, dark current, and photovoltaic performances.

  19. Photovoltaic performance enhancement of CdS quantum dot-sensitized TiO{sub 2} photoanodes with plasmonic gold nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Aiping, E-mail: liuaiping1979@gmail.com [Center for Optoelectronics Materials and Devices, Zhejiang Sci-Tech University, Hangzhou 310018 (China); State Key Laboratory of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Ren, Qinghua; Zhao, Ming; Xu, Tao; Yuan, Ming; Zhao, Tingyu [Center for Optoelectronics Materials and Devices, Zhejiang Sci-Tech University, Hangzhou 310018 (China); Tang, Weihua [State Key Laboratory of Information Photonics and Optical Communication, Beijing University Posts and Telecommunications, Beijing 100876 (China)

    2014-03-15

    Highlights: • CdS QD-sensitized TiO{sub 2} porous photoanode with plasmonic gold. • A prominent light absorption enhancement of hybrid was attained by gold plasmon. • The photovoltaic response of hybrid was tunable by CdS amount. • The Au/TiO{sub 2}/CdS hybrid had a potential application in energy conversion devices. -- Abstract: The CdS quantum dot-sensitized TiO{sub 2} films with plasmonic gold nanoparticles were designed as photoanodes by the electrodeposition of gold combined with the “successive ionic layer adsorption and reaction” (SILAR) method for CdS deposition on porous TiO{sub 2} films. A prominent enhancement in light absorption of Au/TiO{sub 2}/CdS hybrid was attained by efficient light scattering of gold plasmons as sub-wavelength antennas and concentrators. The photogenerated electron formed in the near-surface region of TiO{sub 2} and CdS were facilitated to transfer to the plasmonic gold, resulting in the enhancement of photocurrent and incident photon-to-current conversion efficiency of hybrid photoanode upon photoirradiation. Furthermore, the photovoltaic response of hybrid was highly tunable with respect to the number of SILAR cycles applied to deposit CdS. The thicker absorber layer with less porous structure and larger CdS crystals might limit the electrolyte diffusion into the hybrid electrode and impose a barrier for electron tunneling and transferring. The highly versatile and tunable properties of Au/TiO{sub 2}/CdS photoanodes demonstrated their potential application in energy conversion devices.

  20. Enhanced Performance of DSSCs Based on the Insertion Energy Level of CdS Quantum Dots

    Directory of Open Access Journals (Sweden)

    Xiaoping Zou

    2014-01-01

    Full Text Available Cadmium sulfide (CdS quantum dots (QDs are assembled onto the TiO2 films by chemical bath deposition method (CBD. And the QDs size is controlled by the times of CBD cycles. They are characterized by UV-visible absorption. To avoid the photo corrosion and electrolyte corrosion, CdS and N719 are sequentially assembled onto the nanocrystalline TiO2 films to prepare a CdS/N719 cosensitized photo electrode for the dye-sensitized solar cells. In the structure of TiO2/CdS/N719 electrode, the reorganization of energy levels between CdS and N719 forms a stepwise structure of band-edge levels which is advantageous to the electron injection and hole recovery of CdS and N719 QDs. The open circuit voltage (Voc, short circuit current density (Jsc, and efficiency are increased.

  1. Co-sensitization of ZnO by CdS quantum dots in natural dye-sensitized solar cells with polymeric electrolytes to improve the cell stability

    Energy Technology Data Exchange (ETDEWEB)

    Junhom, W.; Magaraphan, R. [Polymer Processing and Polymer Nanomaterials Research Unit, Petroleum and Petrochemical College, Chulalongkorn University, Bangkok (Thailand)

    2015-05-22

    The CdS quantum dots (QDs) were deposited on ZnO layer by chemical bath deposition method to absorb light in the shorter wavelength region and used as photoanode in the dye sensitized solar cell (DSSCs) with natural dye extracted from Noni leaves. Microstructures of CdS-ZnO from various dipping time were characterized by XRD, FE-SEM and EDX. The results showed that the CdS is hexagonal structure and the amount of CdS increases when the dipping time increases. The maximal conversion efficiency of 0.292% was achieved by the DSSCs based on CdS QDs-sensitized ZnO film obtained from 9 min-dipping time. Furthermore, the stability of DSSCs was improved by using polymeric electrolyte. Poly (acrylic acid) (PAA) and Polyacrylamide (PAM) were introduced to CdS QDs-sensitized ZnO film from 9 min-dipping time. Each polymeric electrolyte was prepared by swelling from 0.1-2.0 %w in H2O. The maximal conversion efficiency of 0.207% was achieved for DSSCs based on CdS QDs-sensitized ZnO film with PAM 1.0% and the conversion efficiency was decreased 25% when it was left for1 hr.

  2. Enhanced charge collection and photocatalysis performance of CdS and PbS nanoclusters co-sensitized TiO{sub 2} porous film

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao; Xu, Yanyan; Gong, Zezhou; Tao, Jiajia [School of Physics & Material Science, Anhui University, Hefei 230601 (China); Sun, Zhaoqi, E-mail: szq@ahu.edu.cn [School of Physics & Material Science, Anhui University, Hefei 230601 (China); Lv, Jianguo [School of Electronic & Information Engineering, Hefei Normal University, Hefei, 230601 (China); National Laboratory for Infrared Physics, Shanghai Institute of Technical Physics, Chinese Academy of Sciences, Shanghai 200083 (China); Chen, Xiaoshuang [National Laboratory for Infrared Physics, Shanghai Institute of Technical Physics, Chinese Academy of Sciences, Shanghai 200083 (China); Jiang, Xishun [School of Physics & Material Science, Anhui University, Hefei 230601 (China); School of Mechanical & Electronic Engineering, Chuzhou University, Chuzhou, 239000 (China); He, Gang; Wang, Peihong; Meng, Fanming [School of Physics & Material Science, Anhui University, Hefei 230601 (China)

    2015-11-15

    A novel translucent TiO{sub 2} porous film was prepared through etched method. The CdS, PbS and CdS/PbS nanoclusters were imbedded on TiO{sub 2} porous film by successive ionic layer adsorption and reaction method. Microstructure, morphology, optical and photoelectron-chemical properties of the as-synthesized thin films were investigated systematically. XRD and morphology analysis showed that PbS or CdS nanoclusters have been attached to the TiO{sub 2} porous films. It was found that the energy band gap of TiO{sub 2} porous film decreased from 3.46 to 3.2 eV after sensitized with nanoclusters. The photocurrent density of ITO/TiO{sub 2} photoelectrode increased from 0.017 to 0.28 mA/cm{sup 2} after co-sensitized with CdS and PbS nanoclusters. Besides, the photoelectrode sensitized with two sorts of nanoclusters showed evident higher photocurrent density than which sensitized just one sort of nanoclusters. The photocurrent density of ITO/TiO{sub 2}/PbS and TO/TiO{sub 2}/CdS photoelectrode was 0.11 mA/cm{sup 2} and 0.22 mA/cm{sup 2} respectively. 0.28 mA/cm{sup 2} can be obtained by ITO/TiO{sub 2}/CdS/PbS photoelectrode. The results showed that the optical and photoelectrochemistry properties and phtotcatalysis performance of TiO{sub 2} porous film were greatly improved by co-sensitized with CdS and PbS nanoclusters. - Graphical abstract: When CdS and PbS were brought in the cascade structure, such a Fermi level alignment causes upward and downward shifts of the band edges for PbS and CdS, respectively. Therefore the resulting band edges for the ITO/TiO{sub 2}/CdS/PbS devices are inferred to have a stepwise structure. The elevated conduction band edge of PbS provides a higher driving force for the injection of photogenerated electrons from PbS to CdS as well as the injection of excited holes from CdS to PbS. Such a structure offers efficient separation and transport of the excited electrons and holes. - Highlights: • Ti films were obtained from direct current

  3. Electrostatically self-assembled films containing II-VI semiconductor nanoparticles: Optical and electrical properties

    International Nuclear Information System (INIS)

    Suryajaya; Nabok, A.V.; Tsargorodskaya, A.; Hassan, A.K.; Davis, F.

    2008-01-01

    CdS and ZnS semiconducting colloid nanoparticles were deposited as thin films using the technique of electrostatic self-assembly. The process of alternative deposition of Poly-allylamine Hydrochloride (PAH) and CdS (or ZnS) layers were monitored with a novel optical method of total internal reflection ellipsometry (TIRE). The fitting of TIRE spectra allowed the evaluation of the parameter (thickness, refractive index and extinction coefficients) of all consecutively deposited layers. I-V characteristics of the films obtained were studied in sandwich structures on Indium Tin Oxide (ITO) conductive electrodes using the mercury probe technique. The presence of CdS (or ZnS) nanoparticles in the polyelectrolyte films leads to a switching behaviour, which may be attributed to the resonance electron tunneling via semiconducting nanoparticles

  4. Effect of the nature of cationic precursors for SILAR deposition on the performance of CdS and PbS/CdS quantum dot-sensitized solar cells

    International Nuclear Information System (INIS)

    Liu, Yingbo; Li, Zhen; Yu, Libo; Sun, Shuqing

    2015-01-01

    In this work, the influences of cationic precursors on the quality of photoelectrode, consequently on the performance of the quantum dot-sensitized solar cells (QDSCs) have been studied. CdS QDSCs have been prepared using successive ionic layer absorption and reaction (SILAR) method. Three cadmium precursors including nitrate (Cd(NO 3 ) 2 ), chloride (CdCl 2 ), and acetate (Cd(Ac) 2 ) were employed for the synthesis and absorption of CdS nanoparticles on nanostructure TiO 2 film. The loading amount and nanoparticle size of the CdS on mesoporous TiO 2 film showed a significant difference while using various cadmium precursors in the same SILAR cycles. Both the light-harvesting ability and the obtained incident photon-to-current conversion efficiency values show the trend of deposition rate caused by cadmium precursors. Further, it was proposed that an effective cationic precursor could provide a good connection between QD sensitizer and TiO 2 interface by electrochemical impedance spectroscopy analysis. Under AM 1.5 G full one sun illumination, the final power conversion efficiency of CdS QDSC based on Cd(Ac) 2 was 2.10 %, and PCE values of 1.57 and 1.20 % were obtained for solar cells sensitized by CdS QDs prepared by CdCl 2 and Cd(NO 3 ) 2 , respectively. The cationic precursor effect was further applied in PbS/CdS co-sensitized solar cells. The PbS/CdS QDSCs based on acetate cationic precursors provide a photocurrent of 19.24 mA/cm 2 and PCE of 3.23 % in comparison with 11.26 mA and 2.13 % obtained with nitrate acetate salts. Noticeably, the CdS and PbS/CdS QDSCs based on various cationic precursors prepared by SILAR exhibited good photocurrent stability under several light on–off cycles

  5. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.; Mejia, I.; Hovarth, J.; Alshareef, Husam N.; Cha, D. K.; Ramirez-Bon, R.; Gnade, B. E.; Quevedo-Lopez, M. A.

    2010-01-01

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  6. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.

    2010-06-29

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  7. Characterization of nanostructured photosensitive (NiS)x(CdS)(1-x) composite thin films grown by successive ionic layer adsorption and reaction (SILAR) route

    International Nuclear Information System (INIS)

    Ubale, A.U.; Bargal, A.N.

    2011-01-01

    Highlights: → Thin films of (NiS) x (CdS) (1-x) with variable composition (x = 1 to 0) were deposited onto glass substrates by the successive ionic layer adsorption and reaction (SILAR) method. → The structural, surface morphological and electrical characterizations of the as deposited and annealed films were studied. → The bandgap and activation energy of annealed (NiS) x (CdS) (1-x) film decrease with improvement in photosensitive nature. -- Abstract: Recently ternary semiconductor nanostructured composite materials have attracted the interest of researchers because of their photovoltaic applications. Thin films of (NiS) x (CdS) (1-x) with variable composition (x = 1-0) had been deposited onto glass substrates by the successive ionic layer adsorption and reaction (SILAR) method. As grown and annealed films were characterised by X-ray diffraction, scanning electron microscopy and EDAX to investigate structural and morphological properties. The (NiS) x (CdS) (1-x) films were polycrystalline in nature having mixed phase of rhombohedral and hexagonal crystal structure due to NiS and CdS respectively. The optical and electrical properties of (NiS) x (CdS) (1-x) thin films were studied to determine compsition dependent bandgap, activation energy and photconductivity. The bandgap and activation energy of annealed (NiS) x (CdS) (1-x) film decrease with improvement in photosensitive nature.

  8. Improved growth of solution-deposited thin films on polycrystalline Cu(In,Ga)Se{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Witte, Wolfram; Hariskos, Dimitrios [Zentrum fuer Sonnenenergie- und Wasserstoff-Forschung Baden-Wuerttemberg (ZSW), 70565, Stuttgart (Germany); Abou-Ras, Daniel [Helmholtz-Zentrum Berlin fuer Materialien und Energie, 14109, Berlin (Germany)

    2016-04-15

    CdS and Zn(O,S) grown by chemical bath deposition (CBD) are well established buffer materials for Cu(In,Ga)Se{sub 2} (CIGS) solar cells. As recently reported, a non-contiguous coverage of CBD buffers on CIGS grains with {112} surfaces can be detected, which was explained in terms of low surface energies of the {112} facets, leading to deteriorated wetting of the chemical solution on the CIGS surface. In the present contribution, we report on the effect of air annealing of CIGS thin films prior to the CBD of CdS and Zn(O,S) layers. In contrast to the growth on the as-grown CIGS layers, these buffer lay- ers grow densely on the annealed CIGS layer, even on grains with {112} surfaces. We explain the different growth behavior by increased surface energies of CIGS grains due to the annealing step, i.e., due to oxidation of the CIGS surface. Reference solar cells were processed and completed by i-ZnO/ZnO:Al layers for CdS and by (Zn,Mg)O/ZnO:Al for Zn(O,S) buffers. For solar cells with both, CdS and Zn(O,S) buffers, air-annealed CIGS films with improved buffer coverage resulted in higher power-conversion efficiencies, as compared with the devices containing as-grown CIGS layers. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Zinc sulfide thin films deposited by RF reactive sputtering for photovoltaic applications

    International Nuclear Information System (INIS)

    Shao Lexi; Chang, K.-H.; Hwang, H.-L.

    2003-01-01

    Zinc sulfide (ZnS) thin films with nano-scale grains of about 50 nm were deposited on glass substrates at a substrate temperature of 200 deg. C via RF reactive sputtering by using zinc plate target and hydrogen sulfide gas. The structure, compositions, electrical and optical characteristics of the deposited films were investigated for the photovoltaic device applications. All films showed a near stoichiometric composition as indicated in their AES data. Distinct single crystalline phase with preferential orientation along the (0 0 0 1) plane of wurtzite or the (1 1 1) plane of zinc blende (ZB) was revealed in their X-ray diffraction (XRD) patterns, and the spacing of the planes are well matched to those of (1 1 2) plane of the chalcopyrite CuInS 2 (CIS). UV-Vis measurement showed that the films had more than 65% transmittance in the wavelength larger than 350 nm, and the fundamental absorption edge shifted to shorter wavelength with the increase of sulfur incorporated in the films, which corresponds to an increase in the energy band gap ranging from 3.59 to 3.72 eV. It was found that ZnS films are suitable for use as the buffer layer of the CIS solar cells, and it is the viable alternative for replacing CdS in the photovoltaic cell structure

  10. Photovoltammetric behavior and photoelectrochemical determination of p-phenylenediamine on CdS quantum dots and graphene hybrid film

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Yuhan; Yan, Kai; Liu, Yong; Zhang, Jingdong, E-mail: zhangjd@mail.hust.edu.cn

    2015-07-16

    Highlights: • Photovoltammetric behavior of PPD on CdS–GS hybrid film was studied. • GS doped in CdS greatly improved the photoelectrochemical response of PPD. • CV of PPD on CdS–GS film became a sigmoidal shape under photoirradiation. • Novel photoelectrochemical strategy for PPD determination was developed. - Abstract: A photoelectroactive film composed of CdS quantum dots and graphene sheets (GS) was coated on F-doped SnO{sub 2} (FTO) conducting glass for studying the electrochemical response of p-phenylenediamine (PPD) under photoirradiation. The result indicated that the cyclic voltammogram of PPD on CdS–GS hybrid film became sigmoidal in shape after exposed under visible light, due to the photoelectrocatalytic reaction. Such a photovoltammetric response was used to rapidly optimize the photoelectrocatalytic activity of hybrid films composed of different ratios of CdS to GS toward PPD. The influences of scan rate and pH on the photovoltammetric behavior of PPD on CdS–GS film revealed that although the controlled step for electrochemical process was not changed under photoirradiation, more electrons than protons might participate the photoelectrocatalytic process. Furthermore, the photoelectroactive CdS–GS hybrid film was explored for PPD determination based on the photocurrent response of film toward PPD. Under optimal conditions, the photocurrent signal on CdS–GS film was linearly proportional to the concentration of PPD ranging from 1.0 × 10{sup −7} to 3.0 × 10{sup −6} mol L{sup −1}, with a detection limit (3S/N) of 4.3 × 10{sup −8} mol L{sup −1}. Our work based on CdS–GS hybrid film not only demonstrated a new facile photovoltammetric way to study the photoinduced electron transfer process of PPD, but also developed a sensitive photoelectrochemical strategy for PPD determination.

  11. Correlation between surface phonon mode and luminescence in nanocrystalline CdS thin films: An effect of ion beam irradiation

    International Nuclear Information System (INIS)

    Kumar, Pragati; Agarwal, Avinash; Saxena, Nupur; Singh, Fouran; Gupta, Vinay

    2014-01-01

    The influence of swift heavy ion irradiation (SHII) on surface phonon mode (SPM) and green emission in nanocrystalline CdS thin films grown by chemical bath deposition is studied. The SHII of nanocrystalline CdS thin films is carried out using 70 MeV Ni ions. The micro Raman analysis shows that asymmetry and broadening in fundamental longitudinal optical (LO) phonon mode increases systematically with increasing ion fluence. To analyze the role of phonon confinement, spatial correlation model (SCM) is fitted to the experimental data. The observed deviation of SCM to the experimental data is further investigated by fitting the micro Raman spectra using two Lorentzian line shapes. It is found that two Lorentzian functions (LFs) provide better fitting than SCM fitting and facilitate to identify the contribution of SPM in the observed distortion of LO mode. The behavior of SPM as a function of ion fluence is studied to correlate the observed asymmetry (Γ a /Γ b ) and full width at half maximum of LO phonon mode and to understand the SHII induced enhancement of SPM. The ion beam induced interstitial and surface state defects in thin films, as observed by photoluminescence (PL) spectroscopy studies, may be the underlying reason for enhancement in SPM. PL studies also show enhancement in green luminescence with increase in ion fluence. PL analysis reveals that the variation in population density of surface state defects after SHII is similar to that of SPM. The correlation between SPM and luminescence and their dependence on ion irradiation fluence is explained with the help of thermal spike model.

  12. Chemical synthesis of CdS onto TiO2 nanorods for quantum dot sensitized solar cells

    Science.gov (United States)

    Pawar, Sachin A.; Patil, Dipali S.; Lokhande, Abhishek C.; Gang, Myeng Gil; Shin, Jae Cheol; Patil, Pramod S.; Kim, Jin Hyeok

    2016-08-01

    A quantum dot sensitized solar cell (QDSSC) is fabricated using hydrothermally grown TiO2 nanorods and successive ionic layer adsorption and reaction (SILAR) deposited CdS. Surface morphology of the TiO2 films coated with different SILAR cycles of CdS is examined by Scanning Electron Microscopy which revealed aggregated CdS QDs coverage grow on increasing onto the TiO2 nanorods with respect to cycle number. Under AM 1.5G illumination, we found the TiO2/CdS QDSSC photoelectrode shows a power conversion efficiency of 1.75%, in an aqueous polysulfide electrolyte with short-circuit photocurrent density of 4.04 mA/cm2 which is higher than that of a bare TiO2 nanorods array.

  13. Effect of the nature of cationic precursors for SILAR deposition on the performance of CdS and PbS/CdS quantum dot-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yingbo; Li, Zhen; Yu, Libo; Sun, Shuqing, E-mail: sunshuqing@tju.edu.cn [Tianjin University, Department of Chemistry (China)

    2015-03-15

    In this work, the influences of cationic precursors on the quality of photoelectrode, consequently on the performance of the quantum dot-sensitized solar cells (QDSCs) have been studied. CdS QDSCs have been prepared using successive ionic layer absorption and reaction (SILAR) method. Three cadmium precursors including nitrate (Cd(NO{sub 3}){sub 2}), chloride (CdCl{sub 2}), and acetate (Cd(Ac){sub 2}) were employed for the synthesis and absorption of CdS nanoparticles on nanostructure TiO{sub 2} film. The loading amount and nanoparticle size of the CdS on mesoporous TiO{sub 2} film showed a significant difference while using various cadmium precursors in the same SILAR cycles. Both the light-harvesting ability and the obtained incident photon-to-current conversion efficiency values show the trend of deposition rate caused by cadmium precursors. Further, it was proposed that an effective cationic precursor could provide a good connection between QD sensitizer and TiO{sub 2} interface by electrochemical impedance spectroscopy analysis. Under AM 1.5 G full one sun illumination, the final power conversion efficiency of CdS QDSC based on Cd(Ac){sub 2} was 2.10 %, and PCE values of 1.57 and 1.20 % were obtained for solar cells sensitized by CdS QDs prepared by CdCl{sub 2} and Cd(NO{sub 3}){sub 2}, respectively. The cationic precursor effect was further applied in PbS/CdS co-sensitized solar cells. The PbS/CdS QDSCs based on acetate cationic precursors provide a photocurrent of 19.24 mA/cm{sup 2} and PCE of 3.23 % in comparison with 11.26 mA and 2.13 % obtained with nitrate acetate salts. Noticeably, the CdS and PbS/CdS QDSCs based on various cationic precursors prepared by SILAR exhibited good photocurrent stability under several light on–off cycles.

  14. In-situ CdS/CdTe Heterojuntions Deposited by Pulsed Laser Deposition

    KAUST Repository

    Avila-Avendano, Jesus

    2016-04-09

    In this paper pulsed laser deposition (PLD) methods are used to study p-n CdTe/CdS heterojunctions fabricated in-situ. In-situ film deposition allows higher quality p-n interfaces by minimizing spurious contamination from the atmosphere. Morphologic and structural analyses were carried for CdTe films deposited on various substrates and different deposition conditions. The electrical characteristics and performance of the resulting p-n heterojunctions were studied as function of substrate and post-deposition anneal temperature. In-situ growth results on diodes with a rectification factor of ~ 105, an ideality factor < 2, and a reverse saturation current ~ 10-8 A. The carrier concentration in the CdTe film was in the range of ~ 1015 cm-3, as measured by C-V methods. The possible impact of sulfur diffusion from the CdS into the CdTe film is also investigated using High Resolution Rutherford Back-Scattering.

  15. In-situ CdS/CdTe Heterojuntions Deposited by Pulsed Laser Deposition

    KAUST Repository

    Avila-Avendano, Jesus; Mejia, Israel; Alshareef, Husam N.; Guo, Zaibing; Young, Chadwin; Quevedo-Lopez, Manuel

    2016-01-01

    In this paper pulsed laser deposition (PLD) methods are used to study p-n CdTe/CdS heterojunctions fabricated in-situ. In-situ film deposition allows higher quality p-n interfaces by minimizing spurious contamination from the atmosphere. Morphologic and structural analyses were carried for CdTe films deposited on various substrates and different deposition conditions. The electrical characteristics and performance of the resulting p-n heterojunctions were studied as function of substrate and post-deposition anneal temperature. In-situ growth results on diodes with a rectification factor of ~ 105, an ideality factor < 2, and a reverse saturation current ~ 10-8 A. The carrier concentration in the CdTe film was in the range of ~ 1015 cm-3, as measured by C-V methods. The possible impact of sulfur diffusion from the CdS into the CdTe film is also investigated using High Resolution Rutherford Back-Scattering.

  16. Research and development of photovoltaic power system. Research on low temperature deposition of polycrystalline thin films; Taiyoko hatsuden system no kenkyu kaihatsu. Teion seimaku gijutsu no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    Sato, K [Tokyo Univ. of Agriculture and Technology, Tokyo (Japan). Faculty of Technology

    1994-12-01

    This paper reports the result obtained during fiscal 1994 on research on a technology of low temperature deposition of polycrystalline thin films for solar cells. This research used ITO coated glass substrates, on which CdS was accumulated to a thickness of about 100 nm by using the CBD process, and over this deposition a CuInSe2 film was formed at 300{degree}C by using the ion cluster beam (ICB) process. The manufactured solar cells with a glass/ITO/CdS/CuInSe2/Au structure had an efficiency of 2%. In manufacturing a CuGaSe2 thin film by using the ICB process, effects of acceleration voltage relative to cluster beams and ionization current were investigated. It was found that the film morphology, the result of analysis by using X-ray diffraction, and the electric conductivity are sensitive to the ionization current. From these findings, an optimum film forming condition was derived. A CuGaSe2 thin film was manufactured by using the ICB process over a Cds thin film deposited by using the CDB process. Both of the surface morphology and X-ray diffraction patterns of the film did not show a large change, indicating a possibility of manufacturing cells with a reverse structure. Effects of heat treatment on CuInSe2 monocrystals were evaluated by using ESR and FTIR. 6 figs., 1 tab.

  17. Effect of sodium acetate additive in successive ionic layer adsorption and reaction on the performance of CdS quantum-dot-sensitized solar cells

    Science.gov (United States)

    Liu, I.-Ping; Chen, Liang-Yih; Lee, Yuh-Lang

    2016-09-01

    Sodium acetate (NaAc) is utilized as an additive in cationic precursors of the successive ionic layer adsorption and reaction (SILAR) process to fabricate CdS quantum-dot (QD)-sensitized photoelectrodes. The effects of the NaAc concentration on the deposition rate and distribution of QDs in mesoporous TiO2 films, as well as on the performance of CdS-sensitized solar cells are studied. The experimental results show that the presence of NaAc can significantly accelerate the deposition of CdS, improve the QD distribution across photoelectrodes, and thereby, increase the performance of solar cells. These results are mainly attributed to the pH-elevation effect of NaAc to the cationic precursors which increases the electrostatic interaction of the TiO2 film to cadmium ions. The light-to-energy conversion efficiency of the CdS-sensitized solar cell increases with increasing concentration of the NaAc and approaches a maximum value (3.11%) at 0.05 M NaAc. Additionally, an ionic exchange is carried out on the photoelectrode to transform the deposited CdS into CdS1-xSex ternary QDs. The light-absorption range of the photoelectrode is extended and an exceptional power conversion efficiency of 4.51% is achieved due to this treatment.

  18. A comparative study on CdS: PEO and CdS: PMMA nanocomposite solid films

    Energy Technology Data Exchange (ETDEWEB)

    Padmaja, S. [Thin film centre, PSG College of Technology, Coimbatore (India); Jayakumar, S., E-mail: s_jayakumar_99@yahoo.com [Department of Physics, PSG Institute of Technology and Applied Research, Coimbatore (India); Balaji, R.; Vaideki, K. [Thin film centre, PSG College of Technology, Coimbatore (India)

    2016-08-15

    Cadmium Sulphide (CdS) nanoparticles were reinforced in Poly(ethylene Oxide) (PEO) and Poly(methyl methacrylate) (PMMA) matrices by in situ technique. The presence of CdS in PEO and PMMA matrix was confirmed using X-ray photoelectron spectroscopy (XPS). Fourier Transform Infrared spectroscopy (FTIR) analysis disclosed the co-ordination of CdS in the matrices. Thermal analysis of the nanocomposites was carried out using Differential Scanning calorimetric studies (DSC). The optical studies using UV–vis spectroscopy were carried out to find the band gap of the materials and the absorption onset. The CdS particle size in the matrices was found by Effective Mass Approximation (EMA) model using the band gap values and was confirmed by TEM studies. The surface trapped emissions of the nanocomposites were observed from the photoluminescence (PL) spectra. The distribution of CdS particles in the polymer matrices were presented by Atomic force microscopic studies (AFM).

  19. A comparative study on CdS: PEO and CdS: PMMA nanocomposite solid films

    International Nuclear Information System (INIS)

    Padmaja, S.; Jayakumar, S.; Balaji, R.; Vaideki, K.

    2016-01-01

    Cadmium Sulphide (CdS) nanoparticles were reinforced in Poly(ethylene Oxide) (PEO) and Poly(methyl methacrylate) (PMMA) matrices by in situ technique. The presence of CdS in PEO and PMMA matrix was confirmed using X-ray photoelectron spectroscopy (XPS). Fourier Transform Infrared spectroscopy (FTIR) analysis disclosed the co-ordination of CdS in the matrices. Thermal analysis of the nanocomposites was carried out using Differential Scanning calorimetric studies (DSC). The optical studies using UV–vis spectroscopy were carried out to find the band gap of the materials and the absorption onset. The CdS particle size in the matrices was found by Effective Mass Approximation (EMA) model using the band gap values and was confirmed by TEM studies. The surface trapped emissions of the nanocomposites were observed from the photoluminescence (PL) spectra. The distribution of CdS particles in the polymer matrices were presented by Atomic force microscopic studies (AFM).

  20. Effects of CdCl2 treatment on the recrystallization and electro-optical properties of CdTe thin films

    International Nuclear Information System (INIS)

    Moutinho, H.R.; Al-Jassim, M.M.; Levi, D.H.; Dippo, P.C.; Kazmerski, L.L.

    1998-01-01

    The effects of CdCl 2 processing on the physical and electro-optical properties of CdTe were evaluated for thin films produced by physical vapor deposition and close-spaced sublimation (CSS). Two substrates (CdS and Indium - tin - oxide) were used with the physical vapor deposition (PVD) films specifically to isolate the effects of the Cd(S x Te 1-x ) alloy formed during the treatment of films deposited on CdS. The samples were analyzed by x-ray diffraction (XRD), atomic force microscopy (AFM), and photoluminescence. The observed changes in microstructure were caused by recrystallization, which consisted of the nucleation and development of a new CdTe structure and subsequent grain growth. Nevertheless, for these processes to take place, it was necessary that enough lattice-strain energy was available in the films. For this reason, PVD films did recrystallize, while CSS films did not. For the first time, recrystallization was observed directly in AFM images of CdTe films and confirmed by XRD analysis, which indicated the existence of two lattice parameters in PVD samples treated at 350 degree C. For samples treated at 400 degree C, the CdCl 2 treatment improved the minority-carrier lifetime of the films by more than one order of magnitude. This improvement was attributed to the elimination of deep defect levels within the band gap of the CdTe films as a result of the treatment. The sulfur diffusion into CdTe films deposited on CdS, during the CdCl 2 treatment at 400 degree C, strongly affected the defect structure

  1. Simulation of MeV electron energy deposition in CdS quantum dots absorbed in silicate glass for radiation dosimetry

    Energy Technology Data Exchange (ETDEWEB)

    Baharin, R; Hobson, P R; Smith, D R, E-mail: ruzalina.baharin@brunel.ac.u [Centre for Sensors and Instrumentation, School of Engineering and Design, Brunel University, Uxbridge UB8 3PH (United Kingdom)

    2010-09-01

    We are currently developing 2D dosimeters with optical readout based on CdS or CdS/CdSe core-shell quantum-dots using commercially available materials. In order to understand the limitations on the measurement of a 2D radiation profile the 3D deposited energy profile of MeV energy electrons in CdS quantum-dot-doped silica glass have been studied by Monte Carlo simulation using the CASINO and PENELOPE codes. Profiles for silica glass and CdS quantum-dot-doped silica glass were then compared.

  2. Simulation of MeV electron energy deposition in CdS quantum dots absorbed in silicate glass for radiation dosimetry

    International Nuclear Information System (INIS)

    Baharin, R; Hobson, P R; Smith, D R

    2010-01-01

    We are currently developing 2D dosimeters with optical readout based on CdS or CdS/CdSe core-shell quantum-dots using commercially available materials. In order to understand the limitations on the measurement of a 2D radiation profile the 3D deposited energy profile of MeV energy electrons in CdS quantum-dot-doped silica glass have been studied by Monte Carlo simulation using the CASINO and PENELOPE codes. Profiles for silica glass and CdS quantum-dot-doped silica glass were then compared.

  3. Sulfonsuccinate (AOT Capped Pure and Mn-Doped CdS Nanoparticles

    Directory of Open Access Journals (Sweden)

    D. Venkatesan

    2012-01-01

    Full Text Available CdS nanoparticles and thin films are well known for their excellent semiconducting properties. When transition metal ions are doped into the CdS, it exhibits magnetic properties in addition to semiconducting properties and they are termed as dilute magnetic semiconductors (DMSs. In this paper, we discuss the preparation of sodium bis(2-ethylhexyl sulfonsuccinate (AOT capped CdS nanoparticles and thin films doped with magnetic impurity Mn. Sodium bis(2-ethulexyl sulfonsuccinate (AOT, capping agent promotes the uniform formation of nanoparticles. Optical characterizations are made using the UV-Vis spectrometer, PL, and FTIR. XRD shows the hexagonal structure of the CdS. SEM images and EDS measurements were made for the thin films. EPR shows the clear hyperfine lines corresponding to Mn2+ ion in the CdS nanoparticles.

  4. Correlation between surface phonon mode and luminescence in nanocrystalline CdS thin films: An effect of ion beam irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pragati, E-mail: pkumar.phy@gmail.com; Agarwal, Avinash [Department of Physics, Bareilly College, Bareilly 243 005, Uttar Pradesh (India); Saxena, Nupur; Singh, Fouran [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110 007 (India)

    2014-07-28

    The influence of swift heavy ion irradiation (SHII) on surface phonon mode (SPM) and green emission in nanocrystalline CdS thin films grown by chemical bath deposition is studied. The SHII of nanocrystalline CdS thin films is carried out using 70 MeV Ni ions. The micro Raman analysis shows that asymmetry and broadening in fundamental longitudinal optical (LO) phonon mode increases systematically with increasing ion fluence. To analyze the role of phonon confinement, spatial correlation model (SCM) is fitted to the experimental data. The observed deviation of SCM to the experimental data is further investigated by fitting the micro Raman spectra using two Lorentzian line shapes. It is found that two Lorentzian functions (LFs) provide better fitting than SCM fitting and facilitate to identify the contribution of SPM in the observed distortion of LO mode. The behavior of SPM as a function of ion fluence is studied to correlate the observed asymmetry (Γ{sub a}/Γ{sub b}) and full width at half maximum of LO phonon mode and to understand the SHII induced enhancement of SPM. The ion beam induced interstitial and surface state defects in thin films, as observed by photoluminescence (PL) spectroscopy studies, may be the underlying reason for enhancement in SPM. PL studies also show enhancement in green luminescence with increase in ion fluence. PL analysis reveals that the variation in population density of surface state defects after SHII is similar to that of SPM. The correlation between SPM and luminescence and their dependence on ion irradiation fluence is explained with the help of thermal spike model.

  5. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  6. Nanoparticle and nanorod films deposited by matrix assisted pulsed laser evaporation

    Science.gov (United States)

    Caricato, A. P.; Cesaria, M.; Luches, A.; Martino, M.

    2012-07-01

    The promising results obtained with the MAPLE-deposition of nanostructured thin films, to be used in different fields, are reviewed. Nanoparticles (TiO2, SnO2, CdS) and nanorods (TiO2) with well defined dimensions were suspended in appropriate solvents (distilled water, toluene) with low concentration (1wt% or less). The solutions were flash frozen at the liquid nitrogen temperature to form the targets to be laser irradiated. The MAPLE process allowed a successful transfer from the target to rough and flat substrates, preserving the starting composition and crystalline phase of the nanostructures in a wide range of experimental conditions. In contrast, a careful choice of the laser fluence is mandatory to avoid shape modifications. Growth of metal nanoparticles with a low dispersion in size was also obtained by the MAPLE technique, starting from target solutions of a metallorganic element (AcPd) diluted in different solvents (acetone, diethyl ether). It seems that selecting the solvent with appropriate values of viscosity and boiling temperatures, it is possible to modulate the nanoparticles size. Most of the deposited nanostructured films were tested as sensing elements for gas sensors.

  7. High efficiency copper indium gallium diselenide (CIGS) thin film solar cells

    Science.gov (United States)

    Rajanikant, Ray Jayminkumar

    pressure of 10-5 mbar. The thickness of the film was kept 1 mum for the solar cell device preparation. Rapid Thermal Annealing (RTA) is carried out of CIGS thin film at 500 °C for 2 minutes in the argon atmosphere. Annealing process mainly improves the grain growth of the CIGS and, hence the surface roughness, which is essential for a multilayered semiconductor structure. Thin layer of n-type highly resistive cadmium sulphide (CdS), generally known as a "buffer" layer, is deposited on CIGS layer by thermal and flash evaporation method at the substrate temperature of 100 °C. The CdS thin film plays a crucial role in the formation of the p-n junction and thus the solar cell device performance. The effect of CdS film substrate temperature ranging from 50 °C to 200 °C is observed. At the 100 °C substrate temperature, CdS thin film shows the near to 85 % of transmission in the visible region and resistivity of the order of greater then 20 x 109 Ocm, which are the essential characteristics of buffer layer. The bi-layer structure of ZnO, containing 70 nm i-ZnO and 500 nm aluminum (Al) doped ZnO, act as a transparent front-contact for CIGS thin film solar cell. These layers were deposited using RF magnetron sputtering. i-ZnO thin film acts as an insulating layer, which prevents the recombination of the photo-generated carries and also minimizes the lattice miss match defects between CdS and Al-ZnO. The resistivity of iZnO and Al-ZnO is of the order of 1012 Ocm and 10-4 Ocm, respectively. Al-ZnO thin films act as transparent conducting top electrode having transparency of about 85 % in the visible region. On Al-ZnO layer the finger-type grid pattern of silver (Ag), 200 nm thick, is deposited for the collection of photo-generated carriers. The thin film based multilayered structure Mo / CIGS / CdS / i-ZnO / Al-ZnO / Ag grid of CIGS solar cell is grown one by one on a single glass substrate. As-prepared CIGS solar cell device shows a minute photovoltaic effect. For the further

  8. Use of CdS quantum dot-functionalized cellulose nanocrystal films for anti-counterfeiting applications

    Science.gov (United States)

    Chen, L.; Lai, C.; Marchewka, R.; Berry, R. M.; Tam, K. C.

    2016-07-01

    Structural colors and photoluminescence have been widely used for anti-counterfeiting and security applications. We report for the first time the use of CdS quantum dot (QD)-functionalized cellulose nanocrystals (CNCs) as building blocks to fabricate nanothin films via layer-by-layer (LBL) self-assembly for anti-counterfeiting applications. Both negatively- and positively-charged CNC/QD nanohybrids with a high colloidal stability and a narrow particle size distribution were prepared. The controllable LBL coating process was characterized by scanning electron microscopy and ellipsometry. The rigid structure of CNCs leads to nanoporous structured films on poly(ethylene terephthalate) (PET) substrates with high transmittance (above 70%) over the entire range of visible light and also resulted in increased hydrophilicity (contact angles of ~40 degrees). Nanothin films on PET substrates showed good flexibility and enhanced stability in both water and ethanol. The modified PET films with structural colors from thin-film interference and photoluminescence from QDs can be used in anti-counterfeiting applications.Structural colors and photoluminescence have been widely used for anti-counterfeiting and security applications. We report for the first time the use of CdS quantum dot (QD)-functionalized cellulose nanocrystals (CNCs) as building blocks to fabricate nanothin films via layer-by-layer (LBL) self-assembly for anti-counterfeiting applications. Both negatively- and positively-charged CNC/QD nanohybrids with a high colloidal stability and a narrow particle size distribution were prepared. The controllable LBL coating process was characterized by scanning electron microscopy and ellipsometry. The rigid structure of CNCs leads to nanoporous structured films on poly(ethylene terephthalate) (PET) substrates with high transmittance (above 70%) over the entire range of visible light and also resulted in increased hydrophilicity (contact angles of ~40 degrees). Nanothin films

  9. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  10. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  11. Formation of a ZnS Zn S,O bilayer buffer on CuInS2 thin film solar cell absorbers by chemical bath deposition

    OpenAIRE

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; S ez Araoz, R.; Allsop, N.; Lauermann, I.; Schock, H. W.; Lux Steiner, M.C

    2006-01-01

    The application of Zn compounds as buffer layers was recently extended to wide gap CuInS2 CIS based thin film solar cells. Using a new chemical deposition route for the buffer preparation aiming at the deposition of a single layer, nominal ZnS buffer without the need for any toxic reactants such as, e.g. hydrazine, has helped to achieve a similar efficiency as respective CdS buffered reference devices. In order to shed light on the differences of other Zn compound buffers deposited in con...

  12. CdS thin films prepared by continuous wave Nd:YAG laser

    Science.gov (United States)

    Wang, H.; Tenpas, Eric W.; Vuong, Khanh D.; Williams, James A.; Schuesselbauer, E.; Bernstein, R.; Fagan, J. G.; Wang, Xing W.

    1995-08-01

    We report new results on continuous wave Nd:YAG laser deposition of cadmium sulfide thin films. Substrates were soda-lime silicate glass, silica glass, silicon, and copper coated formvar sheets. As deposited films were mixtures of cubic and hexagonal phases, with two different grain sizes. As revealed by SEM micrographs, films had smooth surface morphology. As revealed by TEM analysis, grain sizes were extremely small.

  13. Confocal Raman and PL, AFM, and X-ray diffraction studies of CdS:O thin films

    International Nuclear Information System (INIS)

    Akinori, Suzuki; Kazuki, Wakita; YongGu, Shim; Nazim, Mamedov; Ayaz, Bayramov; Emil, Huseynov

    2010-01-01

    Full text : CdS has much attention as a window material of thin-film solar cells, for example a CdTe solar cell. In this case, increasing band gap of CdS films leads to rise of conversion efficiency of a solar cell. Recently, it was reported that CdS:O films deposited by rf magnetron sputtering consist of nano-crystals of CdS resulting in increasing the band gap. This work reports confocal Raman and photoluminescence (PL), atomic force microscopy (AFM), and X-ray diffraction studies of CdS:O films deposited by cathode sputtering for formation of nano-crystal of CdS. It was shown that AFM image of CdS:O films annealed at 300, 400 and 500 degrees Celsium. The height of peak and dip on the surface is in the range of 5 and 20 nm in the samples annealed at less than 400 degrees Celsium, while the clear crystalline shape appears in the sample annealed at 500 degrees Celsium. There is also shown X-ray diffraction pattern of CdS:O films. As grown film shows amorphous structure of CdS. On the other hand, the samples annealed at 400 and 500 degrees Celsium display obvious crystalline pattern. The crystal radius of the samples annealed at 300, 400, and 500 degrees Celsium were estimated to be 20, 27, and 37 nm, respectively, according to Scherrers formula. Other results related with the confocal spectroscopy will be also presented.

  14. Structural and chemical transformations in SnS thin films used in chemically deposited photovoltaic cells

    International Nuclear Information System (INIS)

    Avellaneda, David; Delgado, Guadalupe; Nair, M.T.S.; Nair, P.K.

    2007-01-01

    Chemically deposited SnS thin films possess p-type electrical conductivity. We report a photovoltaic structure: SnO 2 :F-CdS-SnS-(CuS)-silver print, with V oc > 300 mV and J sc up to 5 mA/cm 2 under 850 W/m 2 tungsten halogen illumination. Here, SnO 2 :F is a commercial spray-CVD (Pilkington TEC-8) coating, and the rest deposited from different chemical baths: CdS (80 nm) at 333 K, SnS (450 nm) and CuS (80 nm) at 293-303 K. The structure may be heated in nitrogen at 573 K, before applying the silver print. The photovoltaic behavior of the structure varies with heating: V oc ∼ 400 mV and J sc 2 , when heated at 423 K in air, but V oc decreases and J sc increases when heated at higher temperatures. These photovoltaic structures have been found to be stable over a period extending over one year by now. The overall cost of materials, simplicity of the deposition process, and possibility of easily varying the parameters to improve the cell characteristics inspire further work. Here we report two different baths for the deposition of SnS thin films of about 500 nm by chemical deposition. There is a considerable difference in the nature of growth, crystalline structure and chemical stability of these films under air-heating at 623-823 K or while heating SnS-CuS layers, evidenced in XRF and grazing incidence angle XRD studies. Heating of SnS-CuS films results in the formation of SnS-Cu x SnS y . 'All-chemically deposited photovoltaic structures' involving these materials are presented

  15. Photoelectrochemical and Raman characterization of nanocrystalline CdS grown on ZnO by successive ionic layer adsorption and reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Kozytskiy, A.V. [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 Nauky Av., 03028 Kyiv (Ukraine); Stroyuk, O.L., E-mail: stroyuk@inphyschem-nas.kiev.ua [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 Nauky Av., 03028 Kyiv (Ukraine); Kuchmiy, S.Ya. [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 Nauky Av., 03028 Kyiv (Ukraine); Mazanik, A.V.; Poznyak, S.K. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Streltsov, E.A., E-mail: streltea@bsu.by [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Kulak, A.I., E-mail: kulak@igic.bas-net.by [Institute of General and Inorganic Chemistry, National Academy of Sciences of Belarus, Surganova str., 9/1, Minsk 220072 (Belarus); Korolik, O.V. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Dzhagan, V.M., E-mail: dzhagan@isp.kiev.ua [V.E. Lashkaryov Institute of Semiconductor Physics of National Academy of Sciences of Ukraine, 41 Nauky Av., 03028 Kyiv (Ukraine)

    2014-07-01

    Properties of CdS nanoparticles (NPs) grown by successive ionic layer adsorption and reaction (SILAR) method on the surface of electrodeposited ZnO films were studied by Raman, photocurrent and UV–Vis absorption spectroscopies. The CdS nanoparticles deposited at a SILAR cycle number (N) from 5 to 10 exhibit a broadening of the band gap (E{sub g}) by 0.17–0.31 eV as compared with that of the CdS particles grown at N = 30. The size quantization of the interband transition energy in CdS nanoparticles is in accordance with the Raman spectroscopic data demonstrating a considerable increase in the LO peak intensity with increasing the N from 5 to 10 as a result of transition to resonant light scattering. The spectral width of the LO peak decreases from 50 to 15 cm{sup −1} as the N increases from 5 to 30 reflecting a less pronounced effect of the nanoparticle surface on the phonon scattering. A large spectral width of the Raman peaks is assumed to originate from a complex structure of the CdS nanoparticles comprising crystallinity domains that can affect the phonon confinement. The photocurrent spectroscopy of ZnO/CdS heterostructures showed that the band gap of CdS NPs deposited at N > 20 is smaller by ∼ 0.08 eV than that of bulk cadmium sulfide. It was concluded that this effect is not associated with photoexcitation of structural defects but rather reflects intrinsic electronic properties of SILAR-deposited CdS nanoparticles. - Highlights: • Visible-light-sensitive ZnO/CdS heterostructures were prepared by SILAR. • A large Raman peak width originates from a complex structure of CdS nanoparticles. • Vibrational properties of CdS nanoparticles depend on SILAR cycle number.

  16. Photoelectrochemical and Raman characterization of nanocrystalline CdS grown on ZnO by successive ionic layer adsorption and reaction method

    International Nuclear Information System (INIS)

    Kozytskiy, A.V.; Stroyuk, O.L.; Kuchmiy, S.Ya.; Mazanik, A.V.; Poznyak, S.K.; Streltsov, E.A.; Kulak, A.I.; Korolik, O.V.; Dzhagan, V.M.

    2014-01-01

    Properties of CdS nanoparticles (NPs) grown by successive ionic layer adsorption and reaction (SILAR) method on the surface of electrodeposited ZnO films were studied by Raman, photocurrent and UV–Vis absorption spectroscopies. The CdS nanoparticles deposited at a SILAR cycle number (N) from 5 to 10 exhibit a broadening of the band gap (E g ) by 0.17–0.31 eV as compared with that of the CdS particles grown at N = 30. The size quantization of the interband transition energy in CdS nanoparticles is in accordance with the Raman spectroscopic data demonstrating a considerable increase in the LO peak intensity with increasing the N from 5 to 10 as a result of transition to resonant light scattering. The spectral width of the LO peak decreases from 50 to 15 cm −1 as the N increases from 5 to 30 reflecting a less pronounced effect of the nanoparticle surface on the phonon scattering. A large spectral width of the Raman peaks is assumed to originate from a complex structure of the CdS nanoparticles comprising crystallinity domains that can affect the phonon confinement. The photocurrent spectroscopy of ZnO/CdS heterostructures showed that the band gap of CdS NPs deposited at N > 20 is smaller by ∼ 0.08 eV than that of bulk cadmium sulfide. It was concluded that this effect is not associated with photoexcitation of structural defects but rather reflects intrinsic electronic properties of SILAR-deposited CdS nanoparticles. - Highlights: • Visible-light-sensitive ZnO/CdS heterostructures were prepared by SILAR. • A large Raman peak width originates from a complex structure of CdS nanoparticles. • Vibrational properties of CdS nanoparticles depend on SILAR cycle number

  17. Defects in Cu(InGa)Se2/CdS heterostructure films induced by hydrogen ion implantation

    International Nuclear Information System (INIS)

    Yakushev, M.V.; Tomlinson, R.D.; Hill, A.E.; Pilkington, R.D.; Mudryi, A.V.; Bondar, I.V.; Victorov, I.A.; Gremenok, V.F.; Shakin, I.A.; Patuk, A.I.

    1999-01-01

    The influence of H + ion implantation on the photoluminescence properties of Cu(InGa)Se 2 /CdS heterostructures has been studied. This treatment was found to increase the photoluminescence intensity of donor-acceptor band at 1.13 eV because of the passivation by hydrogen atoms of the non-radiative recombination centers on the boundary of Cu(InGa)Se 2 and CdS layers. Two broad bands peaks at 0.96 eV and at 0.82 eV in photoluminescence spectra of ion-implanted Cu(InGa)Se 2 films have been found. The tentative model to explain the origin of the broad photoluminescence bands has been discussed

  18. Nanocrystalline CdS{sub 1−x}Se{sub x} alloys as thin films prepared by chemical bath deposition: Effect of x on the structural and optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Ramirez, E.A. [Escuela Superior de Ingeniería Química e Industrias Extractivas, Instituto Politécnico Nacional, CP 07738, México D.F. (Mexico); Hernandez-Perez, M.A., E-mail: mhernandezp0606@ipn.mx [Escuela Superior de Ingeniería Química e Industrias Extractivas, Instituto Politécnico Nacional, CP 07738, México D.F. (Mexico); Aguilar-Hernandez, J. [Escuela Superior de Física y Matemáticas, Instituto Politécnico Nacional, CP 07738, México D.F. (Mexico); Rangel-Salinas, E. [Escuela Superior de Ingeniería Química e Industrias Extractivas, Instituto Politécnico Nacional, CP 07738, México D.F. (Mexico)

    2014-12-05

    Highlights: • CdS1−xSe{sub x} films with tunable structural and optical properties were grown by CBD. • Thin films are composed by a solid solution of the CdS{sub 1−x}Se{sub x} ternary alloy. • Crystal size, band gap and photoluminescence signal, decrease with the composition. • Ternary alloys show hexagonal phase with preferential orientation on (0 0 2) plane. • Films with x ⩾ 0.5 show semi-spherical grains composed by nanoworms structures. - Abstract: CdS{sub 1−x}Se{sub x} thin films were deposited on Corning glass substrates at 75 °C by chemical bath deposition (CBD) varying the composition “x” from 0 to 1 at a constant deposition time of 120 min. The composition of the films was adjusted by modifying the concentration as well as the ratio of the precursors. The morphological, compositional, structural and optical properties of the films were analyzed using several techniques such as Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), X-ray Diffraction (XRD), UV–Vis Spectroscopy (UV–Vis) and Photoluminescence (PL). The films grow as layers following the ion by ion mechanism, the density of the films decreases with x. Films are constituted by clusters (100–600 nm in diameter) of semispherical particles with sizes fluctuating from 10 to 20 nm. For x ⩾ 0.5 the particles are well-arranged in a “worm-like” structure. All the films are polycrystalline, to x = 0 (CdS) the cubic phase is present, the increase of composition promotes the formation of hexagonal phase or a mixture of both cubic and hexagonal phases. Preferential orientation in the (1 0 0) or (0 0 2) plane is observed. The crystal size decreases from 20 to 6 nm when x is increased. The optical properties can be easily tuned by adjusting the composition. Optical absorption analysis shows that the band gap (E{sub g}) value shifts to red in function of x (from 2.47 to 1.99 eV). Photoluminescence signal changes as “x” varies showing a regular behavior

  19. Laser ablation and deposition of wide bandgap semiconductors: plasma and nanostructure of deposits diagnosis

    Science.gov (United States)

    Sanz, M.; López-Arias, M.; Rebollar, E.; de Nalda, R.; Castillejo, M.

    2011-12-01

    Nanostructured CdS and ZnS films on Si (100) substrates were obtained by nanosecond pulsed laser deposition at the wavelengths of 266 and 532 nm. The effect of laser irradiation wavelength on the surface structure and crystallinity of deposits was characterized, together with the composition, expansion dynamics and thermodynamic parameters of the ablation plume. Deposits were analyzed by environmental scanning electron microscopy, atomic force microscopy and X-ray diffraction, while in situ monitoring of the plume was carried out with spectral, temporal and spatial resolution by optical emission spectroscopy. The deposits consist of 25-50 nm nanoparticle assembled films but ablation in the visible results in larger aggregates (150 nm) over imposed on the film surface. The aggregate free films grown at 266 nm on heated substrates are thicker than those grown at room temperature and in the former case they reveal a crystalline structure congruent with that of the initial target material. The observed trends are discussed in reference to the light absorption step, the plasma composition and the nucleation processes occurring on the substrate.

  20. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  1. Influence of wide band gap oxide substrates on the photoelectrochemical properties and structural disorder of CdS nanoparticles grown by the successive ionic layer adsorption and reaction (SILAR) method.

    Science.gov (United States)

    Malashchonak, Mikalai V; Mazanik, Alexander V; Korolik, Olga V; Streltsov, Еugene А; Kulak, Anatoly I

    2015-01-01

    The photoelectrochemical properties of nanoheterostructures based on the wide band gap oxide substrates (ZnO, TiO2, In2O3) and CdS nanoparticles deposited by the successive ionic layer adsorption and reaction (SILAR) method have been studied as a function of the CdS deposition cycle number (N). The incident photon-to-current conversion efficiency (IPCE) passes through a maximum with the increase of N, which is ascribed to the competition between the increase in optical absorption and photocarrier recombination. The maximal IPCE values for the In2O3/CdS and ZnO/CdS heterostructures are attained at N ≈ 20, whereas for TiO2/CdS, the appropriate N value is an order of magnitude higher. The photocurrent and Raman spectroscopy studies of CdS nanoparticles revealed the occurrence of the quantum confinement effect, demonstrating the most rapid weakening with the increase of N in ZnO/CdS heterostructures. The structural disorder of CdS nanoparticles was characterized by the Urbach energy (E U), spectral width of the CdS longitudinal optical (LO) phonon band and the relative intensity of the surface optical (SO) phonon band in the Raman spectra. Maximal values of E U (100-120 meV) correspond to СdS nanoparticles on a In2O3 surface, correlating with the fact that the CdS LO band spectral width and intensity ratio for the CdS SO and LO bands are maximal for In2O3/CdS films. A notable variation in the degree of disorder of CdS nanoparticles is observed only in the initial stages of CdS growth (several tens of deposition cycles), indicating the preservation of the nanocrystalline state of CdS over a wide range of SILAR cycles.

  2. Influence of wide band gap oxide substrates on the photoelectrochemical properties and structural disorder of CdS nanoparticles grown by the successive ionic layer adsorption and reaction (SILAR method

    Directory of Open Access Journals (Sweden)

    Mikalai V. Malashchonak

    2015-11-01

    Full Text Available The photoelectrochemical properties of nanoheterostructures based on the wide band gap oxide substrates (ZnO, TiO2, In2O3 and CdS nanoparticles deposited by the successive ionic layer adsorption and reaction (SILAR method have been studied as a function of the CdS deposition cycle number (N. The incident photon-to-current conversion efficiency (IPCE passes through a maximum with the increase of N, which is ascribed to the competition between the increase in optical absorption and photocarrier recombination. The maximal IPCE values for the In2O3/CdS and ZnO/CdS heterostructures are attained at N ≈ 20, whereas for TiO2/CdS, the appropriate N value is an order of magnitude higher. The photocurrent and Raman spectroscopy studies of CdS nanoparticles revealed the occurrence of the quantum confinement effect, demonstrating the most rapid weakening with the increase of N in ZnO/CdS heterostructures. The structural disorder of CdS nanoparticles was characterized by the Urbach energy (EU, spectral width of the CdS longitudinal optical (LO phonon band and the relative intensity of the surface optical (SO phonon band in the Raman spectra. Maximal values of EU (100–120 meV correspond to СdS nanoparticles on a In2O3 surface, correlating with the fact that the CdS LO band spectral width and intensity ratio for the CdS SO and LO bands are maximal for In2O3/CdS films. A notable variation in the degree of disorder of CdS nanoparticles is observed only in the initial stages of CdS growth (several tens of deposition cycles, indicating the preservation of the nanocrystalline state of CdS over a wide range of SILAR cycles.

  3. Chemically deposited TiO2/CdS bilayer system for ...

    Indian Academy of Sciences (India)

    FTO/TiO2/CdS bilayers system showed improved performance of PEC properties over individual ... form distribution of nanocrystalline CdS on TiO2 and this is unfavourable for the ... (TEA), the pH of the solution maintained at 12·0 by drop.

  4. Investigation of CdS/InP heterojunction prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Rakovics, V.; Horvath, Zs.J.; Horvath, Zs.E.; Barsony, I.; Frigeri, C.; Besagni, T.

    2007-01-01

    CdS thin films have been deposited on InP and glass substrates using the chemical bath deposition technique. Baths containing CdSO 4 , thiourea, and NH 3 were used. The temperature of the deposition process was 65 C and the duration of deposition varied between 20 and 160 minutes. The properties of the CdS/InP heterojunction were investigated by TEM, EDS and X-ray diffraction. TEM pictures, EDS and X-ray rocking curves indicate the formation of a β-In 2 S 3 transition layer at the InP-CdS interface, which may reduce the lattice mismatch between InP and CdS. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. The morphology, microstructure, and luminescent properties of CdS/CdTe films

    Energy Technology Data Exchange (ETDEWEB)

    Al-Jassim, M.M.; Dhere, R.G.; Jones, K.M.; Hasoon, F.S.; Sheldon, P. [National Renewable Energy Lab., Golden, CO (United States)

    1998-09-01

    This paper is concerned with the characterization of CdS/CdTe polycrystalline thin films for solar cells. The morphology, microstructure, and luminescent properties are studied by a powerful array of characterization techniques. The presence of pinholes in 100-nm thick CdS is observed. The microstructure of CdS and CdTe films is shown to be heavily faulted polycrystalline. The effect of deposition temperature on the grain size and the microstructure is investigated. The interdiffusion of sulfur and tellurium at the CdS/CdTe interface is studied for the first time by a nanoprobe technique. Considerable amount of sulfur is detected in CdTe in the vicinity of the interface of samples deposited at 625 C. The recombination behavior of grain boundaries and intragrain defects is investigated in as-deposited and heat-treated samples.

  6. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  7. Synthesis and characterization of TiO2/CdS core–shell nanorod arrays and their photoelectrochemical property

    International Nuclear Information System (INIS)

    Cao Chunlan; Hu Chenguo; Shen Weidong; Wang, Shuxia; Tian Yongshu; Wang Xue

    2012-01-01

    Highlights: ► TiO 2 /CdS core–shell nanorod arrays were fabricated by spin-SILAR method. ► The enhanced photocurrent was found in the TiO 2 /CdS core–shell nanorod arrays. ► The CdS coated on TiO 2 increases the e-h separation and enlarges light absorption range. - Abstract: TiO 2 /CdS core–shell nanorod arrays have been fabricated via a two-step method. Vertically aligned TiO 2 nanorod arrays (NRs) were synthesized by a facile hydrothermal method, and followed by depositing CdS nanoparticles on TiO 2 NRs by spin-coating successive ion layer adsorption and reaction (spin-SILAR) method. The surface morphology, structure, optical and photoelectrochemical behaviors of the core–shell NRs films are considered. The UV–vis absorption spectrum results suggested that the absorption peak of the TiO 2 /CdS core–shell NRs shifts from the ultraviolet region to the visible region in comparison to that of the pure TiO 2 NRs. The obviously enhanced photoelectrochemical (PEC) performances of the heterojunction NRs were found under illumination of the simulated sunlight in comparison with that of the TiO 2 NRs. The enhanced PEC performance and formation mechanism of TiO 2 /CdS core–shell NRs were discussed in detail.

  8. Effect of TEA on characteristics of CdS/PbS thin film solar cells prepared by CBD

    Directory of Open Access Journals (Sweden)

    Sattarian H.

    2016-09-01

    Full Text Available In this study, a solar cell with a glass/ITO/CdS/PbS/Al structure was constructed. Both window (CdS and absorption (PbS layers were deposited by chemical bath deposition (CBD method. The CdS window layer was deposited on ITO-glass. The PbS nanocrystalline thin film was prepared with and without triethanolamine on CdS films at bath temperature of 25 °C. CdS and PbS nanocrystals were identified using XRD and SEM. The cells are photosensitive in a large spectral range (at visible and near infrared regions. The cell with absorbing layer obtained from the bath without TEA has higher efficiency with the following parameters: the open circuit voltage (Voc is 275 mV, short circuit current (Jsc is 12.24 mA/cm2, maximum voltage (Vmax is 165 mV and maximum current (Jmax is 7.11 mA/cm2 with the efficiency η = 1.31 %, fill factor FF is 32 % under the illumination intensity of 90 mW/cm2. The cells have an area of 0.15 cm2.

  9. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  10. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  11. Photovoltaic and impedance characteristics of modified SILAR grown CdS quantum dot sensitized solar cell

    International Nuclear Information System (INIS)

    Fatehmulla, Amanullah; Farooq, W. A.; Aslam, M.; Atif, M.; Ali, S.M.; Al-Dhafir, A. M.; Yakuphanoglu, F.; Yahia, I.S.

    2014-01-01

    Cadmium Sulphide (CdS) quantum dots (QDs) were deposited on nanostructured TiO 2 film using a modified Successive Ionic Layer Adsorption and Reaction (SILAR) method. Nanostructured TiO 2 on FTO glass and Platinum on FTO are used as photoelectrode and Counter electrode respectively. High resolution Transmission Electron Microscopy (HRT EM) image revealed CdS QDs adsorbed on nanostructured TiO 2 . The photovoltaic characteristics and impedance spectroscopy properties of CdS quantum dot sensitized solar cell (QDSSC) were analyzed under air mass 1.5 illuminations. At the SILAR adsorption time of 2 min (10 cycles), the QDSSC measured a short circuit current density of 2 mA/cm 2 and an open circuit voltage of 0.45 V under air mass 1.5. In a widespread frequency range, the capacitance – voltage, the conductance – voltage, the series resistance - voltage measurements were carried out for the QDSSC applications. A conduct of positive to negative capacitance was observed from the measured characteristics of capacitance - voltage which is attributed to the injection of electrons from FTO electrode into TiO 2 . Key words: Nanostructured TiO 2 , CdS QDSSC, SILAR method, photovoltaic measurements, impedance characteristic

  12. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  13. Target swapping in PLD: An efficient approach for CdS/SiO2 and CdS:Ag(1%)/SiO2 nanocomposite thin films with enhanced luminescent properties

    International Nuclear Information System (INIS)

    Saxena, Nupur; Kumar, Pragati; Gupta, Vinay

    2017-01-01

    A novel synthesis method for luminescent and by-products (like CdO) free CdS/SiO 2 and CdS:Ag(1%)/SiO 2 (i.e. 1%Ag doped CdS/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS, CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency ratio of 2:8 laser pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (i.e. 2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS/ CdS:Ag(1%) nanocrystals in nanocomposite systems after annealing at 500 °C. Highly intense and broad red emission is achieved from CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiencies of emission from pristine CdS:SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites are found to be enhanced by approximately two times as compared to sole nanocrystalline CdS and CdS:Ag(1%) thin films respectively and further enhanced upto 7 times on annealing the nanocomposite systems at 500 °C. - Graphical abstract: A modified synthesis method for luminescent and by-products (like CdO) free undoped &1% Ag doped CdS/SiO 2 (deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS or CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency of 2:8 pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS nanocrystals in nanocomposite systems after annealing at 500 °C. Intense and broad red emission is achieved from deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiency of

  14. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  15. P-MoS2 / n-CdS thin film heterojunction

    International Nuclear Information System (INIS)

    El Maliki, H.; Gourmelon, E.; Bernede, J.C.; Pouzet, J.; Mebarki, M.; Khelil, A.; Zoaeter, M.

    1999-01-01

    Full text.Layered transition metal dichacolgenides such as MoS 2 are semiconductors that can be good candidates for solar energy conversion. Photo-electrochemical cells based on single crystals have achieved and efficiency of 17% (1). However, up to day, no solid rectifying contact has been put in evidence in the case of MoS 2 thin films.. Recently we have shown that such high crystalline quality MoS 2 films can be obtained onto textured tungsten slides. This allowed to try to grow sandwich rectifying structures. The bottom electrode will be W, MoS 2 being p-type, the n type film used was CdS and the upper electrode was indium. W foils textured along the (h00) direction were used as substrate and bottom electrode. The use of a W (textured) substrate induces the texturation of the MoS 2 films along the (001) direction when after evaporation of the constituents the films were annealed at T=1073 K for half an hour under argon atmosphere. Upon the MoS 2 a CdS thin film was deposited by chemical bath deposition (CBD). CdS thin films were prepared classically from a solution of cadmium sulfate, thiourea in hydrazine and ammonia. Ammonia was used adjust the solution pH to a value between 9 and 10. Bath temperature has been held constant at 343 K. Thin deposit films of CdS were yellow colored. They were constituted of an homogenous and adherent layer with a thickness of about 100 nm. It has been shown by x-ray diffraction the they were crystallized in their hexagonal structure. At least an indium film was deposited in order to achieve the structure M/MoS 2 p/CdSn/M. In order to check the equality of the W/MoS 2 contact, W/MoS 2 /W samples were also carried out by sputtering deposition of the tungsten upper electrode (300 nm thick). The thickness of the MoS 2 layers was about 500 nm. The J-V characteristics of a W/MoS 2 /W sample are ohmic. Moreover the resistance deduced from the slope ΔV/ΔI increases when the temperature decreases, which shows that there is not any

  16. Direct current magnetron sputter-deposited ZnO thin films

    International Nuclear Information System (INIS)

    Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar

    2011-01-01

    Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.

  17. Anodic Titania Nanotube Arrays Sensitized with Mn- or Co-Doped CdS Nanocrystals

    International Nuclear Information System (INIS)

    Smith, York R.; Gakhar, Ruchi; Merwin, Augustus; Mohanty, Swomitra K.; Chidambaram, Dev; Misra, Mano

    2014-01-01

    Highlights: • Mn or Co doped CdS where synthesized and deposited onto TiO 2 nanotubular arrays. • Synthesis and deposition were achieved simultaneously using SILAR method. • Various characterization techniques demonstrate lattice incorporation of dopant. • Photoelectrochemical performance was analyzed using AM 1.5 irradiation. • Dopants increases depletion width of CdS and increase photoelectrochemical responses. - Abstract: The use of doped luminescent nanocrystals or quantum dots have mainly been explored for imaging applications; however, recently they have gained interest in solar energy conversion applications due to long electron lifetimes, tunable band gaps and emission by compositional control. In this study, we have examined the application of Mn or Co doped CdS nanocrystals as a sensitizing layer over titania nanotubular arrays synthesized via electrochemical anodization in photoelectrochemical applications. The doped and undoped CdS nanocrystals were simultaneously synthesized and deposited onto the titania surface by adoption of a successive ion layer adsorption-reaction (SILAR) method. Various characterization methods indicate lattice incorporation of the dopant within CdS. The addition of dopants to CdS was found to improve the photoelectrochemical performance by increasing the depletion width of the CdS nanocrystals and reducing recombination losses of charge carriers

  18. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  19. Chromium carbide thin films deposited by ultra-short pulse laser deposition

    International Nuclear Information System (INIS)

    Teghil, R.; Santagata, A.; De Bonis, A.; Galasso, A.; Villani, P.

    2009-01-01

    Pulsed laser deposition performed by a laser with a pulse duration of 250 fs has been used to deposit films from a Cr 3 C 2 target. Due to the different processes involved in the laser ablation when it is performed by an ultra-short pulse source instead of a conventional short pulse one, it has been possible to obtain in vacuum films containing only one type of carbide, Cr 3 C 2 , as shown by X-ray photoelectron spectroscopy. On the other hand, Cr 3 C 2 is not the only component of the films, since a large amount of amorphous carbon is also present. The films, deposited at room temperature, are amorphous and seem to be formed by the coalescence of a large number of particles with nanometric size. The film composition can be explained in terms of thermal evaporation from particles ejected from the target.

  20. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  1. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  2. Synthesis of nanocrystalline CdS thin films in PVA matrix

    Indian Academy of Sciences (India)

    TECS

    Department of Physics, Gauhati University, Guwahati 781 014, India ... matrix is relatively simple, cost effective and suitable for deposition of film on large area substrate. In the present communication, results of preparation and characterization.

  3. Controllable deposition of gadolinium doped ceria electrolyte films by magnetic-field-assisted electrostatic spray deposition

    International Nuclear Information System (INIS)

    Ksapabutr, Bussarin; Chalermkiti, Tanapol; Wongkasemjit, Sujitra; Panapoy, Manop

    2013-01-01

    This paper describes a simple and low-temperature approach to fabrication of dense and crack-free gadolinium doped ceria (GDC) thin films with controllable deposition by a magnetic-field-assisted electrostatic spray deposition technique. The influences of external permanent magnets on the deposition of GDC films were investigated. The coating area deposited using two magnets with the same pole arrangement decreased in comparison with the case of no magnets, whereas the largest deposition area was obtained in the system of the opposite poles. Analysis of as-deposited films at 450 °C indicated the formation of uniform, smooth and dense thin films with a single-phase fluorite structure. The films produced in the system using same poles were thicker, smaller in crystallite size and smoother than those fabricated under other conditions. Additionally, the GDC film deposited using the same pole arrangement showed the maximum in electrical conductivity of about 2.5 × 10 −2 S/cm at a low operating temperature of 500 °C. - Highlights: • Magnetic-field-assisted electrostatic spray allows a controllable coating. • Dense, crack-free thin films were obtained at low process temperature of 450 °C. • Control of deposition, thickness and uniformity is easy to achieve simultaneously. • Films from the same pole were thicker, smaller in crystal size and smoother. • The maximum conductivity of doped ceria film was 2.5 × 10 −2 S/cm at 500 °C

  4. Synthesis and characterization of TiO{sub 2}/CdS core-shell nanorod arrays and their photoelectrochemical property

    Energy Technology Data Exchange (ETDEWEB)

    Cao Chunlan [Department of Applied Physics, Chongqing University, Chongqing 400044 (China); Department of Power Engineer, Chongqing Communication College, Chongqing 400035 (China); Hu Chenguo, E-mail: hucg@cqu.edu.cn [Department of Applied Physics, Chongqing University, Chongqing 400044 (China); Shen Weidong [Department of Power Engineer, Chongqing Communication College, Chongqing 400035 (China); Wang, Shuxia [Department of Applied Physics, Chongqing University, Chongqing 400044 (China); Tian Yongshu [Department of Applied Physics, Chongqing University, Chongqing 400044 (China); Department of Power Engineer, Chongqing Communication College, Chongqing 400035 (China); Wang Xue [Department of Applied Physics, Chongqing University, Chongqing 400044 (China)

    2012-05-15

    Highlights: Black-Right-Pointing-Pointer TiO{sub 2}/CdS core-shell nanorod arrays were fabricated by spin-SILAR method. Black-Right-Pointing-Pointer The enhanced photocurrent was found in the TiO{sub 2}/CdS core-shell nanorod arrays. Black-Right-Pointing-Pointer The CdS coated on TiO{sub 2} increases the e-h separation and enlarges light absorption range. - Abstract: TiO{sub 2}/CdS core-shell nanorod arrays have been fabricated via a two-step method. Vertically aligned TiO{sub 2} nanorod arrays (NRs) were synthesized by a facile hydrothermal method, and followed by depositing CdS nanoparticles on TiO{sub 2} NRs by spin-coating successive ion layer adsorption and reaction (spin-SILAR) method. The surface morphology, structure, optical and photoelectrochemical behaviors of the core-shell NRs films are considered. The UV-vis absorption spectrum results suggested that the absorption peak of the TiO{sub 2}/CdS core-shell NRs shifts from the ultraviolet region to the visible region in comparison to that of the pure TiO{sub 2} NRs. The obviously enhanced photoelectrochemical (PEC) performances of the heterojunction NRs were found under illumination of the simulated sunlight in comparison with that of the TiO{sub 2} NRs. The enhanced PEC performance and formation mechanism of TiO{sub 2}/CdS core-shell NRs were discussed in detail.

  5. Chemical reactions at CdS heterojunctions with CuInSe{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Aquino, Angel; Rockett, Angus [Department of Materials Science and Engineering, University of Illinois, 1304 West Green Street, Urbana, Illinois 61801 (United States)

    2013-03-15

    The stability of the CdS/CuInSe{sub 2} (CIS) heterojunction is critical to understanding the projected lifetime of CIS devices and the effect of processing conditions on the nanoscale chemistry of the heterojunction. This article reports the results of annealing heterojunctions between CdS deposited by chemical bath deposition and single crystal and polycrystalline CIS films between 200 and 500 Degree-Sign C for 10 to 150 min. No atomic movement was observed by secondary ion mass spectrometry at temperatures of 300 Degree-Sign C and below. At 400 Degree-Sign C even for the shortest time studied, Cu and In were found throughout the region initially consisting of CdS only and Cd was found to have moved into the CIS. In the polycrystal, annealing at 500 Degree-Sign C resulted in movement of Cd throughout the CIS layer. No time dependence was observed in the 400 and 500 Degree-Sign C anneals indicating that a reaction had occurred forming a compound that was in thermodynamic equilibrium with the remaining CIS. Diffusion turns on rapidly between 300 and 400 Degree-Sign C, indicating a high activation energy for atomic movement ({approx}2.4 eV). The onset of diffusion is consistent with the onset of Cu diffusion in CIS.

  6. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    Energy Technology Data Exchange (ETDEWEB)

    Deen, I. [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada); Zhitomirsky, I., E-mail: zhitom@mcmaster.ca [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada)

    2014-02-15

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties.

  7. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    International Nuclear Information System (INIS)

    Deen, I.; Zhitomirsky, I.

    2014-01-01

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties

  8. Reconstructing the energy band electronic structure of pulsed laser deposited CZTS thin films intended for solar cell absorber applications

    Energy Technology Data Exchange (ETDEWEB)

    Pandiyan, Rajesh [Institut National de la Recherche Scientifique, Centre-Énergie, Matériaux et Télécommunications, 1650 Blvd. Lionel–Boulet, C.P. 1020, Varennes, QC J3X-1S2 (Canada); Oulad Elhmaidi, Zakaria [Institut National de la Recherche Scientifique, Centre-Énergie, Matériaux et Télécommunications, 1650 Blvd. Lionel–Boulet, C.P. 1020, Varennes, QC J3X-1S2 (Canada); University of Mohammed V, Faculty of Sciences, Materials Physics Laboratory, B.P. 1014 Rabat (Morocco); Sekkat, Zouheir [Optics & Photonics Center, Moroccan Foundation for Advanced Science, Innovation and Research, Rabat (Morocco); Abd-lefdil, Mohammed [University of Mohammed V, Faculty of Sciences, Materials Physics Laboratory, B.P. 1014 Rabat (Morocco); El Khakani, My Ali, E-mail: elkhakani@emt.inrs.ca [Institut National de la Recherche Scientifique, Centre-Énergie, Matériaux et Télécommunications, 1650 Blvd. Lionel–Boulet, C.P. 1020, Varennes, QC J3X-1S2 (Canada)

    2017-02-28

    Highlights: • High quality CZTS thin films grown by means of PLD technique without resorting to any post sulfurization process. • Effect of thermal annealing treatments (in the 200–500 °C range) on the structural, morphological and optoelectronic properties of PLD-CZTS films. • Experimental determination of key optoelectronic parameters (i.e.; E{sub g}, VBM, ϕ, I{sub p}, and χ) enabling the reconstruction of energy band electronic structure of the PLD-CZTS films. • Investigation on the energy band alignments of the heterojunction interface formed between CZTS and both CdS and ZnS buffer layer materials. - Abstract: We report here on the use of pulsed KrF-laser deposition (PLD) technique for the growth of high-quality Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films onto Si, and glass substrates without resorting to any post sulfurization process. The PLD-CZTS films were deposited at room temperature (RT) and then subjected to post annealing at different temperatures ranging from 200 to 500 °C in Argon atmosphere. The X-ray diffraction and Raman spectroscopy confirmed that the PLD films crystallize in the characteristic kesterite CZTS structure regardless of their annealing temperature (T{sub a}), but their crystallinity is much improved for T{sub a} ≥ 400 °C. The PLD-CZTS films were found to exhibit a relatively dense morphology with a surface roughness (RMS) that increases with T{sub a} (from ∼14 nm at RT to 70 nm at T{sub a} = 500 °C with a value around 40 nm for T{sub a} = 300–400 °C). The optical bandgap of the PLD-CZTS films, was derived from UV–vis transmission spectra analysis, and found to decrease from 1.73 eV for non-annealed films to ∼1.58 eV for those annealed at T{sub a} = 300 °C. These band gap values are very close to the optimum value needed for an ideal solar cell absorber. In order to achieve a complete reconstruction of the one-dimensional energy band structure of these PLD-CZTS absorbers, we have combined both XPS and UPS

  9. Excimer Laser Deposition of PLZT Thin Films

    National Research Council Canada - National Science Library

    Petersen, GAry

    1991-01-01

    .... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.

  10. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  11. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  12. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  13. Diffusion mediated agglomeration of CdS nanoparticles via Langmuir–Blodgett technique

    International Nuclear Information System (INIS)

    Das, Nayan Mani; Roy, Dhrubojyoti; Gupta, P.S.

    2013-01-01

    Graphical abstract: - Highlights: • Diffusion mediated agglomeration of CdS nanoparticles are discussed. • Formation of CdS nanoparticles are confirmed by the change of chain length in XRD. • AFM shows the agglomeration of particles with a film swelling of about 5 Å. • UV–vis absorbance suggests that the grown particles show quantum confinement. • Hexagonal form of particle was confirmed by UV–vis reflectivity. - Abstract: We have reported a diffusion mediated agglomeration of cadmium sulphide (CdS) nanoparticles within cadmium arachidate (CdA 2 ) film matrix. The structural morphology and formation of CdS nanoparticles are characterized by X-ray diffraction (XRD), X-ray reflectivity (XRR), atomic force microscopy (AFM) and ultraviolet-visible spectroscopy techniques. X-ray diffraction (XRD) results show a change in bilayer difference from 53.04 Å to 43 Å after the sulphidation. An epitaxial growth of the films by ∼5 Å after sulphidation is confirmed from atomic force microscopy studies. The particle size calculated form UV–vis absorption edges are found to be varying from 2.6 nm to 3.3 nm for the different layers. A lateral dimension of 72–80 nm from AFM measurements and a size of 2.6–3.3 nm have confirmed one side flat pseudo two-dimensional disk-like nanoparticles. UV–vis reflectivity peak at E 1 (A) confirms the formation of hexagonal CdS nanoparticles along the c-axis

  14. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  15. Target swapping in PLD: An efficient approach for CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} nanocomposite thin films with enhanced luminescent properties

    Energy Technology Data Exchange (ETDEWEB)

    Saxena, Nupur, E-mail: n1saxena@gmail.com [Department of Physics & Astrophysics, University of Delhi, Delhi 110007 (India); Kumar, Pragati, E-mail: pkumar.phy@gmail.com [Department of Physics & Astrophysics, University of Delhi, Delhi 110007 (India); Department of Nano Sciences and Materials, Central University of Jammu, Rahya-Suchani (Bagla), Samba, 181143 Jammu, J& K (India); Gupta, Vinay [Department of Physics & Astrophysics, University of Delhi, Delhi 110007 (India)

    2017-06-15

    A novel synthesis method for luminescent and by-products (like CdO) free CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} (i.e. 1%Ag doped CdS/SiO{sub 2}) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS, CdS:Ag(1%) and SiO{sub 2} are used to deposit CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} nanocomposite thin films by swapping them at a frequency ratio of 2:8 laser pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO{sub 2} in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (i.e. 2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS/ CdS:Ag(1%) nanocrystals in nanocomposite systems after annealing at 500 °C. Highly intense and broad red emission is achieved from CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} nanocomposites. The efficiencies of emission from pristine CdS:SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} nanocomposites are found to be enhanced by approximately two times as compared to sole nanocrystalline CdS and CdS:Ag(1%) thin films respectively and further enhanced upto 7 times on annealing the nanocomposite systems at 500 °C. - Graphical abstract: A modified synthesis method for luminescent and by-products (like CdO) free undoped &1% Ag doped CdS/SiO{sub 2} (deposit CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2}) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS or CdS:Ag(1%) and SiO{sub 2} are used to deposit CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} nanocomposite thin films by swapping them at a frequency of 2:8 pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO{sub 2} in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS nanocrystals in nanocomposite systems after annealing at 500 °C. Intense and broad red emission is

  16. Polycrystalline Thin-Film Cadmium Telluride Solar Cells Fabricated by Electrodeposition; Final Technical Report, 20 March 1995-15 June 1998

    Energy Technology Data Exchange (ETDEWEB)

    Trefny, J. U.; Mao, D.; Kaydanov, V.; Ohno, T. R.; Williamson, D. L.; Collins, R.; Furtak, T. E.

    1999-01-27

    This report summarizes work performed by the Colorado School of Mines Department of Physics under this subcontract. Based on the studies conducted, researchers increased the efficiency of the cells with electrodeposited CdTe and CBD CdS by 3% on average ({approx}30 relative %). The improvement came from 1. Optimization of CdS initial thickness taking into account CdS consumption of CdTe during the CdTe/CdS post-deposition treatment; optimization of CdS post-deposition treatment with CdCl2 aimed at prevention of Te diffusion into CdS and improvement of the CdS film morphology and electronic properties. That led to a considerable increase in short circuit current, by 13% on average. 2. Optimization of CdTe thickness and post-deposition treatment which led to a significant increase in Voc, by {approx}70 mV. The highest Voc obtained exceeded 800 mV. 3. Development of a ZnTe:Cu/Metal back contact processing procedure that included selection of optimal Cu content, deposition regime and post-deposition treatment conditions. As a result, back contact resistance as low as 0.1W-cm2 was obtained. The cell stability was measured on exposure to accelerated stress conditions. Preliminary studies of some new approaches to improvement of CdS/CdTe structure were conducted.

  17. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  18. Deposition of antimony telluride thin film by ECALE

    Institute of Scientific and Technical Information of China (English)

    GAO; Xianhui; YANG; Junyou; ZHU; Wen; HOU; Jie; BAO; Siqian; FAN; Xi'an; DUAN; Xingkai

    2006-01-01

    The process of Sb2Te3 thin film growth on the Pt substrate by electrochemical atomic layer epitaxy (ECALE) was studied. Cyclic voltammetric scanning was performed to analyze the electrochemical behavior of Te and Sb on the Pt substrate. Sb2Te3 film was formed using an automated flow deposition system by alternately depositing Te and Sb atomic layers for 400 circles. The deposited Sb2Te3 films were characterized by XRD, EDX, FTIR and FESEM observation. Sb2Te3 compound structure was confirmed by XRD pattern and agreed well with the results of EDX quantitative analysis and coulometric analysis. FESEM micrographs showed that the deposit was composed of fine nano particles with size of about 20 nm. FESEM image of the cross section showed that the deposited films were very smooth and dense with thickness of about 190 nm. The optical band gap of the deposited Sb2Te3 film was determined as 0.42 eV by FTIR spectroscopy, and it was blue shifted in comparison with that of the bulk Sb2Te3 single crystal due to its nanocrystalline microstructure.

  19. Cd{sub 1−x}Zn{sub x}S thin films with low Zn content obtained by an ammonia-free chemical bath deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Carreón-Moncada, I. [Centro de Investigación y de Estudios Avanzados del IPN, Unidad Saltillo, Av. Industria Metalúrgica 1062, CP. 25900, Ramos Arizpe, Coah., México (Mexico); González, L.A., E-mail: luis.gonzalez@cinvestav.edu.mx [Centro de Investigación y de Estudios Avanzados del IPN, Unidad Saltillo, Av. Industria Metalúrgica 1062, CP. 25900, Ramos Arizpe, Coah., México (Mexico); Pech-Canul, M.I. [Centro de Investigación y de Estudios Avanzados del IPN, Unidad Saltillo, Av. Industria Metalúrgica 1062, CP. 25900, Ramos Arizpe, Coah., México (Mexico); Ramírez-Bon, R. [Centro de Investigación y de Estudios Avanzados del IPN, Unidad Querétaro, Apartado Postal 1-798, CP. 76001 Querétaro, Qro., México (Mexico)

    2013-12-02

    Cd{sub 1−x}Zn{sub x}S films with low Zn content were obtained on glass substrates by an ammonia-free chemical bath deposition process. Alkaline reaction solutions were prepared using cadmium chloride, zinc chloride, sodium citrate, thiourea and potassium hydroxide. As a result of varying the mixture ratio between Cd and Zn precursors, microstructural studies from X-ray diffraction reveal that the resulting films have hexagonal, wurzite type, crystalline structure with changes in the preferential growth orientation. Important changes on the surface morphology and thickness of the Cd{sub 1−x}Zn{sub x}S films were also observed as effects of adding Zn to the CdS lattice. Optical studies show that Cd{sub 1−x}Zn{sub x}S thin films with energy band gaps in the range from 2.48 to 2.65 eV were obtained. - Highlights: • Cd{sub 1−x}Zn{sub x}S layers were grown on glass by ammonia-free chemical bath depositionFilms with low Zn content were obtained using reaction solutions with pH11.5 • Zn addition produced changes on the orientation growth and morphology of the films • Cd{sub 1−x}Zn{sub x}S films have energy band gap values from 2.48 to 2.65 eV.

  20. Pulsed laser deposition of Tl-Ca-Ba-Cu-O films

    International Nuclear Information System (INIS)

    Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.

    1990-01-01

    Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs

  1. Plasma-deposited a-C(N) H films

    CERN Document Server

    Franceschini, D E

    2000-01-01

    The growth behaviour, film structure and mechanical properties of plasma-deposited amorphous hydrogenated carbon-nitrogen films are shortly reviewed. The effect of nitrogen-containing gas addition to the deposition to the hydrocarbon atmospheres used is discussed, considering the modifications observed in the chemical composition growth kinetics, carbon atom hybridisation and chemical bonding arrangements of a-C(N):H films. The overall structure behaviour is correlated to the variation of the mechanical properties.

  2. Uniform deposition of water-soluble CdS quantum dots on TiO2 nanotube arrays by cyclic voltammetric electrodeposition: Effectively prevent aggregation and enhance visible-light photocatalytic activity

    International Nuclear Information System (INIS)

    Zhang, Xiaojiao; Lin, Shiwei; Liao, Jianjun; Pan, Nengqian; Li, Danhong; Cao, Xiankun; Li, Jianbao

    2013-01-01

    Highlights: • Water-soluble CdS QDs were deposited on the TNTAs by DC electrodeposition, CV electrodeposition, and SILAR. • The CV method could effectively prevent the aggregation and uniformly deposit CdS QDs onto the TNTAs. • The CTAB/CdS/TNTAs prepared by the CV method exhibited superior photoelectrical properties and photocatalytic activity. -- Abstract: Water-soluble CdS quantum dots (QDs) covered with cationic surfactant-cetyltrimethylammonium bromide (CTAB) were deposited on the highly ordered TiO 2 nanotube arrays (TNTAs) by various methods, such as direct current (DC) electrodeposition, cyclic voltammetric (CV) electrodeposition, and successive ionic layer adsorption reaction (SILAR). The morphology measurements show that CTAB capping could well control the QD size and the CV method could effectively prevent the nanoparticle aggregation and uniformly deposit QDs onto TNTAs. Among all the deposition methods studied, the sample prepared by the CV method possesses superior photoelectrical properties and photocatalytic activity. A maximum photoconversion efficiency of 2.81% is achieved for the CdS/TNTAs prepared by CV electrodeposition, which exhibits about 17 times enhancement over the efficiency of the sample prepared by DC electrodeposition. And the photocatalytic degradation of methyl orange under visible-light irradiation demonstrates that the rate constant of the sample prepared by the CV method is almost seven times of that of the untreated TNTAs. Moreover, the underlying mechanism for the improving properties has been discussed

  3. Nickel films: Nonselective and selective photochemical deposition and properties

    International Nuclear Information System (INIS)

    Smirnova, N.V.; Boitsova, T.B.; Gorbunova, V.V.; Alekseeva, L.V.; Pronin, V.P.; Kon'uhov, G.S.

    2006-01-01

    Nickel films deposited on quartz surfaces by the photochemical reduction of a chemical nickel plating solution were studied. It was found that the deposition of the films occurs after an induction period, the length of which depends on the composition of the photolyte and the light intensity. Ni particles with a mean diameter of 20-30 nm were detected initially by transmission electron microscopy. The particles then increased in size (50 nm) upon irradiation and grouped into rings consisting of 4-5 particles. Irradiation with high-intensity light produces three-dimensional films. The calculated extinction coefficient of the nickel film was found to be 4800 L mol -1 cm -1 . Electron diffraction revealed that the prepared amorphous nickel films crystallize after one day of storage. It was determined that the films exhibit catalytic activity in the process of nickel deposition from nickel plating solution. The catalytic action remains for about 5-7 min after exposure of the films to air. The processes of selective and nonselective deposition of the nickel films are discussed. The use of poly(butoxy titanium) in the process of selective photochemical deposition enables negative and positive images to be prepared on quartz surfaces

  4. Synthesis and Characterization of CdS Nanoparticles with Strong Electrolyte Behavior

    International Nuclear Information System (INIS)

    Zhang Yu; Fu, Degang; Liu Juzheng

    2000-01-01

    The CdS nanoparticles whose structure is similar to a strong electrolyte were synthesized by the colloidal chemical method. The CdS nanoparticles with Cd 2+ -rich surface are capped by the electrically neutral ligand of 2,2'-bipyridine (bpy), and the counterion, BPh 4 - , is adsorbed around the particle as balance charge. The ω donation from 2,2'-bipyridine at 2-position to the Cd 2+ -rich surface of the CdS nanoparticles was characterized by X-ray photoelectron spectroscopy (XPS). These CdS nanoparticles can redisperse in pyridine (py) or DMF, and have high stability. The determination of electroconductivity and the electrophoresis deposition in dilute solution containing the CdS nanoparticles further prove the rationality of the above electrolyte structure of the CdS nanoparticles

  5. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  6. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    International Nuclear Information System (INIS)

    Bao Quanhe; Chen Chuanzhong; Wang Diangang; Liu Junming

    2008-01-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 4 3- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA

  7. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  8. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  9. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  10. Fabrication and characterization of high-mobility solution-based chalcogenide thin-film transistors

    KAUST Repository

    Mejia, Israel I.; Salas Villaseñ or, Ana L.; Cha, Dong Kyu; Alshareef, Husam N.; Gnade, Bruce E.; Quevedo-Ló pez, Manuel Angel Quevedo

    2013-01-01

    We report device and material considerations for the fabrication of high-mobility thin-film transistors (TFTs) compatible with large-area and inexpensive processes. In particular, this paper reports photolithographically defined n-type TFTs (n-TFTs) based on cadmium sulfide (CdS) films deposited using solution-based techniques. The integration process consists of four mask levels with a maximum processing temperature of 100 °C. The TFT performance was analyzed in terms of the CdS semiconductor thickness and as a function of postdeposition annealing in a reducing ambient. The IonI off ratios are ∼107 with field-effect mobilities of ∼5.3 and ∼4.7cm2V̇s for Al and Au source-drain contacts, respectively, using 70 nm of CdS. Transmission electron microscopy and electron energy loss spectroscopy were used to analyze the CdS-metal interfaces. © 1963-2012 IEEE.

  11. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  12. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  13. ZnO film deposition by DC magnetron sputtering: Effect of target configuration on the film properties

    Energy Technology Data Exchange (ETDEWEB)

    Arakelova, E.; Khachatryan, A.; Kteyan, A.; Avjyan, K.; Grigoryan, S.

    2016-08-01

    Ballistic transport model for target-to-substrate atom transfer during magnetron sputter deposition was used to develop zinc target (cathode) configuration that enabled growth of uniform zinc oxide films on extensive surfaces and provided reproducibility of films characteristics irrespective of the cathode wear-out. The advantage of the developed target configuration for high-quality ZnO film deposition was observed in the sputtering pressure range of 5− 50 mTorr, and in the range of cathode-to-substrate distances 7–20 cm. Characteristics of the deposited films were demonstrated by using X-ray diffraction analysis, as well as optical and electrical measurements. - Highlights: • Change of target configuration for optimization of magnetron sputtering deposition is proposed. • Improvement of ZnO film properties due to use of this target is demonstrated. • This configuration provided reproducibility of the deposited films properties.

  14. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  15. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  16. Band alignment at the Cu{sub 2}ZnSn(S{sub x}Se{sub 1-x}){sub 4}/CdS interface

    Energy Technology Data Exchange (ETDEWEB)

    Haight, Richard; Barkhouse, Aaron; Gunawan, Oki; Shin, Byungha; Copel, Matt; Hopstaken, Marinus; Mitzi, David B [IBM TJ Watson Research Center, P.O. Box 218, Yorktown Hts., New York 10598 (United States)

    2011-06-20

    Energy band alignments between CdS and Cu{sub 2}ZnSn(S{sub x}Se{sub 1-x}){sub 4} (CZTSSe) grown via solution-based and vacuum-based deposition routes were studied as a function of the [S]/[S+Se] ratio with femtosecond laser ultraviolet photoelectron spectroscopy, photoluminescence, medium energy ion scattering, and secondary ion mass spectrometry. Band bending in the underlying CZTSSe layer was measured via pump/probe photovoltage shifts of the photoelectron spectra and offsets were determined with photoemission under flat band conditions. Increasing the S content of the CZTSSe films produces a valence edge shift to higher binding energy and increases the CZTSSe band gap. In all cases, the CdS conduction band offsets were spikes.

  17. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  18. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  19. Effects of different annealing atmospheres on the properties of cadmium sulfide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yücel, E., E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Kahraman, S. [Department of Metallurgy and Material Engineering, Faculty of Technology, Mustafa Kemal University, 31034 Hatay (Turkey); Güder, H.S. [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)

    2015-08-15

    Graphical abstract: The effects of different annealing atmospheres (air and sulfur) on the structural, morphological and optical properties of CdS thin films were studied at three different pH values. - Highlights: • Compactness and smoothness of the films were enhanced after sulfur annealing. • Micro-strain values of some films were improved after sulfur annealing. • Dislocation density values of some films were improved after sulfur annealing. • Band gap values of the films were improved after sulfur annealing. - Abstract: Cadmium sulfide (CdS) thin films were prepared on glass substrates by using chemical bath deposition (CBD) technique. The effects of different annealing atmospheres (air and sulfur) on the structural, morphological and optical properties of CdS thin films were studied at three different pH values. Compactness and smoothness of the films (especially for pH 10.5 and 11) enhanced after sulfur annealing. pH value of the precursor solution remarkably affected the roughness, uniformity and particle sizes of the films. Based on the analysis of X-ray diffraction (XRD) patterns of the films, micro-strain and dislocation density values of the sulfur-annealed films (pH 10.5 and 11) were found to be lower than those of air-annealed films. Air-annealed films (pH 10.5, 11 and 11.5) exhibited higher transmittance than sulfur-annealed films in the wavelength region of 550–800 nm. Optical band gap values of the films were found between 2.31 eV and 2.36 eV.

  20. Aluminosilicate glass thin films elaborated by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Carlier, Thibault [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Saitzek, Sébastien [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Méar, François O., E-mail: francois.mear@univ-lille1.fr [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Blach, Jean-François; Ferri, Anthony [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Huvé, Marielle; Montagne, Lionel [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France)

    2017-03-01

    Highlights: • Successfully deposition of a glassy thin film by PLD. • A good homogeneity and stoichiometry of the coating. • Influence of the deposition temperature on the glassy thin-film structure. - Abstract: In the present work, we report the elaboration of aluminosilicate glass thin films by Pulsed Laser Deposition at various temperatures deposition. The amorphous nature of glass thin films was highlighted by Grazing Incidence X-Ray Diffraction and no nanocristallites were observed in the glassy matrix. Chemical analysis, obtained with X-ray Photoelectron Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy, showed a good transfer and homogeneous elementary distribution with of chemical species from the target to the film a. Structural studies performed by Infrared Spectroscopy showed that the substrate temperature plays an important role on the bonding configuration of the layers. A slight shift of Si-O modes to larger wavenumber was observed with the synthesis temperature, assigned to a more strained sub-oxide network. Finally, optical properties of thins film measured by Spectroscopic Ellipsometry are similar to those of the bulk aluminosilicate glass, which indicate a good deposition of aluminosilicate bulk glass.

  1. A high-efficiency solution-deposited thin-film photovoltaic device

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)

    2008-10-02

    High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  2. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  3. Sputter deposition of BSCCO films from a hollow cathode

    International Nuclear Information System (INIS)

    Lanagan, M.T.; Kampwirth, R.T.; Doyle, K.; Kowalski, S.; Miller, D.; Gray, K.E.

    1991-01-01

    High-T c superconducting thin films were deposited onto MgO single crystal substrates from a hollow cathode onto ceramic targets with the nominal composition of Bi 2 Sr 2 CaCu 2 O x . Films similar in composition to those used for the targets were deposited on MgO substrates by rf sputtering. The effects of sputtering time, rf power, and post-annealing on film microstructure and properties were studied in detail. Substrate temperature was found to have a significant influence on the film characteristics. Initial results show that deposition rates from a hollow cathode are an order of magnitude higher than those of a planar magnetron source at equivalent power levels. Large deposition rates allow for the coating of long lengths of wire

  4. Porous nanostructured ZnO films deposited by picosecond laser ablation

    International Nuclear Information System (INIS)

    Sima, Cornelia; Grigoriu, Constantin; Besleaga, Cristina; Mitran, Tudor; Ion, Lucian; Antohe, Stefan

    2012-01-01

    Highlights: ► We deposite porous nanostructured ZnO films by picoseconds laser ablation (PLA). ► We examine changes of the films structure on the experimental parameter deposition. ► We demonstrate PLA capability to produce ZnO nanostructured films free of particulates. - Abstract: Porous nanostructured polycrystalline ZnO films, free of large particulates, were deposited by picosecond laser ablation. Using a Zn target, zinc oxide films were deposited on indium tin oxide (ITO) substrates using a picosecond Nd:YVO 4 laser (8 ps, 50 kHz, 532 nm, 0.17 J/cm 2 ) in an oxygen atmosphere at room temperature (RT). The morpho-structural characteristics of ZnO films deposited at different oxygen pressures (150–900 mTorr) and gas flow rates (0.25 and 10 sccm) were studied. The post-deposition influence of annealing (250–550 °C) in oxygen on the film characteristics was also investigated. At RT, a mixture of Zn and ZnO formed. At substrate temperatures above 350 °C, the films were completely oxidized, containing a ZnO wurtzite phase with crystallite sizes of 12.2–40.1 nm. At pressures of up to 450 mTorr, the porous films consisted of well-distinguished primary nanoparticles with average sizes of 45–58 nm, while at higher pressures, larger clusters (3.1–14.7 μm) were dominant, leading to thicker films; higher flow rates favored clustering.

  5. Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...

    African Journals Online (AJOL)

    Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...

  6. Properties of electrophoretically deposited single wall carbon nanotube films

    International Nuclear Information System (INIS)

    Lim, Junyoung; Jalali, Maryam; Campbell, Stephen A.

    2015-01-01

    This paper describes techniques for rapidly producing a carbon nanotube thin film by electrophoretic deposition at room temperature and determines the film mass density and electrical/mechanical properties of such films. The mechanism of electrophoretic deposition of thin layers is explained with experimental data. Also, film thickness is measured as a function of time, electrical field and suspension concentration. We use Rutherford backscattering spectroscopy to determine the film mass density. Films created in this manner have a resistivity of 2.14 × 10 −3 Ω·cm, a mass density that varies with thickness from 0.12 to 0.54 g/cm 3 , and a Young's modulus between 4.72 and 5.67 GPa. The latter was found to be independent of thickness from 77 to 134 nm. We also report on fabricating free-standing films by removing the metal seed layer under the CNT film, and selectively etching a sacrificial layer. This method could be extended to flexible photovoltaic devices or high frequency RF MEMS devices. - Highlights: • We explain the electrophoretic deposition process and mechanism of thin SWCNT film deposition. • Characterization of the SWCNT film properties including density, resistivity, transmittance, and Young's modulus. • The film density and resistivity are found to be a function of the film thickness. • Techniques developed to create free standing layers of SW-CNTs for flexible electronics and mechanical actuators

  7. Defect studies of thin ZnO films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Vlček, M; Čížek, J; Procházka, I; Novotný, M; Bulíř, J; Lančok, J; Anwand, W; Brauer, G; Mosnier, J-P

    2014-01-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  8. UV laser deposition of metal films by photogenerated free radicals

    Science.gov (United States)

    Montgomery, R. K.; Mantei, T. D.

    1986-01-01

    A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.

  9. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  10. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  11. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  12. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  13. Amorphous Terfenol-D films using nanosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Ma, James; O'Brien, Daniel T.; Kovar, Desiderio

    2009-01-01

    Thin films of Terfenol-D were produced by nanosecond pulsed laser deposition (PLD) at two fluences. Electron dispersive spectroscopy conducted using scanning electron and transmission electron microscopes showed that the film compositions were similar to that of the PLD target. Contrary to previous assertions that suggested that nanosecond PLD results in crystalline films, X-ray diffraction and transmission electron microscopy analysis showed that the films produced at both fluences were amorphous. Splatters present on the film had similar compositions to the overall film and were also amorphous. Magnetic measurements showed that the films had high saturation magnetization and magnetostriction, similar to high quality films produced using other physical vapor deposition methods.

  14. Evidence for Chemical and Electronic Nonuniformities in the Formation of the Interface of RbF-Treated Cu(In,Ga)Se2 with CdS.

    Science.gov (United States)

    Nicoara, Nicoleta; Kunze, Thomas; Jackson, Philip; Hariskos, Dimitrios; Duarte, Roberto Félix; Wilks, Regan G; Witte, Wolfram; Bär, Marcus; Sadewasser, Sascha

    2017-12-20

    We report on the initial stages of CdS buffer layer formation on Cu(In,Ga)Se 2 (CIGSe) thin-film solar cell absorbers subjected to rubidium fluoride (RbF) postdeposition treatment (PDT). A detailed characterization of the CIGSe/CdS interface for different chemical bath deposition (CBD) times of the CdS layer is obtained from spatially resolved atomic and Kelvin probe force microscopy and laterally integrating X-ray spectroscopies. The observed spatial inhomogeneity in the interface's structural, chemical, and electronic properties of samples undergoing up to 3 min of CBD treatments is indicative of a complex interface formation including an incomplete coverage and/or nonuniform composition of the buffer layer. It is expected that this result impacts solar cell performance, in particular when reducing the CdS layer thickness (e.g., in an attempt to increase the collection in the ultraviolet wavelength region). Our work provides important findings on the absorber/buffer interface formation and reveals the underlying mechanism for limitations in the reduction of the CdS thickness, even when an alkali PDT is applied to the CIGSe absorber.

  15. Ellipsometric study of nanostructured carbon films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Bereznai, M.; Budai, J.; Hanyecz, I.; Kopniczky, J.; Veres, M.; Koos, M.; Toth, Z.

    2011-01-01

    When depositing carbon films by plasma processes the resulting structure and bonding nature strongly depends on the plasma energy and background gas pressure. To produce different energy plasma, glassy carbon targets were ablated by laser pulses of different excimer lasers: KrF (248 nm) and ArF (193 nm). To modify plume characteristics argon atmosphere was applied. The laser plume was directed onto Si substrates, where the films were grown. To evaluate ellipsometric measurements first a combination of the Tauc-Lorentz oscillator and the Sellmeier formula (TL/S) was applied. Effective Medium Approximation models were also used to investigate film properties. Applying argon pressures above 10 Pa the deposits became nanostructured as indicated by high resolution scanning electron microscopy. Above ∼ 100 and ∼ 20 Pa films could not be deposited by KrF and ArF laser, respectively. Our ellipsometric investigations showed, that with increasing pressure the maximal refractive index of both series decreased, while the optical band gap starts with a decrease, but shows a non monotonous course. Correlation between the size of the nanostructures, bonding structure, which was followed by Raman spectroscopy and optical properties were also investigated.

  16. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  17. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    International Nuclear Information System (INIS)

    Chen Huawei; Tieu, A. Kiet; Liu Qiang; Hagiwara, Ichiro; Lu Cheng

    2007-01-01

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters

  18. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen Huawei [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China) and Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)]. E-mail: chen_hua_wei@yahoo.com; Tieu, A. Kiet [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia); Liu Qiang [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China); Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo (Japan); Lu Cheng [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)

    2007-07-15

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters.

  19. RF sputtered HgCdTe films for tandem cell applications

    International Nuclear Information System (INIS)

    Wang, S.L.; Lee, S.H.; Gupta, A.; Compaan, A.D.

    2004-01-01

    Polycrystalline Hg 1-x Cd x Te films were investigated for their potential as bottom cells of a CdTe-based tandem solar cell. The films were deposited by RF sputtering from a cold pressed target containing 30% HgTe+70% CdTe. The as-deposited films were highly resistive with (111) preferred orientation and a bandgap of ∝1.0 eV. Various thermal treatment schemes were investigated under different conditions of ambient and temperature to reduce the resistivity. The film properties were analyzed using infrared transmission spectra, energy dispersive X-ray spectra and X-ray diffraction. N doped p-HgCdTe films were also prepared by reactive sputtering in a N 2 /Ar ambient. P-n junction solar cells were fabricated with CdS films as the heterojunction partner. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  1. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  2. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  3. Lanthanoid titanate film structure deposited at different temperatures in vacuum

    International Nuclear Information System (INIS)

    Kushkov, V.D.; Zaslavskij, A.M.; Mel'nikov, A.V.; Zverlin, A.V.; Slivinskaya, A.Eh.

    1991-01-01

    Influence of deposition temperature on the structure of lanthanoid titanate films, prepared by the method of high-rate vacuum condensation. It is shown that formation of crystal structure, close to equilibrium samples, proceeds at 1100-1300 deg C deposition temperatures. Increase of temperature in this range promotes formation of films with higher degree of structural perfection. Amorphous films of lanthanoid titanates form at 200-1000 deg C. Deposition temperature shouldn't exceed 1400 deg C to prevent the formation of perovskite like phases in films

  4. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  5. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  6. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  7. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    International Nuclear Information System (INIS)

    Purohit, Viswas; Mielczarski, Ela; Mielczarski, Jerzy A.; Akesso, Laurent

    2013-01-01

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O

  8. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purohit, Viswas, E-mail: vishwas.purohit@gmail.com [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Mielczarski, Ela; Mielczarski, Jerzy A. [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Akesso, Laurent [Teer Coatings Ltd., Droitwich, Worcestershire WR9 9AS (United Kingdom)

    2013-09-16

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O.

  9. Reconstructing the energy band electronic structure of pulsed laser deposited CZTS thin films intended for solar cell absorber applications

    Science.gov (United States)

    Pandiyan, Rajesh; Oulad Elhmaidi, Zakaria; Sekkat, Zouheir; Abd-lefdil, Mohammed; El Khakani, My Ali

    2017-02-01

    We report here on the use of pulsed KrF-laser deposition (PLD) technique for the growth of high-quality Cu2ZnSnS4 (CZTS) thin films onto Si, and glass substrates without resorting to any post sulfurization process. The PLD-CZTS films were deposited at room temperature (RT) and then subjected to post annealing at different temperatures ranging from 200 to 500 °C in Argon atmosphere. The X-ray diffraction and Raman spectroscopy confirmed that the PLD films crystallize in the characteristic kesterite CZTS structure regardless of their annealing temperature (Ta), but their crystallinity is much improved for Ta ≥ 400 °C. The PLD-CZTS films were found to exhibit a relatively dense morphology with a surface roughness (RMS) that increases with Ta (from ∼14 nm at RT to 70 nm at Ta = 500 °C with a value around 40 nm for Ta = 300-400 °C). The optical bandgap of the PLD-CZTS films, was derived from UV-vis transmission spectra analysis, and found to decrease from 1.73 eV for non-annealed films to ∼1.58 eV for those annealed at Ta = 300 °C. These band gap values are very close to the optimum value needed for an ideal solar cell absorber. In order to achieve a complete reconstruction of the one-dimensional energy band structure of these PLD-CZTS absorbers, we have combined both XPS and UPS spectroscopies to determine their chemical bondings, the position of their valence band maximum (relative to Fermi level), and their work function values. This enabled us to sketch out, as accurately as possible, the band alignment of the heterojunction interface formed between CZTS and both CdS and ZnS buffer layer materials.

  10. Structural surprises in friction-deposited films of poly(tetrafluoroethylene)

    DEFF Research Database (Denmark)

    Breiby, Dag Werner; Sølling, Theis Ivan; Bunk, Oliver

    2005-01-01

    Thin films of poly(tetrafluoroethylene) (PTFE) produced by friction deposition were studied using grazing incidence X-ray diffraction as the principal tool. The structure of the deposited thin films was compared with that of the surface of the PTFE bar used for depositing the films. Both exhibited...... the 15/7 helix conformation characteristic of crystal PTFE phase IV. A high degree of biaxial orientation was found for the highly crystalline thin films. Whereas the unit cell of the bar surface material appeared to be single-stem hexagonal, the film displayed diffraction characteristics consistent...... the possibility of a continuous transition between the low-order single-stem hexagonal and the multistem high-order unit cell. The degree of chain orientation was much lower at the surface of the bar than in the thin film. A modification of the commonly accepted mechanism for the transfer of material from the bar...

  11. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    Science.gov (United States)

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  12. Interfacial Properties of CZTS Thin Film Solar Cell

    Directory of Open Access Journals (Sweden)

    N. Muhunthan

    2014-01-01

    Full Text Available Cu-deficient CZTS (copper zinc tin sulfide thin films were grown on soda lime as well as molybdenum coated soda lime glass by reactive cosputtering. Polycrystalline CZTS film with kesterite structure was produced by annealing it at 500°C in Ar atmosphere. These films were characterized for compositional, structural, surface morphological, optical, and transport properties using energy dispersive X-ray analysis, glancing incidence X-ray diffraction, Raman spectroscopy, scanning electron microscopy, atomic force microscopy, UV-Vis spectroscopy, and Hall effect measurement. A CZTS solar cell device having conversion efficiency of ~0.11% has been made by depositing CdS, ZnO, ITO, and Al layers over the CZTS thin film deposited on Mo coated soda lime glass. The series resistance of the device was very high. The interfacial properties of device were characterized by cross-sectional SEM and cross-sectional HRTEM.

  13. Morphology evolution in spinel manganite films deposited from an aqueous solution

    International Nuclear Information System (INIS)

    Ko, Song Won; Li, Jing; Trolier-McKinstry, Susan

    2012-01-01

    Spinel manganite films were deposited by the spin spray technique at low deposition temperatures ( 1000, agglomeration of small particles was dominant, which suggests that homogeneous nucleation is dominant during deposition. Heterogeneous nucleation was critical to obtain dense films. - Highlights: ► Film microstructure depends on supersaturation. ► Heterogeneous nucleation induces dense and continuous films. ► The spin spray technique enables use of a variety of substrates.

  14. Ultrashort pulse laser deposition of thin films

    Science.gov (United States)

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  15. Picosecond and subpicosecond pulsed laser deposition of Pb thin films

    Directory of Open Access Journals (Sweden)

    F. Gontad

    2013-09-01

    Full Text Available Pb thin films were deposited on Nb substrates by means of pulsed laser deposition (PLD with UV radiation (248 nm, in two different ablation regimes: picosecond (5 ps and subpicosecond (0.5 ps. Granular films with grain size on the micron scale have been obtained, with no evidence of large droplet formation. All films presented a polycrystalline character with preferential orientation along the (111 crystalline planes. A maximum quantum efficiency (QE of 7.3×10^{-5} (at 266 nm and 7 ns pulse duration was measured, after laser cleaning, demonstrating good photoemission performance for Pb thin films deposited by ultrashort PLD. Moreover, Pb thin film photocathodes have maintained their QE for days, providing excellent chemical stability and durability. These results suggest that Pb thin films deposited on Nb by ultrashort PLD are a noteworthy alternative for the fabrication of photocathodes for superconductive radio-frequency electron guns. Finally, a comparison with the characteristics of Pb films prepared by ns PLD is illustrated and discussed.

  16. Recent progress of obliquely deposited thin films for industrial applications

    Science.gov (United States)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  17. Morphological Characteristics of Au Films Deposited on Ti: A Combined SEM-AFM Study

    Directory of Open Access Journals (Sweden)

    Francesco Ruffino

    2018-03-01

    Full Text Available Deposited Au films and coatings are, nowadays, routinely used as active or passive elements in several innovative electronic, optoelectronic, sensing, and energy devices. In these devices, the physical properties of the Au films are strongly determined by the films nanoscale structure. In addition, in these devices, often, a layer of Ti is employed to promote adhesion and, so, influencing the nanoscale structure of the deposited Au film. In this work, we present experimental analysis on the nanoscale cross-section and surface morphology of Au films deposited on Ti. In particular, we sputter-deposited thick (>100 nm thickness Au films on Ti foils and we used Scanning Electron Microscopy to analyze the films cross-sectional and surface morphology as a function of the Au film thickness and deposition angle. In addition, we analyzed the Au films surface morphology by Atomic Force Microscopy which allowed quantifying the films surface roughness versus the film thickness and deposition angle. The results establish a relation between the Au films cross-sectional and surface morphologies and surface roughness to the film thickness and deposition angle. These results allow setting a general working framework to obtain Au films on Ti with specific morphological and topographic properties for desired applications in which the Ti adhesion layer is needed for Au.

  18. Fabrication and characterization of vacuum deposited fluorescein thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)

    2011-03-31

    Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.

  19. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  20. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Siraj, K., E-mail: khurram.uet@gmail.com [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z. [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Naseem, S.; Riaz, S. [Center for Solid State Physics, University of Punjab, Lahore (Pakistan)

    2011-05-15

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  1. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    International Nuclear Information System (INIS)

    Siraj, K.; Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z.; Naseem, S.; Riaz, S.

    2011-01-01

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  2. Preparation of CdS nanoparticels with spin-coating assisted successive ionic layer reaction and their photoelectrochemical properties

    Directory of Open Access Journals (Sweden)

    Bao SUN

    2017-10-01

    Full Text Available In order to settle the problems in the traditional SILAR method for CdS deposition, such as smaller particles and being difficult to enhance the sensitive layers, an improved spin-coating assisted successive ionic layer reaction method (S-SILR substituted for the traditional SILAR method is used to deposit the CdS nanocrystals. The comparison between the improved and traditional methods is studied after depositing the CdS nanocrystals onto the ZnO nanorod arrays with the two approaches. Different analysis methods, SEM, XRD, UV-vis and the transit photocurrent measurement are conducted to characterize the morphologies and structures of the samples, as well as investigating the light absorption properties, and the photoelectric conversion performance of the electrodes. The results indicate that the CdS nanocrystals photosensitive layers could be totally coated onto the ZnO nanorod arrays more easily by the improved S-SILR method; the light absorption properties and the photoelectric conversion performance of the electrodes prepared by the improved S-SILR method are more excellent compared with those electrodes prepared by the traditional SILAR method. The improvement of the CdS deposition method has certain guiding significance in enhancing the operability of the preparation technology and the photovoltaic performance of the solar cells.

  3. Plasma deposition of polymer composite films incorporating nanocellulose whiskers

    Science.gov (United States)

    Samyn, P.; Airoudj, A.; Laborie, M.-P.; Mathew, A. P.; Roucoules, V.

    2011-11-01

    In a trend for sustainable engineering and functionalization of surfaces, we explore the possibilities of gas phase processes to deposit nanocomposite films. From an analysis of pulsed plasma polymerization of maleic anhydride in the presence of nanocellulose whiskers, it seems that thin nanocomposite films can be deposited with various patterns. By specifically modifying plasma parameters such as total power, duty cycle, and monomer gas pressure, the nanocellulose whiskers are either incorporated into a buckled polymer film or single nanocellulose whiskers are deposited on top of a polymeric film. The density of the latter can be controlled by modifying the exact positioning of the substrate in the reactor. The resulting morphologies are evaluated by optical microscopy, AFM, contact angle measurements and ellipsometry.

  4. Deposition of Au/TiO2 film by pulsed laser

    International Nuclear Information System (INIS)

    Zhao Chongjun; Zhao Quanzhong; Zhao Qitao; Qiu Jianrong; Zhu Congshan

    2006-01-01

    Au nanoparticles, which were photoreduced by a Nd:YAG laser in HAuCl 4 solution containing TiO 2 colloid and accompanied by the TiO 2 particles, were deposited on the substrate surface. The film consisting of Au/TiO 2 particles was characterized by the absorption spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis. The adhesion between the film and substrate was evaluated by using adhesive tape test. It was found that the presence of TiO 2 dramatically enhanced the adhesion strength between the film and the substrate, as well as the deposition rate of film. The mechanism for the deposition of Au/TiO 2 film was also discussed

  5. Induced Recrystallization of CdTe Thin Films Deposited by Close-Spaced Sublimation

    International Nuclear Information System (INIS)

    Mayo, B.

    1998-01-01

    We have deposited CdTe thin films by close-spaced sublimation at two different temperature ranges. The films deposited at the lower temperature partially recrystallized after CdCl2 treatment at 350C and completely recrystallized after the same treatment at 400C. The films deposited at higher temperature did not recrystallize at these two temperatures. These results confirmed that the mechanisms responsible for changes in physical properties of CdTe films treated with CdCl2 are recrystallization and grain growth, and provided an alternative method to deposit CSS films using lower temperatures

  6. Characterization of thin CeO{sub 2} films electrochemically deposited on HOPG

    Energy Technology Data Exchange (ETDEWEB)

    Faisal, Firas [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Toghan, Arafat, E-mail: arafat.toghan@yahoo.com [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Chemistry Department, Faculty of Science, South Valley University, 83523 Qena (Egypt); Khalakhan, Ivan; Vorokhta, Mykhailo; Matolin, Vladimír [Department of Surface and Plasma Science, Charles University in Prague, V Holešovičkách 747/2, 180 00 Prague 8 (Czech Republic); Libuda, Jörg [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Erlangen Catalysis Resource Center, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany)

    2015-09-30

    Graphical abstract: - Highlights: • Preparation of proton exchange membrane fuel cells catalyst using electrochemical thin film deposition. • Electrodeposition thin films of CeO{sub 2} on HOPG substrates. • The samples were characterized by in-situ AFM and ex-situ XPS. • XPS results reveal that the electrochemically deposited cerium oxide films are stoichiometric. • Exposing the films to ambient air, cracking structures are formed. - Abstract: Electrodeposition is widely used for industrial applications to deposit thin films, coatings, and adhesion layers. Herein, CeO{sub 2} thin films were deposited on a highly oriented pyrolytic graphite (HOPG) substrate by cathodic electrodeposition. The influence of the deposition parameters on the yield and on the film morphology is studied and discussed. Morphology and composition of the electrodeposited films were characterized by in-situ atomic force microscopy (AFM), scanning electron microscopy (SEM), Energy Dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). By AFM we show that the thickness of CeO{sub 2} films can be controlled via the Ce{sup 3+} concentration in solution and the deposition time. After exposing the films to ambient air, cracking structures are formed, which were analyzed by AFM in detail. The chemical composition of the deposits was analyzed by XPS indicating the formation of nearly stoichiometric CeO{sub 2}.

  7. Investigation into CdS nanocrystal growth regularities in silicate glass and in the thin films SiO{sub 2} at the initial stages of solid solution phase decomposi8tion; Issledovanie zakonomernostej rosta nanokristalov CdS v silikatnom stekle i v tonkikh plenkakh SiO{sub 2} na nachal`nykh stadiyakh fazovogo raspada tverdogo rastvora

    Energy Technology Data Exchange (ETDEWEB)

    Gurevich, S A; Ekimov, A I; Kudryavtsev, I A [AN SSSR, Leningrad (Russian Federation). Fiziko-Tekhnicheskij Inst.

    1994-05-01

    Regularities of CdS semiconductor hanocrystal growth in amorphous media (silicate glasses and SiO{sub 2} thin films) are investigated. Dependences of crystal mean dimension on the annealing time show that in accordance with the theory of phase decomposition the crystal growth has the successive stages of nuclei formation and diffusion growth. By means of the nuclei mean radius dependences on the annealing temperature are determined the temperatures of CdS solubility in the matrix material. Effect of the annealing atmosphere composition on the growth and optical properties of CdS nanocrystals is shown.

  8. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  9. Iron films deposited on porous alumina substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Yasuhiro, E-mail: yyasu@rs.kagu.tus.ac.jp; Tanabe, Kenichi; Nishida, Naoki [Tokyo University of Science (Japan); Kobayashi, Yoshio [The University of Electro-Communications (Japan)

    2016-12-15

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 – 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  10. Aerosol deposition of (Cu,Ti) substituted bismuth vanadate films

    Energy Technology Data Exchange (ETDEWEB)

    Exner, Jörg, E-mail: Functional.Materials@Uni-Bayreuth.de [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany); Fuierer, Paul [Materials and Metallurgical Engineering Department, New Mexico Institute of Mining and Technology, Socorro, NM 87801 (United States); Moos, Ralf [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany)

    2014-12-31

    Bismuth vanadate, Bi{sub 4}V{sub 2}O{sub 11}, and related compounds with various metal (Me) substitutions, Bi{sub 4}(Me{sub x}V{sub 1−x}){sub 2}O{sub 11−δ}, show some of the highest ionic conductivities among the known solid oxide electrolytes. Films of Cu and Ti substituted bismuth vanadate were prepared by an aerosol deposition method, a spray coating process also described as room temperature impact consolidation. Resultant films, several microns in thickness, were dense with good adhesion to the substrate. Scanning electron microscopy and high temperature X-ray diffraction were used to monitor the effects of temperature on the structure and microstructure of the film. The particle size remained nano-scale while microstrain decreased rapidly up to 500 °C, above which coarsening and texturing increased rapidly. Impedance measurements of films deposited on inter-digital electrodes revealed an annealing effect on the ionic conductivity, with the conductivity exceeding that of a screen printed film, and approaching that of bulk ceramic. - Highlights: • Cu and Ti doped bismuth vanadate films were prepared by aerosol deposition (AD). • Dense 3–5 μm thick films were deposited on alumina, silicon and gold electrodes. • Annealing of the AD-layer increases the conductivity by 1.5 orders of magnitude. • Effect of temperature on structure and microstructure was investigated.

  11. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  12. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  13. Enhanced photovoltaic performance of quantum dot-sensitized solar cells with a progressive reduction of recombination using Cu-doped CdS quantum dots

    International Nuclear Information System (INIS)

    Muthalif, Mohammed Panthakkal Abdul; Lee, Young-Seok; Sunesh, Chozhidakath Damodharan; Kim, Hee-Je; Choe, Youngson

    2017-01-01

    Highlights: • Cu-doped CdS QDs were deposited on TiO_2 by SILAR method. • Cu-doped CdS electrodes contributes reduction of charge recombination and longer electron lifetime. • A promising power conversion efficiency of 3% is obtained for the Cu-doped CdS Quantum dot sensitized solar cell. - Abstract: In this article, we have systematically probed the effect of Cu-doping in CdS quantum dots (QDs) to enhance the photovoltaic performance of the quantum dot-sensitized solar cells (QDSSCs). The Cu-doped CdS photoanodes were prepared by successive ionic layer adsorption and reaction (SILAR) method and the corresponding cell devices were fabricated using CuS counter electrodes with a polysulfide electrolyte. The photovoltaic performance results demonstrate that 3 mM Cu-doped CdS QDs based QDSSCs exhibit the efficiency (η) of 3% including J_S_C = 9.40 mA cm"−"2, V_O_C = 0.637 V, FF = 0.501, which are higher than those with bare CdS (η = 2.05%, J_S_C = 7.12 mA cm"−"2, V_O_C = 0.588 V, FF = 0.489). The structural, topographical and optical properties of the thin films have been studied with the help of X-ray diffraction pattern (XRD), atomic force microscopy (AFM) and UV–vis spectrophotometer. Electrochemical impedance spectroscopy (EIS) and open circuit voltage decay (OCVD) measurements indicate that Cu-dopant can inhibit the charge recombination at the photoanode/electrolyte interface and extend the lifetime of electrons. These results reveal that incorporation of copper metal in CdS QDs is a simple and effective method to improve the photovoltaic properties of QDSSCs.

  14. Enhanced photovoltaic performance of quantum dot-sensitized solar cells with a progressive reduction of recombination using Cu-doped CdS quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Muthalif, Mohammed Panthakkal Abdul [Department of Polymer Science and Chemical Engineering, Pusan National University, Geumjeong-Ku, Jangjeong-Dong, Busan 609-735 (Korea, Republic of); Lee, Young-Seok [School of Electrical Engineering, Pusan National University, Geumjeong-Ku, Jangjeong-Dong, Busan 609-735 (Korea, Republic of); Sunesh, Chozhidakath Damodharan [Department of Polymer Science and Chemical Engineering, Pusan National University, Geumjeong-Ku, Jangjeong-Dong, Busan 609-735 (Korea, Republic of); Kim, Hee-Je [School of Electrical Engineering, Pusan National University, Geumjeong-Ku, Jangjeong-Dong, Busan 609-735 (Korea, Republic of); Choe, Youngson, E-mail: choe@pusan.ac.kr [Department of Polymer Science and Chemical Engineering, Pusan National University, Geumjeong-Ku, Jangjeong-Dong, Busan 609-735 (Korea, Republic of)

    2017-02-28

    Highlights: • Cu-doped CdS QDs were deposited on TiO{sub 2} by SILAR method. • Cu-doped CdS electrodes contributes reduction of charge recombination and longer electron lifetime. • A promising power conversion efficiency of 3% is obtained for the Cu-doped CdS Quantum dot sensitized solar cell. - Abstract: In this article, we have systematically probed the effect of Cu-doping in CdS quantum dots (QDs) to enhance the photovoltaic performance of the quantum dot-sensitized solar cells (QDSSCs). The Cu-doped CdS photoanodes were prepared by successive ionic layer adsorption and reaction (SILAR) method and the corresponding cell devices were fabricated using CuS counter electrodes with a polysulfide electrolyte. The photovoltaic performance results demonstrate that 3 mM Cu-doped CdS QDs based QDSSCs exhibit the efficiency (η) of 3% including J{sub SC} = 9.40 mA cm{sup −2}, V{sub OC} = 0.637 V, FF = 0.501, which are higher than those with bare CdS (η = 2.05%, J{sub SC} = 7.12 mA cm{sup −2}, V{sub OC} = 0.588 V, FF = 0.489). The structural, topographical and optical properties of the thin films have been studied with the help of X-ray diffraction pattern (XRD), atomic force microscopy (AFM) and UV–vis spectrophotometer. Electrochemical impedance spectroscopy (EIS) and open circuit voltage decay (OCVD) measurements indicate that Cu-dopant can inhibit the charge recombination at the photoanode/electrolyte interface and extend the lifetime of electrons. These results reveal that incorporation of copper metal in CdS QDs is a simple and effective method to improve the photovoltaic properties of QDSSCs.

  15. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  16. Effect of argon addition into oxygen atmosphere on YBCO thin films deposition

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Borisenko, I. V.; Ovsyannikov, G. A.; Kuehle, A.; Bindslev-Hansen, J.; Johannes, L.; Skov, J. L.

    2002-01-01

    Multicomponent nature of the YBa 2 Cu 3 O x (YBCO) high-temperature superconductor makes difficult fabrication of smooth thin films: every local deviation from stoichiometry can result in seeding of a non-superconducting oxide particle. High density of such particles on typical YBCO thin film surface, however, presumes overall non-stoichiometry of the film. Such an effect can result from (i) non-uniform material transport from target to substrate, and (ii) re-evaporation or re-sputtering from the growing film surface. The first reason is more usual for laser ablation deposition technique, the second is typical for long sputtering deposition processes. Substitution of oxygen with argon in the deposition atmosphere improves surface quality of YBCO thin films deposited both by laser ablation and DC-sputtering at high pressure techniques. In the first case, the ablated species are scattered different ways in the oxygen atmosphere. Addition of argon decreases the inelastic scattering of barium; the proper part of Ar in the deposition atmosphere makes scattering and, hence, transport of all atoms uniform. The YBCO films deposited by DC-sputtering at high pressure technique are Ba-deficient also, but the reason is re-sputtering of Ba from the growing film as a result of negative oxygen ions bombardment. Such bombardment can lead also to chemical interaction of the deposited material with the substrate, as in the case of deposition of YBCO thin film on the CeO 2 buffer layer on sapphire. Substitution of oxygen with argon not only suppresses ion bombardment of the film, but also increases discharge stability due to presence of positive Ar + ions. The limiting factor of argon substitution is sufficient oxygenation of the growing oxide film. When oxygen partial pressure is too small, the superconducting quality of the YBCO thin film decreases and such a decrease cannot be overcome by prolonged oxygenation after deposition. (Authors)

  17. Ga–Ge–Te amorphous thin films fabricated by pulsed laser deposition

    International Nuclear Information System (INIS)

    Němec, P.; Nazabal, V.; Dussauze, M.; Ma, H.-L.; Bouyrie, Y.; Zhang, X.-H.

    2013-01-01

    UV pulsed laser deposition was employed for the fabrication of amorphous Ga–Ge–Te thin films. The local structure of the bulk glasses as well as corresponding thin films was studied using Raman scattering spectroscopy; the main structural motifs were found to be [GeTe 4 ], eventually [GaTe 4 ] corner-sharing tetrahedra and disordered Te chains. Optical functions of the films (refractive index, extinction coefficient) were characterized by variable angle spectroscopic ellipsometry. Photostability experiments showed all Ga–Ge–Te laser deposited films to be stable against 1550 nm laser irradiation in an as-deposited state. In an annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75 . This particular composition was further studied from the point of view of thermal stability and stability against ageing in as-deposited state. - Highlights: ► Pulsed laser deposition was used for fabrication of amorphous Ga–Ge–Te thin films. ► GeTe 4 , eventually GaTe 4 tetrahedra and disordered Te chains form the film structure. ► Optical functions of Ge–Ga–Te films were characterized by spectroscopic ellipsometry. ► All as-deposited Ga–Ge–Te thin films are stable against 1550 nm irradiation. ► In annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75

  18. Electron-beam deposition of vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Marvel, R.E.; Appavoo, K. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Choi, B.K. [Vanderbilt University, Department of Electrical Engineering and Computer Science, Nashville, TN (United States); Nag, J. [Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States); Haglund, R.F. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Vanderbilt University, Institute for Nanoscale Science and Engineering, Nashville, TN (United States); Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States)

    2013-06-15

    Developing a reliable and efficient fabrication method for phase-transition thin-film technology is critical for electronic and photonic applications. We demonstrate a novel method for fabricating polycrystalline, switchable vanadium dioxide thin films on glass and silicon substrates and show that the optical switching contrast is not strongly affected by post-processing annealing times. The method relies on electron-beam evaporation of a nominally stoichiometric powder, followed by fast annealing. As a result of the short annealing procedure we demonstrate that films deposited on silicon substrates appear to be smoother, in comparison to pulsed laser deposition and sputtering. However, optical performance of e-beam evaporated film on silicon is affected by annealing time, in contrast to glass. (orig.)

  19. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  20. Atomic Layer Deposition of CdS Quantum Dots for Solid-State Quantum Dot Sensitized Solar Cells

    KAUST Repository

    Brennan, Thomas P.; Ardalan, Pendar; Lee, Han-Bo-Ram; Bakke, Jonathan R.; Ding, I-Kang; McGehee, Michael D.; Bent, Stacey F.

    2011-01-01

    Functioning quantum dot (QD) sensitized solar cells have been fabricated using the vacuum deposition technique atomic layer deposition (ALD). Utilizing the incubation period of CdS growth by ALD on TiO 2, we are able to grow QDs of adjustable size which act as sensitizers for solid-state QDsensitized solar cells (ssQDSSC). The size of QDs, studied with transmission electron microscopy (TEM), varied with the number of ALD cycles from 1-10 nm. Photovoltaic devices with the QDs were fabricated and characterized using a ssQDSSC device architecture with 2,2',7,7'-tetrakis-(N,N-di-p methoxyphenylamine) 9,9'-spirobifluorene (spiro-OMeTAD) as the solid-state hole conductor. The ALD approach described here can be applied to fabrication of quantum-confined structures for a variety of applications, including solar electricity and solar fuels. Because ALD provides the ability to deposit many materials in very high aspect ratio substrates, this work introduces a strategy by which material and optical properties of QD sensitizers may be adjusted not only by the size of the particles but also in the future by the composition. © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Atomic Layer Deposition of CdS Quantum Dots for Solid-State Quantum Dot Sensitized Solar Cells

    KAUST Repository

    Brennan, Thomas P.

    2011-10-04

    Functioning quantum dot (QD) sensitized solar cells have been fabricated using the vacuum deposition technique atomic layer deposition (ALD). Utilizing the incubation period of CdS growth by ALD on TiO 2, we are able to grow QDs of adjustable size which act as sensitizers for solid-state QDsensitized solar cells (ssQDSSC). The size of QDs, studied with transmission electron microscopy (TEM), varied with the number of ALD cycles from 1-10 nm. Photovoltaic devices with the QDs were fabricated and characterized using a ssQDSSC device architecture with 2,2\\',7,7\\'-tetrakis-(N,N-di-p methoxyphenylamine) 9,9\\'-spirobifluorene (spiro-OMeTAD) as the solid-state hole conductor. The ALD approach described here can be applied to fabrication of quantum-confined structures for a variety of applications, including solar electricity and solar fuels. Because ALD provides the ability to deposit many materials in very high aspect ratio substrates, this work introduces a strategy by which material and optical properties of QD sensitizers may be adjusted not only by the size of the particles but also in the future by the composition. © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  3. Study on the electrical properties of ITO films deposited by facing target sputter deposition

    International Nuclear Information System (INIS)

    Kim, Youn J; Jin, Su B; Kim, Sung I; Choi, Yoon S; Choi, In S; Han, Jeon G

    2009-01-01

    This study examined the mechanism for the change in the electrical properties (carrier concentration (n) and mobility (μ)) of tin-doped indium oxide (ITO) films deposited by magnetron sputtering in a confined facing magnetic field. The relationship between the carrier concentration and the mobility was significantly different from the results reported for ITO films deposited by other magnetron sputtering processes. The lowest resistivity obtained for ITO films deposited in a confined facing magnetic field at low substrate temperatures (approximately 120 0 C) was 4.26 x 10 -4 Ω cm at a power density of 3 W cm -2 . Crystalline ITO films were obtained at a low power density range from 3 to 5 W cm -2 due to the increase in the substrate temperature from 120 to 162 0 C. This contributed to the increased carrier concentration and decreased electrical resistivity. X-ray photoelectron spectroscopy revealed an increase in the concentration of the Sn 4+ states. This was attributed to the formation of a crystalline ITO film, which effectively enhanced the carrier concentration and reduced the carrier mobility.

  4. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  5. Short review on chemical bath deposition of thin film and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  6. Structural characterization of the nickel thin film deposited by glad technique

    Directory of Open Access Journals (Sweden)

    Potočnik J.

    2013-01-01

    Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005

  7. CdSxSe1−x alloyed quantum dots-sensitized solar cells based on different architectures of anodic oxidation TiO2 film

    International Nuclear Information System (INIS)

    Li, Zhen; Yu, Libo; Liu, Yingbo; Sun, Shuqing

    2014-01-01

    Nanostructured TiO 2 translucent films with different architectures including TiO 2 nanotube (NT), TiO 2 nanowire (NW), and TiO 2 nanowire/nanotube (NW/NT) have been produced by second electrochemical oxidization of TiO 2 NT with diameter around 90–110 nm via modulation of applied voltage. These TiO 2 architectures are sensitized with CdS x Se 1−x alloyed quantum dots (QDs) in sizes of around 3–5 nm aiming to tune the response of the photoelectrochemical properties in the visible region. One-step hydrothermal method facilitates the deposition of CdS x Se 1−x QDs onto TiO 2 films. These CdS x Se 1−x QDs exhibit a tunable range of light absorption with changing the feed molar ratio of S:Se in precursor solution, and inject electrons into TiO 2 films upon excitation with visible light, enabling their application as photosensitizers in sensitized solar cells. Power conversion efficiency (PCE) of 2.00, 1.72, and 1.06 % are achieved with CdS x Se 1−x (obtained with S:Se = 0:4) alloyed QDs sensitized solar cells based on TiO 2 NW/NT, TiO 2 NW, and TiO 2 NT architectures, respectively. The significant enhancement of power conversion efficiency obtained with the CdS x Se 1−x /TiO 2 NW/NT solar cell can be attributed to the extended absorption of light region tuned by CdS x Se 1−x alloyed QDs and enlarged deposition of QDs and efficient electrons transport provided by TiO 2 NW/NT architecture

  8. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    Science.gov (United States)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  9. Structural studies of thin films of semiconducting nanoparticles in polymer matrices

    International Nuclear Information System (INIS)

    Di Luccio, Tiziana; Piscopiello, Emanuela; Laera, Anna Maria; Antisari, Marco Vittori

    2007-01-01

    Ordered films of nanoscale materials are issue of wide interest for applications in several fields, such as optics, catalysis, and bioelectronics. In particular, semiconducting nanoparticles incorporation in a processable polymer film is an easy way to manipulate such materials for their application. We deposited thin layers of cadmium sulphide (CdS) and zinc sulphide (ZnS) nanoparticles embedded in a thermoplastic cyclo-olephin copolymer (COC) with elevated optical transparency and highly bio-compatible. The nanoparticles were obtained by thiolate precursors previously dispersed in the polymer upon thermal treatment at temperatures ranging between 200 and 300 deg. C depending on the desired size. The precursor/polymer solutions were spin-coated in order to get thin films. The spinning conditions were changed in order to optimise the layer thickness and uniformity. The samples were mainly characterised by X-ray reflectivity (XRR) and by high-resolution transmission electron microscopy (HRTEM) analyses. The thinnest layer we have deposited is 8 nm thick, as evaluated by XRR. The HRTEM measurements showed that the nanoparticles have quasi-spherical shape without evident microstructural defects. The size of the nanoparticles depends on the annealing temperature, e.g. at 232 deg. C the size of the CdS nanoparticles is about 4-5 nm

  10. Structural studies of thin films of semiconducting nanoparticles in polymer matrices

    Energy Technology Data Exchange (ETDEWEB)

    Di Luccio, Tiziana [ENEA, Centro Ricerche Brindisi, SS7 Appia Km 706, I-72100 Brindisi (Italy)], E-mail: tiziana.diluccio@portici.enea.it; Piscopiello, Emanuela; Laera, Anna Maria [ENEA, Centro Ricerche Brindisi, SS7 Appia Km 706, I-72100 Brindisi (Italy); Antisari, Marco Vittori [ENEA, Centro Ricerche Casaccia, Via Anguillarese 301, I-00060 S. Maria di Galeria (Roma) (Italy)

    2007-09-15

    Ordered films of nanoscale materials are issue of wide interest for applications in several fields, such as optics, catalysis, and bioelectronics. In particular, semiconducting nanoparticles incorporation in a processable polymer film is an easy way to manipulate such materials for their application. We deposited thin layers of cadmium sulphide (CdS) and zinc sulphide (ZnS) nanoparticles embedded in a thermoplastic cyclo-olephin copolymer (COC) with elevated optical transparency and highly bio-compatible. The nanoparticles were obtained by thiolate precursors previously dispersed in the polymer upon thermal treatment at temperatures ranging between 200 and 300 deg. C depending on the desired size. The precursor/polymer solutions were spin-coated in order to get thin films. The spinning conditions were changed in order to optimise the layer thickness and uniformity. The samples were mainly characterised by X-ray reflectivity (XRR) and by high-resolution transmission electron microscopy (HRTEM) analyses. The thinnest layer we have deposited is 8 nm thick, as evaluated by XRR. The HRTEM measurements showed that the nanoparticles have quasi-spherical shape without evident microstructural defects. The size of the nanoparticles depends on the annealing temperature, e.g. at 232 deg. C the size of the CdS nanoparticles is about 4-5 nm.

  11. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  12. Laser-assisted deposition of thin C60 films

    DEFF Research Database (Denmark)

    Schou, Jørgen; Canulescu, Stela; Fæster, Søren

    Metal and metal oxide films with controlled thickness from a fraction of a monolayer up more than 1000 nm and known stoichiometry can be produced by pulsed laser deposition (PLD) relatively easily, and (PLD) is now a standard technique in all major research laboratories within materials science...... of the matrix material, anisole, with a concentration of 0.67 wt% C60. At laser fluences below 1.5 J/cm2, a dominant fraction of the film molecules are C60 transferred to the substrate without any fragmentation. High-resolution SEM images of MAPLE deposited films reveal large circular features on the surface...

  13. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  14. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  15. Tribological behavior of in situ Ag nanoparticles/polyelectrolyte composite molecular deposition films

    International Nuclear Information System (INIS)

    Guo Yanbao; Wang Deguo; Liu Shuhai

    2010-01-01

    Multilayer polyelectrolyte films containing silver ions were obtained by molecular deposition method on a glass plate or a quartz substrate. The in situ Ag nanoparticles were synthesized in the multilayer polyelectrolyte films which were put into fresh NaBH 4 aqueous solution. The structure and surface morphology of composite molecular deposition films were observed by UV-vis spectrophotometer, X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). Tribological characteristic was investigated by AFM and micro-tribometer. It was found that the in situ Ag nanoparticles/polyelectrolyte composite molecular deposition films have lower coefficient of friction and higher anti-wear life than pure polyelectrolyte molecular deposition films.

  16. PVD processes of thin films deposition using Hall-current discharge

    International Nuclear Information System (INIS)

    Svadkovskij, I.V.

    2007-01-01

    Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)

  17. Characterization of superconducting thin films deposited by laser ablation. Caracterisation de films minces supraconducteurs deposes par ablation laser

    Energy Technology Data Exchange (ETDEWEB)

    Sentis, M; Delaporte, P [I.M.F.M., 13 - Marseille (FR); Gerri, M; Marine, W [Aix-Marseille-2 Univ., 13-Marseille (FR). Centre Universitaire de Luminy

    1991-05-01

    Thin films of YBa{sub 2}Cu{sub 3}O{sub 7} are deposited by laser ablation on MgO and YSZ substrates. Deposits by infrared (I.R.) Nd: YAG are non stoechiometric. The films having the best superconductor qualities are deposited by ablation with an excimer U.V. laser ({lambda} = 308 nm). These films are epitaxiated with the c axis perpendicular to the substrate. The film quality depends on the substrate temperature, oxygen pressure and cooling speed.

  18. Aerosol deposition of Ba0.8Sr0.2TiO3 thin films

    Directory of Open Access Journals (Sweden)

    Branković Zorica

    2009-01-01

    Full Text Available In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0 or Si covered by platinum (Pt (1 1 1 /Ti/SiO2/Si. Investigation showed that the best films were obtained at substrate temperature of 85ºC. After deposition films were slowly heated up to 650ºC, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.

  19. Direct-current substrate bias effects on amorphous silicon sputter-deposited films for thin film transistor fabrication

    International Nuclear Information System (INIS)

    Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.

    2005-01-01

    The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film

  20. Sputter deposition of tantalum-nitride films on copper using an rf-plasma

    International Nuclear Information System (INIS)

    Walter, K.C.; Fetherston, R.P.; Sridharan, K.; Chen, A.; Shamim, M.M.; Conrad, J.R.

    1994-01-01

    A tantalum-nitride film was successfully deposited at ambient temperature on copper with a modified ion-assisted-deposition (IAD) technique. The process uses an argon and nitrogen plasma to sputter deposit from a tantalum rf-cathode and ion implant the deposited film simultaneously. Both argon and nitrogen ions are used for sputtering and ion implantation. Auger spectroscopy and x-ray diffraction were used to characterize the resulting film

  1. Properties of pulsed laser deposited NiO/MWCNT thin films

    CSIR Research Space (South Africa)

    Yalisi, B

    2011-05-01

    Full Text Available Pulsed laser deposition (PLD) is a thin-film deposition technique, which uses short and intensive laser pulses to evaporate target material. The technique has been used in this work to produce selective solar absorber (SSA) thin film composites...

  2. Stress anisotropy and stress gradient in magnetron sputtered films with different deposition geometries

    International Nuclear Information System (INIS)

    Zhao, Z.B.; Yalisove, S.M.; Bilello, J.C.

    2006-01-01

    Mo films were deposited via magnetron sputtering with two different deposition geometries: dynamic deposition (moving substrate) and static deposition (fixed substrate). The residual stress and structural morphologies of these films were investigated, with particular focus on in-plane anisotropy of the biaxial stress and stress gradient across the film thickness. The results revealed that the Mo films developed distinct states of residual stress, which depended on both deposition geometry and film thickness. With the dynamic geometry, the Mo films generally exhibited anisotropic stress. Both the degree of anisotropy and the magnitude of stress varied as functions of film thickness. The variation of stress was linked to the evolution of anisotropic microstructures in the films. The Mo films from the static geometry developed isotropic residual stress, which was more compressive and noticeably larger in magnitude than that of the Mo films from the dynamic geometry. Aside from these disparities, the two types of Mo films (i.e., anisotropic and isotropic) exhibited notably similar trends of stress variation with film thickness. Depth profiling indicated the presence of large stress gradients for the Mo films, irrespective of the deposition geometries. This observation seems to be consistent with the premise that Mo films develop a zone T structure, which is inherently inhomogeneous along the film thickness. Moreover, the largest stress gradient for both types of deposition geometries arises at roughly the same film depth (∼240 nm from substrate), where the stresses sharply transits from highly compressive to less compressive or even tensile. This appears to correspond to the boundary region that separates two distinct stages of microstructural evolution, a feature unique to zone T-type structure

  3. Structure and properties of TiC, VC, and TiC/VC thin films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Krzanowski, J.E.; Leuchtner, R.E.

    1996-01-01

    A study has been conducted on the mechanical, tribological and chemical properties of pulsed laser deposited (PLD) TiC, VC and TiC/VC thin films. The TiC films were deposited at 375 C and 5 mTorr Ar, while the TiC/VC films were deposited from a composite target at 475 C at pressures of base vacuum and 50 mTorr Ar. XRD analysis revealed the films had the expected B1 structure, although XPS analysis showed a significant oxygen content. Tribological studies were conducted using a ball-on-disk test, and the wear behavior depended on the surface condition and film composition. One TiC/VC film exhibited little wear but caused significant ball wear, indicating mixed carbide films are promising candidates for wear-resistant coatings

  4. Band-gap and sub-band-gap photoelectrochemical processes at nanocrystalline CdS grown on ZnO by successive ionic layer adsorption and reaction method

    International Nuclear Information System (INIS)

    Malashchonak, M.V.; Streltsov, E.A.; Mazanik, A.V.; Kulak, A.I.; Poznyak, S.K.; Stroyuk, O.L.; Kuchmiy, S.Ya.; Gaiduk, P.I.

    2015-01-01

    Cadmium sulfide nanoparticle (NP) deposition by the successive ionic layer adsorption and reaction (SILAR) method on the surface of mesoporous ZnO micro-platelets with a large specific surface area (110 ± 10 m 2 g −1 ) results in the formation of ZnO/CdS heterostructures exhibiting a high incident photon-to-current conversion efficiency (Y) not only within the region of CdS fundamental absorption (Y max = 90%; 0.1 M Na 2 S + 0.1 M Na 2 SO 3 ), but also in the sub-band-gap (SBG) range (Y max = 25%). The onset potentials of SBG photoelectrochemical processes are more positive than the band-gap (BG) onset potential by up to 100 mV. A maximum incident photon-to-current conversion efficiency value for SBG processes is observed at larger amount of deposited CdS in comparison with the case of BG ones. The Urbach energy (E U ) of CdS NPs determined from the photocurrent spectra reaches a maximal value on an early deposition stage (E U = 93 mV at SILAR cycle number N = 5), then lowers somewhat (E U = 73 mV at N = 10) and remains steady in the range of N from 20 to 300 (E U = 67 ± 1 mV). High efficiency of the photoelectrochemical SBG processes are interpreted in terms of light scattering in the ZnO/CdS heterostructures. - Highlights: • ZnO/CdS films demonstrate high quantum efficiency (25%) for sub-band-gap transitions. • Onset photocurrent potentials for sub-band-gap processes differ than those for band-gap ones. • Sub-band-gap transitions are caused by band-tail states in CdS nanoparticles

  5. Band-gap and sub-band-gap photoelectrochemical processes at nanocrystalline CdS grown on ZnO by successive ionic layer adsorption and reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Malashchonak, M.V., E-mail: che.malasche@gmail.com [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Streltsov, E.A., E-mail: streltea@bsu.by [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Mazanik, A.V. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Kulak, A.I., E-mail: kulak@igic.bas-net.by [Institute of General and Inorganic Chemistry, National Academy of Sciences of Belarus, Surganova str., 9/1, Minsk 220072 (Belarus); Poznyak, S.K. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Stroyuk, O.L., E-mail: stroyuk@inphyschem-nas.kiev.ua [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 prosp. Nauky, 03028 Kyiv (Ukraine); Kuchmiy, S.Ya. [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 prosp. Nauky, 03028 Kyiv (Ukraine); Gaiduk, P.I. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus)

    2015-08-31

    Cadmium sulfide nanoparticle (NP) deposition by the successive ionic layer adsorption and reaction (SILAR) method on the surface of mesoporous ZnO micro-platelets with a large specific surface area (110 ± 10 m{sup 2}g{sup −1}) results in the formation of ZnO/CdS heterostructures exhibiting a high incident photon-to-current conversion efficiency (Y) not only within the region of CdS fundamental absorption (Y{sub max} = 90%; 0.1 M Na{sub 2}S + 0.1 M Na{sub 2}SO{sub 3}), but also in the sub-band-gap (SBG) range (Y{sub max} = 25%). The onset potentials of SBG photoelectrochemical processes are more positive than the band-gap (BG) onset potential by up to 100 mV. A maximum incident photon-to-current conversion efficiency value for SBG processes is observed at larger amount of deposited CdS in comparison with the case of BG ones. The Urbach energy (E{sub U}) of CdS NPs determined from the photocurrent spectra reaches a maximal value on an early deposition stage (E{sub U} = 93 mV at SILAR cycle number N = 5), then lowers somewhat (E{sub U} = 73 mV at N = 10) and remains steady in the range of N from 20 to 300 (E{sub U} = 67 ± 1 mV). High efficiency of the photoelectrochemical SBG processes are interpreted in terms of light scattering in the ZnO/CdS heterostructures. - Highlights: • ZnO/CdS films demonstrate high quantum efficiency (25%) for sub-band-gap transitions. • Onset photocurrent potentials for sub-band-gap processes differ than those for band-gap ones. • Sub-band-gap transitions are caused by band-tail states in CdS nanoparticles.

  6. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  7. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  8. Characterization of chemically deposited Ag/sub 2/S thin films

    International Nuclear Information System (INIS)

    Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.

    2001-01-01

    Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)

  9. Effects of surface deposition and droplet injection on film cooling

    International Nuclear Information System (INIS)

    Wang, Jin; Cui, Pei; Vujanović, Milan; Baleta, Jakov; Duić, Neven; Guzović, Zvonimir

    2016-01-01

    Highlights: • Cooling effectiveness is significantly affected by the deposition size. • Coverage area for model without mist is reduced by increasing the deposition height. • Wall temperature is decreased by 15% with 2% mist injection. • Cooling coverage is increased by more than three times with 2% mist injection. • Cooling effectiveness for mist models is improved by increasing deposition height. - Abstract: In the present research, the influence of the particle dispersion onto the continuous phase in film cooling application was analysed by means of numerical simulations. The interaction between the water droplets and the main stream plays an important role in the results. The prediction of two-phase flow is investigated by employing the discrete phase model (DPM). The results present heat transfer characteristics in the near-wall region under the influence of mist cooling. The local wall temperature distribution and film cooling effectiveness are obtained, and results show that the film cooling characteristics on the downstream wall are affected by different height of surface deposits. It is also found that smaller deposits without mist injection provide a lower wall temperature and a better cooling performance. With 2% mist injection, evaporation of water droplets improves film cooling effectiveness, and higher deposits cause lateral and downstream spread of water droplets. The results indicate that mist injection can significantly enhance film cooling performance.

  10. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  11. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    CERN Document Server

    Ma, B; Koritala, R E; Fisher, B L; Markowitz, A R; Erck, R A; Baurceanu, R; Dorris, S E; Miller, D J; Balachandran, U

    2003-01-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx 9deg was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55deg . In-plane texture in the ISD MgO films developed in the first approx 0.5 mu m from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the...

  12. Deposition and characterization of aluminum magnesium boride thin film coatings

    Science.gov (United States)

    Tian, Yun

    Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (boride films, high vacuum level-as deposited AlMgB14 films also possess a low n-type electrical resistivity, which is a consequence of high carrier concentration and moderate carrier mobility. The operative electrical transport mechanism and doping behavior for high vacuum level-as deposited AlMgB14

  13. Quality improvement of organic thin films deposited on vibrating substrates

    Energy Technology Data Exchange (ETDEWEB)

    Paredes, Y.A.; Caldas, P.G.; Prioli, R.; Cremona, M., E-mail: cremona@fis.puc-rio.br

    2011-12-30

    Most of the Organic Light-Emitting Diodes (OLEDs) have a multilayered structure composed of functional organic layers sandwiched between two electrodes. Thin films of small molecules are generally deposited by thermal evaporation onto glass or other rigid or flexible substrates. The interface state between two organic layers in OLED device depends on the surface morphology of the layers and affects deeply the OLED performance. The morphology of organic thin films depends mostly on substrate temperature and deposition rate. Generally, the control of the substrate temperature allows improving the quality of the deposited films. For organic compounds substrate temperature cannot be increased too much due to their poor thermal stability. However, studies in inorganic thin films indicate that it is possible to modify the morphology of a film by using substrate vibration without increasing the substrate temperature. In this work, the effect of the resonance vibration of glass and silicon substrates during thermal deposition in high vacuum environment of tris(8-quinolinolate)aluminum(III) (Alq{sub 3}) and N,N Prime -Bis(naphthalene-2-yl)-N,N Prime -bis(phenyl)-benzidine ({beta}-NPB) organic thin films with different deposition rates was investigated. The vibration used was in the range of hundreds of Hz and the substrates were kept at room temperature during the process. The nucleation and subsequent growth of the organic films on the substrates have been studied by atomic force microscopy technique. For Alq{sub 3} and {beta}-NPB films grown with 0.1 nm/s as deposition rate and using a frequency of 100 Hz with oscillation amplitude of some micrometers, the results indicate a reduction of cluster density and a roughness decreasing. Moreover, OLEDs fabricated with organic films deposited under these conditions improved their power efficiency, driven at 4 mA/cm{sup 2}, passing from 0.11 lm/W to 0.24 lm/W with an increase in their luminance of about 352 cd/m{sup 2

  14. Deposition of SiC thin films by PECVD

    CERN Document Server

    Cho, N I; Kim, C K

    1999-01-01

    The SiC films were deposited on Si substrate by the decomposition of CH sub 3 SiCl sub 3 (methylthrichlorosilane) molecules in a high frequency discharge field. From the Raman spectra, it is conjectured that the deposited film are formed into the polycrystalline structure. The photon absorption measurement reveal that the band gap of the electron energy state are to be 2.4 eV for SiC, and 2.6 eV for Si sub 0 sub . sub 4 C sub 0 sub . sub 6 , respectively. In the high power density regime, methyl-radicals decompose easily and increases the carbon concentration in plasma and result in the growing films.

  15. Realizing near stoichiometric and highly transparent CdS:Mo thin films by a low-cost improved SILAR technique

    Energy Technology Data Exchange (ETDEWEB)

    Ravichandran, K. [P.G. and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur 613503, Tamil Nadu (India); Nisha Banu, N. [P.G. and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur 613503, Tamil Nadu (India); Research Department of Physics, Kunthavai Naachiyaar Government Arts College for Women (Autonomous), Thanjavur 613007, Tamil Nadu (India); Baneto, M. [CUER-UL, Universite de Lome, BP 1515, Lome (Togo); Senthamil Selvi, V. [Research Department of Physics, Kunthavai Naachiyaar Government Arts College for Women (Autonomous), Thanjavur 613007, Tamil Nadu (India)

    2016-02-15

    Undoped and molybdenum doped CdS thin films were deposited on glass substrates using Improved Successive Ionic Layer Adsorption and Reaction (ISILAR) technique. The Mo doping level was varied from 0 to 15 at.% in steps of 5 at.%. The XRD analysis shows that all the films are polycrystalline with cubic structure and grow preferentially along the (111) plane. The crystallite size increases gradually with the increase in Mo doping level up to 10 at.% and decreases with further doping. The morphological studies reveal that Mo doping significantly affects the grains size. Qualitative and quantitative compositional analysis show that near stoichiometric undoped and Mo doped CdS thin films can be achieved using this ISILAR technique. All the films exhibit high transparency in the visible region with an average transmittance in the range of 85-95%. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  17. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  18. Transport Properties of LCMO Granular Films Deposited by the Pulsed Electron Deposition Technique

    Institute of Scientific and Technical Information of China (English)

    CHEN Leiming; XU Bin; ZHANG Yan; CHEN Zhenping

    2011-01-01

    By finely controlling the deposition parameters in the pulsed electron deposition process,granular La2/3Ca1/3MnO3 (LCMO) film was grown on silicon substrates.The substrate temperature,ambient pressure in the deposition chamber and acceleration potential for the electron beam were all found to affect the grain size of the film,resulting in different morphologies of the samples.Transport properties of the obtained granular films,especially the magnetoresistance (MR),were studied.Prominent low-field MR was observed in all samples,indicating the forming of grain boundaries in the sample.The low-field MR show great sensitive to the morphology evolution,which reaches the highest value of about 40% for the sample with the grain size of about 250 nm.More interestingly,positive-MR (p-MR) was also detected above 300 K when low magnetic field applying,whereas it disappeared with higher magnetic field applied up to 1.5 and 2 Tesla.Instead of the spinpolarized tunneling process being commonly regarded as a responsible reason,lattice mismatch between LCMO film and silicon substrate appears to be the origin of the p-MR

  19. Progress on sputter-deposited thermotractive titanium-nickel films

    International Nuclear Information System (INIS)

    Grummon, D.S.; Hou Li; Zhao, Z.; Pence, T.J.

    1995-01-01

    It is now well established that titanium-nickel alloys fabricated as thin films by physical vapor deposition can display the same transformation and shape-memory effects as their ingot-metallurgy counterparts. As such they may find important application to microelectromechanical and biomechanical systems. Furthermore, we show here that titanium-nickel films may be directly processed so as to possess extremely fine austenite grain size and very high strength. These films display classical transformational superelasticity, including high elastic energy storage capacity, the expected dependence of martensite-start temperature on transformation enthalpy, and large, fully recoverable anelastic strains at temperatures above A f . Processing depends on elevated substrate temperatures during deposition, which may be manipulated within a certain range to control both grain size and crystallographic texture. It is also possible to deposit crystalline titanium-nickel films onto polymeric substrates, making them amenable to lithographic patterning into actuator elements that are well-suited to electrical excitation of the martensite reversion transformation. Finally, isothermal annealing of nickel-rich films, under conditions of controlled extrinsic residual stress, leads to topotaxial orientation of Ni 4 Ti 3 -type precipitates, and the associated possibility of two-way memory effects. Much work remains to be done, especially with respect to precise control of composition. (orig.)

  20. Plume-induced stress in pulsed-laser deposited CeO2 films

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Budai, J.D.; Pennycook, S.J.; Prouteau, C.

    1999-01-01

    Residual compressive stress due to plume-induced energetic particle bombardment in CeO 2 films deposited by pulsed-laser deposition is reported. For laser ablation film growth in low pressures, stresses as high as 2 GPa were observed as determined by substrate curvature and four-circle x-ray diffraction. The amount of stress in the films could be manipulated by controlling the kinetic energies of the ablated species in the plume through gas-phase collisions with an inert background gas. The film stress decreased to near zero for argon background pressures greater than 50 mTorr. At these higher background pressures, the formation of nanoparticles in the deposited film was observed. copyright 1999 American Institute of Physics

  1. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  2. Synthesis of LSM films deposited by dip-coating on YSZ substrate

    International Nuclear Information System (INIS)

    Conceicao, Leandro da; Souza, Mariana M.V.M.; Ribeiro, Nielson F.P.

    2010-01-01

    The dip-coating process was used to deposit films of La 0.7 Sr 0. 3MnO 3 (LSM) used as cathode in solid oxide fuel cells (SOFC). In this study we evaluated the relationship between the deposition parameters such as speed of withdrawal and number of deposited layers of LSM film on a substrate of 8% YSZ commercial, and structural properties, such as thickness and formation of cracks. The structure and morphology of the films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). With parameters set the film had good adhesion to the substrate with a thickness around 10 μm, showing possible adherence problems when more than one layer is deposited on the substrate. (author)

  3. Biomaterial thin film deposition and characterization by means of MAPLE technique

    International Nuclear Information System (INIS)

    Bloisi, F.; Vicari, L.; Papa, R.; Califano, V.; Pedrazzani, R.; Bontempi, E.; Depero, L.E.

    2007-01-01

    Polyethylene glycol (PEG) is a polymer with technologically important applications, especially as a biomaterial. Several biomedical applications (such as tissue engineering, spatial patterning of cells, anti-biofouling and biocompatible coatings) require the application of high quality PEG thin films. In order to have a good adhesion to substrate chemically modified polymer molecules have been used, but for some 'in vivo' applications it is essential to deposit a film with the same chemical and structural properties of bulk PEG. Pulsed laser deposition (PLD) technique is generally able to produce high quality thin films but it is inadequate for polymer/organic molecules. MAPLE (Matrix Assisted Pulsed Laser Evaporation) is a recently developed PLD based thin film deposition technique, particularly well suited for organic/polymer thin film deposition. Up to now MAPLE depositions have been carried out mainly by means of modified PLD systems, using excimer lasers operating in UV, but the use of less energetic radiations can minimize the photochemical decomposition of the polymer molecules. We have used a deposition system explicitly designed for MAPLE technique connected to a Q-switched Ng:YAG pulsed laser which can be operated at different wavelength ranging from IR to UV in order to optimise the deposition parameters. The capability of MAPLE technique to deposit PEG has been confirmed and preliminary results show that visible (532 nm wavelength) radiation gives better results with respect to UV (355 nm) radiation. Despite usually UV wavelengths have been used and even if more systematic tests must be performed, it is important to underline that the choice of laser wavelength plays an important role in the application of MAPLE thin film deposition technique

  4. Nanomechanical properties of GaSe thin films deposited on Si(1 1 1) substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Jian, Sheng-Rui; Juang, Jenh-Yih; Luo, Chih-Wei; Ku, Shin-An; Wu, Kaung-Hsiung

    2012-01-01

    Highlights: ► GaSe thin films are grown by PLD. ► Structural properties of GaSe thin films are measured by XRD. ► Hardness and Young’s modulus of GaSe thin films are measured by nanoindentation. - Abstract: The correlations between the crystalline structure and mechanical properties of GaSe thin films were investigated by means of X-ray diffraction (XRD) and nanoindentation techniques. The GaSe thin films were deposited on Si(1 1 1) substrates deposited at various deposition temperatures using pulsed laser deposition (PLD). The XRD results indicate that all the GaSe thin films are pure hexagonal phase with highly (0 0 0 l)-oriented characteristics. Nanoindentation results revealed apparent discontinuities (so-called multiple “pop-in” events) in the load-displacement curve, while no discontinuity was observed in the unloading segment of the load-displacement curve. The hardness and Young’s modulus of GaSe thin films determined by the continuous stiffness measurements (CSM) method indicated that both mechanical parameters increased with the increasing deposition temperature with the hardness and the Young’s modulus being increased from 1.2 ± 0.1 to 1.8 ± 0.1 GPa and from 39.6 ± 1.2 to 68.9 ± 2.7 GPa, respectively, as the deposition temperature was raised from 400 to 475 °C. These results suggest that the increased grain size might have played a prominent role in determining the mechanical properties of the PLD-derived GaSe thin films.

  5. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  6. Use of carbon dots to enhance UV-blocking of transparent nanocellulose films.

    Science.gov (United States)

    Feng, Xin; Zhao, Yafei; Jiang, Yaoquan; Miao, Miao; Cao, Shaomei; Fang, Jianhui

    2017-04-01

    High-efficient transparent UV-blocking nanocellulose (NC) films were successfully assembled by pressured-extrusion of the composites of carbon dots (CDs), 2,2,6,6-tetramethyl-1-piperidinyloxy (TEMPO) radical mediated oxidized nanocellulose (ONC) and ZnO nanostructures. ONC nanofibrils were firstly extracted from bamboo fibers and subsequently prepared by applying TEMPO oxidation. The as-obtained CDs-ONC-ZnO films exhibited high visible light transparency, excellent thermal stability and enhanced UV-blocking properties. Compared to the previously designed NC-ZnO films, CDs-ONC-ZnO films presented significant increase of UV-blocking ratio (UVR) with the same amounts of ZnO. Moreover, the UVR of CDs-ONC-s-ZnO film with 4wt% sheet-like ZnO (s-ZnO) at 300nm and 225nm is 92.74% and 98.99%, better than the same condition of CDs-ONC-b-ZnO film added with belt-like ZnO (b-ZnO) and CDs-ONC-p-ZnO film added with commercial particulate ZnO (p-ZnO). An interesting discovery is that when adding 4wt% p-ZnO, the UVR of CDs-ONC-p-ZnO film is very close to the value of NC-s-ZnO film with the same amount of s-ZnO. Copyright © 2017 Elsevier Ltd. All rights reserved.

  7. Microstructure and phase composition of sputter-deposited zirconia-yttria films

    International Nuclear Information System (INIS)

    Knoll, R.W.; Bradley, E.R.

    1983-11-01

    Thin ZrO 2 -Y 2 O 3 coatings ranging in composition from 3 to 15 mole % Y 2 O 3 were produced by rf sputter deposition. This composition range spanned the region on the equilibrium ZrO 2 -Y 2 O 3 phase diagram corresponding to partially stabilized zirconia (a mixture of tetragonal ZrO 2 and cubic solid solution). Microstructural characteristics and crystalline phase composition of as-deposited and heat treated films (1100 0 C and 1500 0 C) were determined by transmission electron microscopy (TEM) and by x-ray diffraction (XRD). Effects of substrate bias (0 approx. 250 volts), which induced ion bombardment of the film during growth, were also studied. The as-deposited ZrO 2 -Y 2 O 3 films were single phase over the composition range studied, and XRD data indicated considerable local atomic disorder in the lattice. Films produced at low bias contained intergranular voids, pronounced columnar growth, and porosity between columns. At high bias, the microstructure was denser, and films contained high compressive stress. After heat treatment, all deposits remained single phase, therefore a microstructure and precipitate distribution characteristic of toughened, partially stabilized zirconia appear to be difficult to achieve in vapor deposited zirconia coatings

  8. Synthesis and crystalline properties of CdS incorporated polyvinylidene fluoride (PVDF) composite film

    Science.gov (United States)

    Patel, Arunendra Kumar; Sunder, Aishwarya; Mishra, Shweta; Bajpai, Rakesh

    2018-05-01

    This paper gives an insight on the synthesis and crystalline properties of Polyvinylidene Fluoride (PVDF) (host matrix) composites impregnated with Cadmium Sulphide (CdS) using Dimethyl formamide (DMF) as the base, prepared by the well known solvent casting technique. The effect of doping concentration of CdS in to the PVDF matrix was studied using X-ray diffraction technique. The structural properties like crystallinity Cr, interplanar distance d, average size of the crystalline region (D), and average inter crystalline separation (R) have been estimated for the developed composite. The crystallinity index, crystallite size and inter crystalline separation is increasing with increase in the concentration of CdS in to the PVDF matrix while the interplanar distance d is decreasing.

  9. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    Science.gov (United States)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  10. Bioactive glass and hydroxyapatite thin films obtained by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gyorgy, E. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania) and Consejo Superior de Investigaciones Cientificas, Instituto de Ciencia de Materiales de Barcelona, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: egyorgy@icmab.es; Grigorescu, S. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Socol, G. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Janackovic, D. [Faculty of Technology and Metallurgy, University of Belgrade, Karnegijeva 4, 11000 Belgrade (Serbia); Dindune, A. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Kanepe, Z. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Palcevskis, E. [Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Zdrentu, E.L. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania); Petrescu, S.M. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania)

    2007-07-31

    Bioactive glass (BG), calcium hydroxyapatite (HA), and ZrO{sub 2} doped HA thin films were grown by pulsed laser deposition on Ti substrates. An UV KrF{sup *} ({lambda} = 248 nm, {tau} {>=} 7 ns) excimer laser was used for the multi-pulse irradiation of the targets. The substrates were kept at room temperature or heated during the film deposition at values within the (400-550 deg. C) range. The depositions were performed in oxygen and water vapor atmospheres, at pressure values in the range (5-40 Pa). The HA coatings were heat post-treated for 6 h in a flux of hot water vapors at the same temperature as applied during deposition. The surface morphology, chemical composition, and crystalline quality of the obtained thin films were studied by scanning electron microscopy, atomic force microscopy, and X-ray diffractometry. The films were seeded for in vitro tests with Hek293 (human embryonic kidney) cells that revealed a good adherence on the deposited layers. Biocompatibility tests showed that cell growth was better on HA than on BG thin films.

  11. Deposition and characterization of Pt nanocluster films by means of gas aggregation cluster source

    Energy Technology Data Exchange (ETDEWEB)

    Kylián, Ondřej, E-mail: ondrej.kylian@gmail.com; Prokeš, Jan; Polonskyi, Oleksandr; Čechvala, Juraj; Kousal, Jaroslav; Pešička, Josef; Hanuš, Jan; Biederman, Hynek

    2014-11-28

    In this study we report on the deposition of Pt nanocluster films prepared by gas aggregation source that was operated with argon as working gas. The aim of this study was optimization of deposition process as well as determination of properties of deposited nanocluster films and their temporal stability. It was found that the production of Pt nanoclusters reached maximum value for pressure of 100 Pa and increases monotonously with magnetron current. The deposition rate at optimized deposition conditions was 0.7 nm of the Pt nanocluster film per second. Deposited films were porous and composed of 4 nm Pt nanoclusters. The nanoclusters were metallic and no sights of their oxidation were observed after 1 year on open air as witnessed by X-ray photoelectron spectroscopy. Regarding the electrical properties, a dramatic decrease of the resistivity was observed with increasing amount of deposited nanoclusters. This decrease saturated for the films approximately 50 nm thick. Such behavior indicates transition between different mechanisms of electrical conductivity: charge hopping for thin discontinuous films and current conduction through conducting path formed when higher amount of nanoclusters is deposited. Different mechanisms of electrical conduction for thin and thick layers of Pt were confirmed by subsequent investigation of temperature dependence of resistivity. In addition, no changes in resistivity were observed after one year on open air that confirms stability of produced Pt nanocluster films. - Highlights: • Pt nanocluster films were deposited by gas aggregation nanocluster source. • Conditions leading to effective deposition of Pt nanocluster films were found. • Deposited nanocluster films have good temporal stability. • Electrical properties of Pt films were found to depend on their thickness.

  12. Fabrication of cuprous chloride films on copper substrate by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)

    2015-09-30

    Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.

  13. Nanocauliflower like structure of CdS thin film for solar cell photovoltaic applications: Insitu tin doping by chemical bath deposition technique

    CSIR Research Space (South Africa)

    Wilson, KC

    2014-01-01

    Full Text Available ). The Sn(sup4+) doping markedly influenced on the evolution of the CdS nanostructures, resulting in the formation of nanocracks due to the substitution of Cd(sup2+) ions by larger-than-host Sn(sup4+) ions as well as a drastic increase in electrical...

  14. Capillary assisted deposition of carbon nanotube film for strain sensing

    Science.gov (United States)

    Li, Zida; Xue, Xufeng; Lin, Feng; Wang, Yize; Ward, Kevin; Fu, Jianping

    2017-10-01

    Advances in stretchable electronics offer the possibility of developing skin-like motion sensors. Carbon nanotubes (CNTs), owing to their superior electrical properties, have great potential for applications in such sensors. In this paper, we report a method for deposition and patterning of CNTs on soft, elastic polydimethylsiloxane (PDMS) substrates using capillary action. Micropillar arrays were generated on PDMS surfaces before treatment with plasma to render them hydrophilic. Capillary force enabled by the micropillar array spreads CNT solution evenly on PDMS surfaces. Solvent evaporation leaves a uniform deposition and patterning of CNTs on PDMS surfaces. We studied the effect of the CNT concentration and micropillar gap size on CNT coating uniformity, film conductivity, and piezoresistivity. Leveraging the piezoresistivity of deposited CNT films, we further designed and characterized a device for the contraction force measurement. Our capillary assisted deposition method of CNT films showed great application potential in fabrication of flexible CNT thin films for strain sensing.

  15. Effect of performance of Zr-Y alloy target on thin film deposition technology

    International Nuclear Information System (INIS)

    Pan Qianfu; Liu Chaohong; Jiang Mingzhong; Yin Changgeng

    2011-01-01

    Yttria-stabilized zirconia (YSZ) films are synthesized on corrosion resistant plates by pulsed bias arc ion plating. The arc starting performance and the stability of thin film deposition is explored by improving the uniformity and compactibility of Zr-Y alloy target. The property of Zr-Y alloy target and depositional thin films were measured with the optical microscope, scanning electron microscope, X-ray diffractometer. The result shows that the target with hot rolling and annealing has a good arc starting performance and stability of thin film deposition, and the depositional thin films made of Yttria and amorphous zirconia are homogeneous and compact. (authors)

  16. Fracture Analysis of MWCNT/Epoxy Nanocomposite Film Deposited on Aluminum Substrate.

    Science.gov (United States)

    Her, Shiuh-Chuan; Chien, Pao-Chu

    2017-04-13

    Multi-walled carbon nanotube (MWCNT) reinforced epoxy films were deposited on an aluminum substrate by a hot-pressing process. Three-point bending tests were performed to determine the Young's modulus of MWCNT reinforced nanocomposite films. Compared to the neat epoxy film, nanocomposite film with 1 wt % of MWCNT exhibits an increase of 21% in the Young's modulus. Four-point-bending tests were conducted to investigate the fracture toughness of the MWCNT/epoxy nanocomposite film deposited on an aluminum substrate with interfacial cracks. Based on the Euler-Bernoulli beam theory, the strain energy in a film/substrate composite beam is derived. The difference of strain energy before and after the propagation of the interfacial crack are calculated, leading to the determination of the strain energy release rate. Experimental test results show that the fracture toughness of the nanocomposite film deposited on the aluminum substrate increases with the increase in the MWCNT content.

  17. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  18. Deposition of fluorocarbon films by Pulsed Plasma Thruster on the anode side

    International Nuclear Information System (INIS)

    Zhang, Rui; Zhang, Daixian; Zhang, Fan; He, Zhen; Wu, Jianjun

    2013-01-01

    Fluorocarbon thin films were deposited by Pulsed Plasma Thruster at different angles on the anode side of the thruster. Density and velocity of the plasma in the plume of the Pulsed Plasma Thruster were determined using double and triple Langmuir probe apparatus respectively. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), scanning probe microscope (SPM) and UV–vis spectrometer. Low F/C ratio (0.64–0.86) fluorocarbon films are deposited. The F/C ratio decreases with angle increasing from 0 degree to 30 degree; however it turns to increase with angle increasing from 45 degree to 90 degree. The films deposited at center angles appear rougher compared with that prepared at angles beyond 45 degree. These films basically show having strong absorption properties for wavelength below 600 nm and having enhanced reflective characteristics. Due to the influence of the chemical composition and the surface morphology of the films, the optical properties of these films also show significant angular dependence.

  19. Topography evolution of germanium thin films synthesized by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    P. Schumacher

    2017-04-01

    Full Text Available Germanium thin films were deposited by Pulsed Laser Deposition (PLD onto single crystal Ge (100 and Si (100 substrates with a native oxide film on the surface. The topography of the surface was investigated by Atomic Force Microscopy (AFM to evaluate the scaling behavior of the surface roughness of amorphous and polycrystalline Ge films grown on substrates with different roughnesses. Roughness evolution was interpreted within the framework of stochastic rate equations for thin film growth. Here the Kardar-Parisi-Zhang equation was used to describe the smoothening process. Additionally, a roughening regime was observed in which 3-dimensional growth occurred. Diffusion of the deposited Ge adatoms controlled the growth of the amorphous Ge thin films. The growth of polycrystalline thin Ge films was dominated by diffusion processes only in the initial stage of the growth.

  20. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  1. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. Examples of thin film property modification by ion bombardment during deposition, including effects which are primarily compositional as well as those which are primarily structural are presented. The examples demonstrate the usefulness of ion beam techniques in identifying and controlling the fundamental deposition parameters. 68 refs.; 15 figs.; 1 table

  2. Comparison of lanthanum substituted bismuth titanate (BLT) thin films deposited by sputtering and pulsed laser deposition

    International Nuclear Information System (INIS)

    Besland, M.P.; Djani-ait Aissa, H.; Barroy, P.R.J.; Lafane, S.; Tessier, P.Y.; Angleraud, B.; Richard-Plouet, M.; Brohan, L.; Djouadi, M.A.

    2006-01-01

    Bi 4-x La x Ti 3 O 12 (BLT x ) (x = 0 to 1) thin films were grown on silicon (100) and platinized substrates Pt/TiO 2 /SiO 2 /Si using RF diode sputtering, magnetron sputtering and pulsed laser deposition (PLD). Stoichiometric home-synthesized targets were used. Reactive sputtering was investigated in argon/oxygen gas mixture, with a pressure ranging from 0.33 to 10 Pa without heating the substrate. PLD was investigated in pure oxygen, at a chamber pressure of 20 Pa for a substrate temperature of 400-440 deg. C. Comparative structural, chemical, optical and morphological characterizations of BLT thin films have been performed by X-ray diffraction (XRD), Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), X-Ray Photoelectron Spectroscopy (XPS), Spectro-ellipsometric measurements (SE) and Atomic Force Microscopy (AFM). Both sputtering techniques allow to obtain uniform films with thickness ranging from 200 to 1000 nm and chemical composition varying from (Bi,La) 2 Ti 3 O 12 to (Bi,La) 4.5 Ti 3 O 12 , depending on deposition pressure and RF power. In addition, BLT films deposited by magnetron sputtering, at a pressure deposition ranging from 1.1 to 5 Pa, were well-crystallized after a post-deposition annealing at 650 deg. C in oxygen. They exhibit a refractive index and optical band gap of 2.7 and 3.15 eV, respectively. Regarding PLD, single phase and well-crystallized, 100-200 nm thick BLT films with a stoichiometric (Bi,La) 4 Ti 3 O 12 chemical composition were obtained, exhibiting in addition a preferential orientation along (200). It is worth noting that BLT films deposited by magnetron sputtering are as well-crystallized than PLD ones

  3. Nano-Impact (Fatigue Characterization of As-Deposited Amorphous Nitinol Thin Film

    Directory of Open Access Journals (Sweden)

    Rehan Ahmed

    2012-08-01

    Full Text Available This paper presents nano-impact (low cycle fatigue behavior of as-deposited amorphous nitinol (TiNi thin film deposited on Si wafer. The nitinol film was 3.5 µm thick and was deposited by the sputtering process. Nano-impact tests were conducted to comprehend the localized fatigue performance and failure modes of thin film using a calibrated nano-indenter NanoTest™, equipped with standard diamond Berkovich and conical indenter in the load range of 0.5 mN to 100 mN. Each nano-impact test was conducted for a total of 1000 fatigue cycles. Depth sensing approach was adapted to understand the mechanisms of film failure. Based on the depth-time data and surface observations of films using atomic force microscope, it is concluded that the shape of the indenter test probe is critical in inducing the localized indentation stress and film failure. The measurement technique proposed in this paper can be used to optimize the design of nitinol thin films.

  4. Indium zinc oxide films deposited on PET by LF magnetron sputtering

    International Nuclear Information System (INIS)

    Kim, Eun Lyoung; Jung, Sang Kooun; Sohn, Sang Ho; Park, Duck Kyu

    2007-01-01

    Indium zinc oxide (IZO) has attracted much attention recently for use in transparent oxide films compared with the ITO film. We carried out the deposition of IZO on a polyethylene terapthalate (PET) substrate at room temperature by a low-frequency (LF) magnetron sputtering system. These films have amorphous structures with excellent electrical stability, surface uniformity and high optical transmittance. The effects of LF applied voltage and O 2 flow rate were investigated. The electrical and optical properties were studied. At optimal deposition conditions, thin films of IZO with a sheet resistance of 32 Ω/sq and an optical transmittance of over 80% in the visible spectrum range were achieved. The IZO thin films fabricated by this method do not require substrate heating during the film preparation of any additional post-deposition annealing treatment. The experimental results show that films with good qualities of surface morphology, transmittance and electrical conduction can be grown by the LF magnetron sputtering method on PET which is recommendable

  5. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  6. Thickness dependent growth of low temperature atomic layer deposited zinc oxide films

    International Nuclear Information System (INIS)

    Montiel-González, Z.; Castelo-González, O.A.; Aguilar-Gama, M.T.; Ramírez-Morales, E.; Hu, H.

    2017-01-01

    Highlights: • Polycrystalline columnar ZnO thin films deposited by ALD at low temperatures. • Higher deposition temperature leads to a greater surface roughness in the ALD ZnO films. • Higher temperature originates larger refractive index values of the ALD ZnO films. • ZnO thin films were denser as the numbers of ALD deposition cycles were larger. • XPS analysis revels mayor extent of the DEZ reaction during the ALD process. - Abstract: Zinc oxide films are promising to improve the performance of electronic devices, including those based on organic materials. However, the dependence of the ZnO properties on the preparation conditions represents a challenge to obtain homogeneous thin films that satisfy specific applications. Here, we prepared ZnO films of a wide range of thicknesses by atomic layer deposition (ALD) at relatively low temperatures, 150 and 175 °C. From the results of X-ray photoelectron spectroscopy, X-ray diffraction and Spectroscopic Ellipsometry it is concluded that the polycrystalline structure of the wurtzite is the main phase of the ALD samples, with OH groups on their surface. Ellipsometry revealed that the temperature and the deposition cycles have a strong effect on the films roughness. Scanning electron micrographs evidenced such effect, through the large pyramids developed at the surface of the films. It is concluded that crystalline ZnO thin films within a broad range of thickness and roughness can be obtained for optic or optoelectronic applications.

  7. Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor

    Energy Technology Data Exchange (ETDEWEB)

    Pradhan, Debabrata [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)]. E-mail: dpradhan@sciborg.uwaterloo.ca; Sharon, Maheshwar [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)

    2007-06-30

    A simple thermal chemical vapor deposition technique is employed for the pyrolysis of a natural precursor 'camphor' and deposition of carbon films on alumina substrate at higher temperatures (600-900 deg. C). X-ray diffraction measurement reveals the amorphous structure of these films. The carbon films properties are found to significantly vary with the deposition temperatures. At higher deposition temperature, films have shown predominately sp{sup 2}-bonded carbon and therefore, higher conductivity and lower optical band gap (Tauc gap). These amorphous carbon (a-C) films are also characterized with Raman and X-ray photoelectron spectroscopy. In addition, electrical and optical properties are measured. The thermoelectric measurement shows these as-grown a-C films are p-type in nature.

  8. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  9. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  10. Morphology and structural studies of WO_3 films deposited on SrTiO_3 by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kalhori, Hossein; Porter, Stephen B.; Esmaeily, Amir Sajjad; Coey, Michael; Ranjbar, Mehdi; Salamati, Hadi

    2016-01-01

    Highlights: • Highly oriented WO_3 stoichiometric films were determined using pulsed laser deposition method. • Effective parameters on thin films including temperature, oxygen partial pressure and laser energy fluency was studied. • A phase transition was observed in WO_3 films at 700 °C from monoclinic to tetragonal. - Abstract: WO_3 films have been grown by pulsed laser deposition on SrTiO_3 (001) substrates. The effects of substrate temperature, oxygen partial pressure and energy fluence of the laser beam on the physical properties of the films were studied. Reflection high-energy electron diffraction (RHEED) patterns during and after growth were used to determine the surface structure and morphology. The chemical composition and crystalline phases were obtained by XPS and XRD respectively. AFM results showed that the roughness and skewness of the films depend on the substrate temperature during deposition. Optimal conditions were determined for the growth of the highly oriented films.

  11. Microstructural and magnetic properties of thin obliquely deposited films: A simulation approach

    Energy Technology Data Exchange (ETDEWEB)

    Solovev, P.N., E-mail: platon.solovev@gmail.com [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Izotov, A.V. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Belyaev, B.A. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Reshetnev Siberian State Aerospace University, 31, pr. Imeni Gazety “Krasnoyarskii Rabochii”, Krasnoyarsk 660014 (Russian Federation)

    2017-05-01

    The relation between microstructural and magnetic properties of thin obliquely deposited films has been studied by means of numerical techniques. Using our developed simulation code based on ballistic deposition model and Fourier space approach, we have investigated dependences of magnetometric tensor components and magnetic anisotropy parameters on the deposition angle of the films. A modified Netzelmann approach has been employed to study structural and magnetic parameters of an isolated column in the samples with tilted columnar microstructure. Reliability and validity of used numerical methods is confirmed by a good agreement of the calculation results with each other, as well as with our experimental data obtained by the ferromagnetic resonance measurements of obliquely deposited thin Ni{sub 80}Fe{sub 20} films. The combination of these numerical methods can be used to design a magnetic film with a desirable value of uniaxial magnetic anisotropy and to extract the obliquely deposited film structure from only magnetic measurements. - Highlights: • We present a simulation approach to study a relation between structural and magnetic properties of oblique films. • The calculated dependence of magnetic anisotropy on a deposition angle accords well with the experiment. • A modified Netzelmann approach is proposed. • It allows for the computation of magnetic and structural parameters of an isolated column. • Proposed approach can be used for theoretical studies and for characterization of oblique films.

  12. Structural and Magnetic Properties of Mn doped ZnO Thin Film Deposited by Pulsed Laser Deposition

    KAUST Repository

    Baras, Abdulaziz

    2011-07-01

    Diluted magnetic oxide (DMO) research is a growing field of interdisciplinary study like spintronic devices and medical imaging. A definite agreement among researchers concerning the origin of ferromagnetism in DMO has yet to be reached. This thesis presents a study on the structural and magnetic properties of DMO thin films. It attempts to contribute to the understanding of ferromagnetism (FM) origin in DMO. Pure ZnO and Mn doped ZnO thin films have been deposited by pulsed laser deposition (PLD) using different deposition conditions. This was conducted in order to correlate the change between structural and magnetic properties. Structural properties of the films were characterized using x-ray diffraction (XRD) and scanning electron microscopy (SEM). The superconducting quantum interference device (SQUID) was used to investigate the magnetic properties of these films. The structural characterizations showed that the quality of pure ZnO and Mn doped ZnO films increased as oxygen pressure (PO) increased during deposition. All samples were insulators. In Mn doped films, Mn concentration decreased as PO increased. The Mn doped ZnO samples were deposited at 600˚C and oxygen pressure from 50-500mTorr. All Mn doped films displayed room temperature ferromagnetism (RTFM). However, at 5 K a superparamagnetic (SPM) behavior was observed in these samples. This result was accounted for by the supposition that there were secondary phase(s) causing the superparamagnetic behavior. Our findings hope to strengthen existing research on DMO origins and suggest that secondary phases are the core components that suppress the ferromagnetism. Although RTFM and SPM at low temperature has been observed in other systems (e.g., Co doped ZnO), we are the first to report this behavior in Mn doped ZnO. Future research might extend the characterization and exploration of ferromagnetism in this system.

  13. Optoelectronic Characterization of Ta-Doped ZnO Thin Films by Pulsed Laser Deposition.

    Science.gov (United States)

    Koo, Horng-Show; Peng, Jo-Chi; Chen, Mi; Chin, Hung-I; Chen, Jaw-Yeh; Wu, Maw-Kuen

    2015-11-01

    Transparent conductive oxide of Ta-doped ZnO (TZO) film with doping amount of 3.0 wt% have been deposited on glass substrates (Corning Eagle XG) at substrate temperatures of 100 to 500 degrees C by the pulsed laser deposition (PLD) technique. The effect of substrate temperature on the structural, optical and electronic characteristics of Ta-doped ZnO (TZO) films with 3.0 wt% dopant of tantalum oxide (Ta2O5) was measured and demonstrated in terms of X-ray diffraction (XRD), ultraviolet-visible spectrometer (UV-Vis), four-probe and Hall-effect measurements. X-ray diffraction pattern shows that TZO films grow in hexagonal crystal structure of wurtzite phase with a preferred orientation of the crystallites along (002) direction and exhibits better physical characteristics of optical transmittance, electrical conductivity, carrier concentration and mobility for the application of window layer in the optoelectronic devices of solar cells, OLEDs and LEDs. The lowest electrical resistivity (ρ) and the highest carrier concentration of the as-deposited film deposited at 300 degrees C are measured as 2.6 x 10(-3) Ω-cm and 3.87 x 10(-20) cm(-3), respectively. The highest optical transmittance of the as-deposited film deposited at 500 degrees C is shown to be 93%, compared with another films deposited below 300 degrees C. It is found that electrical and optical properties of the as-deposited TZO film are greatly dependent on substrate temperature during laser ablation deposition.

  14. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  15. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    International Nuclear Information System (INIS)

    Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali

    2014-01-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli

  16. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)

    2014-07-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.

  17. Synthesis and characterization of titanium dioxide thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Escobar A, L.; Camps C, E.; Falcon B, T.; Carapia M, L.; Haro P, E.; Camacho L, M.A.

    2000-01-01

    In this work are presented the results obtained when TiO 2 thin films were deposited using the laser ablation technique. Thin films were deposited at different substrate temperatures, and different oxygen pressures, with the purpose of studying the influence of this deposit parameters in the structural characteristics of the films obtained. The structural characterization was realized through Raman Spectroscopy and X-ray Diffraction (XRD), the surface morphology of the layers deposited was verified by Scanning Electron Microscopy (Sem). The results show that the films obtained are of TiO 2 in rutile phase, getting this at low substrate temperatures, its morphology shows a soft surface with some spattered particles and good adherence. (Author)

  18. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  19. Study on helium-charged titanium films deposited by DC-magnetron sputtering

    International Nuclear Information System (INIS)

    Shi Liqun; Jin Qinhua; Liu Chaozhuo; Xu Shilin; Zhou Zhuying

    2005-01-01

    Helium trapping in the Ti films deposited by DC magnetron sputtering with a He/Ar mixture was studied. He atoms with a surprisingly high concentration (He/Ti atomic ratio is as high as 56%) incorporate evenly in deposited film. The trapped amount of He can be controlled by the helium partial amount. The introduction of the helium with no extra damage (or very low damage) can be realized by choosing suitable deposition conditions. It was also found that because of the formation of nanophase Ti film a relative high He flux for bubble formation is needed and the amount of the retaining He in sputtering Ti films is much higher than that in the coarse-grain Ti films. The nanophase Ti film can accommodate larger concentration of trapped sites to He, which results in a high density and small size of the He bubbles. With increasing He irradiation flux, the grain size of Ti film decreases and the lattice spacing and width of the X-ray diffraction peak increase due to the He introduction, and the film tends to amorphous phase. (authors)

  20. The properties of nanocomposite aluminium-silicon based thin films deposited by filtered arc deposition

    Energy Technology Data Exchange (ETDEWEB)

    Bendavid, A.; Martin, P.J.; Takikawa, H

    2002-12-02

    Thin films of aluminium silicon oxynitride have been deposited on conducting (100) silicon wafers by filtered arc deposition (FAD) under nitrogen and/or oxygen gas flow. The influence of the N{sub 2}/O{sub 2} flow ratio on the crystal structure, optical and mechanical properties has been investigated. The results of X-ray diffraction showed that the film structure comprised of an AlN crystallite with amorphous Si{sub 3}N{sub 4} and SiO{sub x}. The optical properties over the range of 350-800 nm were measured using spectroscopic ellipsometry and found to be strongly dependent on N{sub 2}/O{sub 2} flow ratio. The refractive index values of the films were measured to be in the range of 2.2-1.64 at a wavelength of 670 nm for oxygen flow range of 0-100%. The hardness of the films was found to be strongly dependent on the oxygen content in the film. The hardness range of the films was between 10 and 22 GPa and for the stress between 0.3 and 1.2 GPa.

  1. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager

    2017-03-15

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.

  2. Deposition of nanostructured photocatalytic zinc ferrite films using solution precursor plasma spraying

    International Nuclear Information System (INIS)

    Dom, Rekha; Sivakumar, G.; Hebalkar, Neha Y.; Joshi, Shrikant V.; Borse, Pramod H.

    2012-01-01

    Highlights: ► Highly economic solution precursor route capable of producing films/coating even for mass scale production. ► Pure spinel phase ZnFe 2 O 4 porous, immobilized films deposited in single step. ► Parameter optimization yields access to nanostructuring in SPPS method. ► The ecofriendly immobilized ferrite films were active under solar radiation. ► Such magnetic system display advantage w.r.t. recyclability after photocatalyst extraction. -- Abstract: Deposition of pure spinel phase, photocatalytic zinc ferrite films on SS-304 substrates by solution precursor plasma spraying (SPPS) has been demonstrated for the first time. Deposition parameters such as precursor solution pH, concentration, film thickness, plasma power and gun-substrate distance were found to control physico-chemical properties of the film, with respect to their crystallinity, phase purity, and morphology. Alkaline precursor conditions (7 2 O 4 film. Very high/low precursor concentrations yielded mixed phase, less adherent, and highly inhomogeneous thin films. Desired spinel phase was achieved in as-deposited condition under appropriately controlled spray conditions and exhibited a band gap of ∼1.9 eV. The highly porous nature of the films favored its photocatalytic performance as indicated by methylene blue de-coloration under solar radiation. These immobilized films display good potential for visible light photocatalytic applications.

  3. Effect of residual gas on structural, electrical and mechanical properties of niobium films deposited by magnetron sputtering deposition

    Science.gov (United States)

    Wang, Lanruo; Zhong, Yuan; Li, Jinjin; Cao, Wenhui; Zhong, Qing; Wang, Xueshen; Li, Xu

    2018-04-01

    Magnetron sputtering is an important method in the superconducting thin films deposition. The residual gas inside the vacuum chamber will directly affect the quality of the superconducting films. In this paper, niobium films are deposited by magnetron sputtering under different chamber residual gas conditions. The influence of baking and sputtering process on residual gas are studied as well. Surface morphology, electrical and mechanical properties of the films are analysed. The residual gas analysis result before the sputtering process could be regarded as a reference condition to achieve high quality superconducting thin films.

  4. Structural characterization of chemically deposited PbS thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.A.; Gonzalez-Alfaro, Y.; Larramendi, E.M.; Fonseca Filho, H.D.; Maia da Costa, M.E.H.; Freire, F.L.; Prioli, R.; Avillez, R.R. de; Silveira, E.F. da; Calzadilla, O.; Melo, O. de; Pedrero, E.; Hernandez, E.

    2007-01-01

    Polycrystalline thin films of lead sulfide (PbS) grown using substrate colloidal coating chemical bath depositions were characterized by RBS, XPS, AFM and GIXRD techniques. The films were grown on glass substrates previously coated with PbS colloidal particles in a polyvinyl alcohol solution. The PbS films obtained with the inclusion of the polymer showed non-oxygen-containing organic contamination. All samples maintained the Pb:S 1:1 stoichiometry throughout the film. The amount of effective nucleation centers and the mean grain size have being controlled by the substrate colloidal coating. The analysis of the polycrystalline PbS films showed that a preferable (1 0 0) lattice plane orientation parallel to the substrate surface can be obtained using a substrate colloidal coating chemical bath deposition, and the orientation increases when a layer of colloid is initially dried on the substrate

  5. Pulsed laser deposition of II-VI and III-V semiconductor materials

    Energy Technology Data Exchange (ETDEWEB)

    Mele, A.; Di Palma, T.M.; Flamini, C.; Giardini Guidoni, A. [Rome, Univ. `La Sapienza` (Italy). Dep. di Chimica

    1998-12-01

    Pulsed laser irradiation of a solid target involves electronic excitation and heating, followed by expansion from the target of the elliptical gas cloud (plume) which can be eventually condensed on a suitable substrate. Pulsed laser ablation has been found to be a valuable technique to prepare II-VI and III-V thin films of semiconductor materials. Pulsed laser ablation deposition is discussed in the light of the results of an investigation on CdS, CdSe, CdTe and CdSe/CdTe multilayers and AIN, GaN and InN together with Al-Ga-In-N heterostructures. [Italiano] L`irradiazione di un target solido, mediante un fascio laser impulsato, genera una serie di processi che possono essere schematizzati come segue: riscaldamento ed eccitazione elettronica del target, da cui consegue l`espulsione di materiale sotto forma di una nube gassosa di forma ellissoidale (plume), che espande e puo` essere fatta depositare su un opportuno substrato. L`ablazione lasersi e` rivelata una tecnica valida per preparare film sottili di composti di elementi del II-VI e del III-V gruppo della tavola periodica. La deposizione via ablazione laser viene discussa alla luce dei risultati ottenuti nella preparazione di film di CdS, CdSe, CdTe e di film multistrato di CdSe/CdTe, di film di AIN, GaN, InN e di eterostrutture di Al-Ga-In-N.

  6. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  7. Uniformly dispersed CdS nanoparticles sensitized TiO{sub 2} nanotube arrays with enhanced visible-light photocatalytic activity and stability

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Lingjuan [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); Anhui Provincial Key Laboratory of Advanced Functional Materials and Devices, Hefei 230009 (China); Lv, Jun, E-mail: lvjun117@126.com [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); Anhui Provincial Key Laboratory of Advanced Functional Materials and Devices, Hefei 230009 (China); Xu, Guangqing; Wang, Yan; Xie, Kui [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); Anhui Provincial Key Laboratory of Advanced Functional Materials and Devices, Hefei 230009 (China); Chen, Zhong [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue 639798 (Singapore); Wu, Yucheng, E-mail: ycwu@hfut.edu.cn [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); Anhui Provincial Key Laboratory of Advanced Functional Materials and Devices, Hefei 230009 (China)

    2013-12-15

    In this study, TiO{sub 2} nanotube arrays (TiO{sub 2}-NTs) with various intertube spaces were fabricated in the electrolyte with different water contents and the CdS nanoparticles (CdS NPs) were further deposited onto the TiO{sub 2}-NTs as a sensitizer via a sequential chemical bath deposition (S-CBD) method. The FE-SEM, TEM, XRD and XPS results demonstrated that the CdS NPs were uniformly deposited onto the surface of TiO{sub 2}-NTs. It was found that higher water content in electrolyte was in favor of large intertube space and pore size and the uniform deposition of CdS NPs. The photocatalytic degradation of methyl orange was tested with the as-prepared CdS/TiO{sub 2}-NTs under visible light (λ>400 nm). It was found that the photodegradation rate reached as high as 96.7% under visible irradiation for 180 min. In addition, a reasonable degradation rate of 75.8% was achieved even after 5 cycles, suggesting a good photocatalytic stability of the as-prepared CdS/TiO{sub 2}-NTs. - Graphical abstract: The whole sheet of CdS NPs sensitized TiO{sub 2}-NTs with the Ti subtract was used for degradation of methyl orange under visible light (λ>400 nm) on a XPA-7 photochemical reactor. - Highlights: • Intertube space, pore size were controlled by changing water content in electrolyte. • CdS nanoparticles were uniformly deposited onto the surface of TiO{sub 2} nanotubes. • The catalyst with Ti substrate used as a whole was very convenient for recycling. • Visible-light photocatalytic activity and stability were highly enhanced.

  8. Heat treatment of cathodic arc deposited amorphous hard carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Anders, S.; Ager, J.W. III; Brown, I.G. [and others

    1997-02-01

    Amorphous hard carbon films of varying sp{sup 2}/sp{sup 3} fractions have been deposited on Si using filtered cathodic are deposition with pulsed biasing. The films were heat treated in air up to 550 C. Raman investigation and nanoindentation were performed to study the modification of the films caused by the heat treatment. It was found that films containing a high sp{sup 3} fraction sustain their hardness for temperatures at least up to 400 C, their structure for temperatures up to 500 C, and show a low thickness loss during heat treatment. Films containing at low sp{sup 3} fraction graphitize during the heat treatment, show changes in structure and hardness, and a considerable thickness loss.

  9. Ammonia-free chemical bath method for deposition of microcrystalline cadmium selenide films

    International Nuclear Information System (INIS)

    Lokhande, C.D.; Lee, Eun-Ho; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    Chemical deposition of cadmium selenide (CdSe) films has been carried out from alkaline aqueous solution containing Cd 2+ and Se 2- ions. In general, the alkaline pH of the CdSe deposition bath has been adjusted by addition of liquid ammonia. However, the use of ammonia in large-scale chemical deposition method represents an environmental problem due to its volatility and toxicity. The volatility of ammonia changes the pH of deposition bath and results into irreproducible film properties. In the present paper, ammonia-free and weak alkaline (pH < 9.0) chemical method for cadmium selenide film has been developed. The cadmium selenide films are microcrystalline (grain size 0.5-0.7 μm) with hexagonal crystal structure. These films are photoactive and therefore, useful in photo conversion of light into electrical power

  10. Enhanced photoelectrochemical properties of TiO2 nanorod arrays decorated with CdS nanoparticles

    International Nuclear Information System (INIS)

    Xie, Zheng; Wang, Weipeng; Liu, Can; Li, Zhengcao; Liu, Xiangxuan; Zhang, Zhengjun

    2014-01-01

    TiO 2 nanorod arrays (TiO 2 NRAs) sensitized with CdS nanoparticles were fabricated via successive ion layer adsorption and reaction (SILAR), and TiO 2 NRAs were obtained by oxidizing Ti NRAs obtained through oblique angle deposition. The TiO 2 NRAs decorated with CdS nanoparticles exhibited excellent photoelectrochemical and photocatalytic properties under visible light, and the one decorated with 20 SILAR cycles CdS nanoparticles shows the best performance. This can be attributed to the enhanced separation of electrons and holes by forming heterojunctions of CdS nanoparticles and TiO 2 NRAs. This provides a promising way to fabricate the material for solar energy conversion and wastewater degradation. (paper)

  11. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  12. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  13. Growth and characterization of indium tin oxide thin films deposited on PET substrates

    International Nuclear Information System (INIS)

    Lee, Jaehyeong; Jung, Hakkee; Lee, Jongin; Lim, Donggun; Yang, Keajoon; Yi, Junsin; Song, Woo-Chang

    2008-01-01

    Transparent and conductive indium tin oxide (ITO) thin films were deposited onto polyethylene terephthalate (PET) by d.c. magnetron sputtering as the front and back electrical contact for applications in flexible displays and optoelectronic devices. In addition, ITO powder was used for sputter target in order to reduce the cost and time of the film formation processes. As the sputtering power and pressure increased, the electrical conductivity of ITO films decreased. The films were increasingly dark gray colored as the sputtering power increased, resulting in the loss of transmittance of the films. When the pressure during deposition was higher, however, the optical transmittance improved at visible region of light. ITO films deposited onto PET have shown similar optical transmittance and electrical resistivity, in comparison with films onto glass substrate. High quality films with resistivity as low as 2.5 x 10 -3 Ω cm and transmittance over 80% have been obtained on to PET substrate by suitably controlling the deposition parameters

  14. Properties of spray-deposited liquid-phase exfoliated graphene films

    Science.gov (United States)

    Sales, Maria Gabriela C.; Dela Vega, Ma. Shanlene D. C.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    In this study, we demonstrate the feasibility of spray-depositing exfoliated graphene on flexible polyimide (PI) and rigid (soda lime glass) substrates for optoelectronic applications. The water contact angles of the substrates increased by 13% (for PI) and 49% (for glass) when the surfaces are pretreated with hexamethyldisiloxane, which significantly improved the adhesion of the films. Raman spectral analyses confirmed a minimum of 15 and a maximum of 23 layers of exfoliated graphene deposited on the substrates. After deposition, the films were exposed to 13.56 MHz radio-frequency plasma containing an admixture of argon and nitrogen gases. Plasma treatment modified the electrical properties with a response analogous to that of a rectifier. A 39% increase in transmittance in the visible region was also observed especially for glass substrates after plasma treatment without a significant change in film electrical conductivity.

  15. Deposition of thin films by retardation of an isotope separator beam

    International Nuclear Information System (INIS)

    Colligon, J.S.; Grant, W.A.; Williams, J.S.; Lawson, R.P.W.

    1976-01-01

    An ion optical lens system capable of retarding and focusing a mass-analysed ion beam, produced in the University of Salford isotope separator, from an energy of 20 keV to 50-60 eV is described. Using this system it is technically feasible to deposit spectroscopically pure ions of all species onto a substrate to produce thin film for devices and junctions. Preliminary investigations of the technique have been carried out using lead and copper ions which were deposited onto silicon single-crystal substrates. These ions were selected because their high mass relative to silicon allowed analyses of the deposited films by low-angle Rutherford backscattering of 2 MeV He ions; the single-crystal silicon substrate enabled the extent of damage due to unretarded neutral particles to be estimated from channelling data. Results for lead films showed that films less than 150 A in thickness were discontinuous and scanning electron microscopy confirmed their 'island' structure. For thicker deposits, of order 600 A, the films were continuous. Results are also presented for copper-lead sandwich layers produced by successive depositions. Channelling experiments indicated that the neutral component was less than 5% of the total ion-beam intensity. Investigations of the spatial distribution of the lead films indicated a non-uniformity which, it is suggested, arises from a fault in the retardation lens design. (author)

  16. Pure and Sn-doped ZnO films produced by pulsed laser deposition

    DEFF Research Database (Denmark)

    Holmelund, E.; Schou, Jørgen; Tougaard, S.

    2002-01-01

    A new technique, metronome doping, has been used for doping of films during pulsed laser deposition (PLD). This technique makes it possible to dope continuously during film growth with different concentrations of a dopant in one deposition sequence. Films of pure and doped ZnO have been produced...

  17. Excimer pulsed laser deposition and annealing of YSZ nanometric films on Si substrates

    International Nuclear Information System (INIS)

    Caricato, A.P.; Barucca, G.; Di Cristoforo, A.; Leggieri, G.; Luches, A.; Majni, G.; Martino, M.; Mengucci, P.

    2005-01-01

    We report experimental results obtained for electrical and structural characteristics of yttria-stabilised zirconia (YSZ) thin films deposited by pulsed laser deposition (PLD) on Si substrates at room temperature. Some samples were submitted to thermal treatments in different ambient atmospheres (vacuum, N 2 and O 2 ) at a moderate temperature. The effects of thermal treatments on the film electrical properties were studied by C-V and I-V measurements. Structural characteristics were obtained by X-ray diffraction (XRD), X-ray reflectivity (XRR) and transmission electron microscopy (TEM) analyses. The as-deposited film was amorphous with an in-depth non-uniform density. The annealed films became polycrystalline with a more uniform density. The sample annealed in O 2 was uniform over all the thickness. Electrical characterisation showed large hysteresis, high leakage current and positive charges trapped in the oxide in the as-deposited film. Post-deposition annealing, especially in O 2 atmosphere, improved considerably the electrical properties of the films

  18. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  19. Fabrication of Antireflection Nanodiamond Particle Film by the Spin Coating Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available Diamond-based antireflective (AR coatings were fabricated using a spin coating of diamond suspension at room temperature as nucleation enhancement procedure and microwave plasma enhanced chemical vapour deposition. Various working pressures were used to investigate their effect on the optical characterization of the as-deposited diamond films. Scanning electron microscopy (SEM and atomic forced microscopy (AFM were employed to analyze the surface properties of the diamond films. Raman spectra and transmission electron microscopy (TEM also were used for analysis of the microstructure of the films. The results showed that working pressure had a significant effect on thickness, surface roughness, and wettability of the as-deposited diamond films. Deposited under 35 Torr or working pressure, the film possessed a low surface roughness of 13.8 nm and fine diamond grain sizes of 35 nm. Reflectance measurements of the films also were carried out using UV-Vis spectrometer and revealed a low reflectance value of the diamond films. The achievement demonstrated feasibility of the proposed spin-coating procedure for large scale production and thus opens up a prospect application of diamond film as an AR coating in industrial optoelectronic device.

  20. Biotemplated preparation of CdS nanoparticles/bacterial cellulose hybrid nanofibers for photocatalysis application

    International Nuclear Information System (INIS)

    Yang, Jiazhi; Yu, Junwei; Fan, Jun; Sun, Dongping; Tang, Weihua; Yang, Xuejie

    2011-01-01

    In this work, we describe a novel facile and effective strategy to prepare micrometer-long hybrid nanofibers by deposition of CdS nanoparticles onto the substrate of hydrated bacterial cellulose nanofibers (BCF). Hexagonal phase CdS nanocrystals were achieved via a simple hydrothermal reaction between CdCl 2 and thiourea at relatively low temperature. The prepared pristine BCF and the CdS/BCF hybrid nanofibers were characterized by transmission electron microscopy (TEM), X-ray diffraction (XRD), thermogravimetric analysis (TGA), UV-vis absorption spectroscopy (UV-vis), and X-ray photoelectron spectroscopy (XPS). The results reveal that the CdS nanoparticles were homogeneously deposited on the BCF surface and stabilized via coordination effect. The CdS/BCF hybrid nanofibers demonstrated high-efficiency photocatalysis with 82% methyl orange (MO) degradation after 90 min irradiation and good recyclability. The results indicate that the CdS/BCF hybrid nanofibers are promising candidate as robust visible light responsive photocatalysts.

  1. Biotemplated preparation of CdS nanoparticles/bacterial cellulose hybrid nanofibers for photocatalysis application.

    Science.gov (United States)

    Yang, Jiazhi; Yu, Junwei; Fan, Jun; Sun, Dongping; Tang, Weihua; Yang, Xuejie

    2011-05-15

    In this work, we describe a novel facile and effective strategy to prepare micrometer-long hybrid nanofibers by deposition of CdS nanoparticles onto the substrate of hydrated bacterial cellulose nanofibers (BCF). Hexagonal phase CdS nanocrystals were achieved via a simple hydrothermal reaction between CdCl(2) and thiourea at relatively low temperature. The prepared pristine BCF and the CdS/BCF hybrid nanofibers were characterized by transmission electron microscopy (TEM), X-ray diffraction (XRD), thermogravimetric analysis (TGA), UV-vis absorption spectroscopy (UV-vis), and X-ray photoelectron spectroscopy (XPS). The results reveal that the CdS nanoparticles were homogeneously deposited on the BCF surface and stabilized via coordination effect. The CdS/BCF hybrid nanofibers demonstrated high-efficiency photocatalysis with 82% methyl orange (MO) degradation after 90 min irradiation and good recyclability. The results indicate that the CdS/BCF hybrid nanofibers are promising candidate as robust visible light responsive photocatalysts. Copyright © 2011 Elsevier B.V. All rights reserved.

  2. Biotemplated preparation of CdS nanoparticles/bacterial cellulose hybrid nanofibers for photocatalysis application

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Jiazhi; Yu, Junwei [Key Laboratory of Soft Chemistry and Functional Materials (Ministry of Education), Nanjing University of Science and Technology, Nanjing 210094 (China); Fan, Jun [School of Environment, Nanjing University, Nanjing 210093 (China); Sun, Dongping [Key Laboratory of Soft Chemistry and Functional Materials (Ministry of Education), Nanjing University of Science and Technology, Nanjing 210094 (China); Tang, Weihua [Key Laboratory of Soft Chemistry and Functional Materials (Ministry of Education), Nanjing University of Science and Technology, Nanjing 210094 (China); Yang, Xuejie [Key Laboratory of Soft Chemistry and Functional Materials (Ministry of Education), Nanjing University of Science and Technology, Nanjing 210094 (China)

    2011-05-15

    In this work, we describe a novel facile and effective strategy to prepare micrometer-long hybrid nanofibers by deposition of CdS nanoparticles onto the substrate of hydrated bacterial cellulose nanofibers (BCF). Hexagonal phase CdS nanocrystals were achieved via a simple hydrothermal reaction between CdCl{sub 2} and thiourea at relatively low temperature. The prepared pristine BCF and the CdS/BCF hybrid nanofibers were characterized by transmission electron microscopy (TEM), X-ray diffraction (XRD), thermogravimetric analysis (TGA), UV-vis absorption spectroscopy (UV-vis), and X-ray photoelectron spectroscopy (XPS). The results reveal that the CdS nanoparticles were homogeneously deposited on the BCF surface and stabilized via coordination effect. The CdS/BCF hybrid nanofibers demonstrated high-efficiency photocatalysis with 82% methyl orange (MO) degradation after 90 min irradiation and good recyclability. The results indicate that the CdS/BCF hybrid nanofibers are promising candidate as robust visible light responsive photocatalysts.

  3. Fundamental Mechanisms of Roughening and Smoothing During Thin Film Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Headrick, Randall [Univ. of Vermont, Burlington, VT (United States)

    2016-03-18

    In this research program, we have explored the fundamental limits for thin film deposition in both crystalline and amorphous (i.e. non-crystalline) materials systems. For vacuum-based physical deposition processes such as sputter deposition, the background gas pressure of the inert gas (usually argon) used as the process gas has been found to be a key variable. Both a roughness transition and stress transition as a function of pressure have been linked to a common mechanism involving collisions of energetic particles from the deposition source with the process inert gas. As energetic particles collide with gas molecules in the deposition process they lose their energy rapidly if the pressure (and background gas density) is above a critical value. Both roughness and stress limit important properties of thin films for applications. In the area of epitaxial growth we have also discovered a related effect; there is a critical pressure below which highly crystalline layers grow in a layer-by-layer mode. This effect is also though to be due to energetic particle thermalization and scattering. Several other important effects such as the observation of coalescence dominated growth has been observed. This mode can be likened to the behavior of two-dimensional water droplets on the hood of a car during a rain storm; as the droplets grow and touch each other they tend to coalesce rapidly into new larger circular puddles, and this process proceeds exponentially as larger puddles overtake smaller ones and also merge with other large puddles. This discovery will enable more accurate simulations and modeling of epitaxial growth processes. We have also observed that epitaxial films undergo a roughening transition as a function of thickness, which is attributed to strain induced by the crystalline lattice mismatch with the substrate crystal. In addition, we have studied another physical deposition process called pulsed laser deposition. It differs from sputter deposition due to the

  4. Cobalt Xanthate Thin Film with Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    İ. A. Kariper

    2013-01-01

    Full Text Available Cobalt xanthate thin films (CXTFs were successfully deposited by chemical bath deposition, onto amorphous glass substrates, as well as on p- and n-silicon, indium tin oxide, and poly(methyl methacrylate. The structure of the films was analyzed by far-infrared spectrum (FIR, mid-infrared (MIR spectrum, nuclear magnetic resonance (NMR, and scanning electron microscopy (SEM. These films were investigated from their structural, optical, and electrical properties point of view. Electrical properties were measured using four-point method, whereas optical properties were investigated via UV-VIS spectroscopic technique. Uniform distribution of grains was clearly observed from the photographs taken by scanning electron microscope (SEM. The transmittance was about 70–80% (4 hours, 50°C. The optical band gap of the CXTF was graphically estimated to be 3.99–4.02 eV. The resistivity of the films was calculated as 22.47–75.91 Ω·cm on commercial glass depending on film thickness and 44.90–73.10 Ω ·cm on the other substrates. It has been observed that the relative resistivity changed with film thickness. The MIR and FIR spectra of the films were in agreement with the literature analogues. The expected peaks of cobalt xanthate were observed in NMR analysis on glass. The films were dipped in chloroform as organic solvent and were analyzed by NMR.

  5. Thermal conductivity of nitride films of Ti, Cr, and W deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Jagannadham, Kasichainula

    2015-01-01

    Nitride films of Ti, Cr, and W were deposited using reactive magnetron sputtering from metal targets in argon and nitrogen plasma. TiN films with (200) orientation were achieved on silicon (100) at the substrate temperature of 500 and 600 °C. The films were polycrystalline at lower temperature. An amorphous interface layer was observed between the TiN film and Si wafer deposited at 600 °C. TiN film deposited at 600 °C showed the nitrogen to Ti ratio to be near unity, but films deposited at lower temperature were nitrogen deficient. CrN film with (200) orientation and good stoichiometry was achieved at 600 °C on Si(111) wafer but the film deposited at 500 °C showed cubic CrN and hexagonal Cr 2 N phases with smaller grain size and amorphous back ground in the x-ray diffraction pattern. An amorphous interface layer was not observed in the cubic CrN film on Si(111) deposited at 600 °C. Nitride film of tungsten deposited at 600 °C on Si(100) wafer was nitrogen deficient, contained both cubic W 2 N and hexagonal WN phases with smaller grain size. Nitride films of tungsten deposited at 500 °C were nonstoichiometric and contained cubic W 2 N and unreacted W phases. There was no amorphous phase formed along the interface for the tungsten nitride film deposited at 600 °C on the Si wafer. Thermal conductivity and interface thermal conductance of all the nitride films of Ti, Cr, and W were determined by transient thermoreflectance technique. The thermal conductivity of the films as function of deposition temperature, microstructure, nitrogen stoichiometry and amorphous interaction layer at the interface was determined. Tungsten nitride film containing both cubic and hexagonal phases was found to exhibit much higher thermal conductivity and interface thermal conductance. The amorphous interface layer was found to reduce effective thermal conductivity of TiN and CrN films

  6. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  7. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  8. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  9. Optical, structural and morphological properties of CdS-CdCO{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Chavez P, M.; Sosa S, A. [Benemerita Universidad Autonoma de Puebla, Instituto de Ciencias, Centro de Investigaciones en Dispositivos Semiconductores, Apdo. Postal 1067, 72001 Puebla, Puebla (Mexico); Juarez D, G. [Benemerita Universidad Autonoma de Puebla, Facultad de Ciencias de la Computacion, Apdo. Postal 1067, 72570 Puebla, Puebla (Mexico); Chaltel L, L. A.; Gutierrez P, R.; Hernandez T, G.; Portillo M, O. [Benemerita Universidad Autonoma de Puebla, Facultad de Ciencias Quimicas, Laboratorio de Ciencia de Materiales, Apdo. Postal 1067, 72001 Puebla, Puebla (Mexico); Cruz C, S., E-mail: j.gabriel@rocketmail.com [Universidad Politecnica de Tlaxcala, Departamento de Ingenieria Quimica, San Pedro Xalcaltzinco, Tepeyanco, Tlaxcala (Mexico)

    2015-07-01

    CdS-CdCO{sub 3} thin films were grown by chemical bath deposition. Different constant deposition temperatures were employed in the range of 20-80 grades C. From X-ray diffraction results can be observed that intensity of CdS peak is abruptly reduced when deposition temperature is decreased. By Sem images the formation and change in shape and size of crystallites can be observed as temperature is decreased. The forbidden energy band gap was 2.4-4.1 eV, determined from optical absorption. The formation of products was further confirmed with Ftir studies. (Author)

  10. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  11. Synthesis and characterization of thin films of nitrided amorphous carbon deposited by laser ablation

    International Nuclear Information System (INIS)

    Rebollo P, B.

    2001-01-01

    The objective of this work is the synthesis and characterization of thin films of amorphous carbon (a-C) and thin films of nitrided amorphous carbon (a-C-N) using the laser ablation technique for their deposit. For this purpose, the physical properties of the obtained films were studied as function of diverse parameters of deposit such as: nitrogen pressure, power density, substrate temperature and substrate-target distance. For the characterization of the properties of the deposited thin films the following techniques were used: a) Raman spectroscopy which has demonstrated being a sensitive technique to the sp 2 and sp 3 bonds content, b) Energy Dispersive Spectroscopy which allows to know semi-quantitatively way the presence of the elements which make up the deposited films, c) Spectrophotometry, for obtaining the absorption spectra and subsequently the optical energy gap of the deposited material, d) Ellipsometry for determining the refraction index, e) Scanning Electron Microscopy for studying the surface morphology of thin films and, f) Profilemetry, which allows the determination the thickness of the deposited thin films. (Author)

  12. Optical properties of cadmium sulfide nanocrystal film prepared by electrochemical synthesis at liquid-liquid interface

    International Nuclear Information System (INIS)

    Luan Yemei; An Maozhong; Lu Guoqi

    2006-01-01

    Dendritic nanocrystalline CdS film was deposited at liquid-liquid interface of surfactants and an electrolyte containing 4 mmol L -1 cadmium chloride (CdCl 2 ) and 16 mmol L -1 thioacetamide (CH 3 CSNH 2 ) with an initial pH value of 5 at 15 deg. C by electrochemical synthesis. The nanofilm was characterized by transmission electron microscopy (TEM), field emission scanning electron microscope (FE-SEM), atomic force microscopy (AFM), ultraviolet visible (UV-vis) absorption spectroscopy and fluorescence spectroscopy. The surface morphology and particle size of the nanofilm were investigated by AFM, SEM and TEM, and the crystalline size was 30-50 nm. The thickness of the nanofilm calculated by optical absorption spectrum was 80 nm. The microstructure and composition of the nanofilm was investigated by X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS), showing its polycrystalline structure consisting of CdS and Cd. Optical properties of the nanofilm were investigated systematically by UV-vis absorption and fluorescence spectroscopy. A λ onset blue shift compared with bulk CdS was observed in the absorption spectra. Fluorescence spectra of the nanofilm indicated that the CdS nanofilm emitted blue and green light. The nanocomposites film electrode will bring about anodic photocurrent during illumination, showing that the transfer of cavities produces photocurrent

  13. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  14. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  15. Pulsed laser deposition of AlMgB14 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Britson, Jason Curtis [Iowa State Univ., Ames, IA (United States)

    2008-11-18

    Hard, wear-resistant coatings of thin film borides based on AlMgB14 have the potential to be applied industrially to improve the tool life of cutting tools and pump vanes and may account for several million dollars in savings as a result of reduced wear on these parts. Past work with this material has shown that it can have a hardness of up to 45GPa and be fabricated into thin films with a similar hardness using pulsed laser deposition. These films have already been shown to be promising for industrial applications. Cutting tools coated with AlMgB14 used to mill titanium alloys have been shown to substantially reduce the wear on the cutting tool and extend its cutting life. However, little research into the thin film fabrication process using pulsed laser deposition to make AlMgB14 has been conducted. In this work, research was conducted into methods to optimize the deposition parameters for the AlMgB14 films. Processing methods to eliminate large particles on the surface of the AlMgB14 films, produce films that were at least 1m thick, reduce the surface roughness of the films, and improve the adhesion of the thin films were investigated. Use of a femtosecond laser source rather than a nanosecond laser source was found to be effective in eliminating large particles considered detrimental to wear reduction properties from the films. Films produced with the femtosecond laser were also found to be deposited at a rate 100 times faster than those produced with the nanosecond laser. However, films produced with the femtosecond laser developed a relatively high RMS surface roughness around 55nm. Attempts to decrease the surface roughness were largely unsuccessful. Neither increasing the surface temperature of the substrate during deposition nor using a double pulse to ablate the material was found to be extremely successful to reduce the surface roughness. Finally, the adhesion of the thin films to M2 tool steel

  16. Observation of melting in 30 angstrom diameter CdS nanocrystals

    International Nuclear Information System (INIS)

    Goldstein, A.N.; Colvin, V.L.; Alivisatos, A.P.

    1991-01-01

    In this paper temperature dependent electron diffraction studies on 30 Angstrom diameter CdS nanocrystals are described. The linear thermal expansion coefficient of the nanocrystals is 2.75 * 10 -5 Angstrom/K, and the melting point is 575 K. These data are in contrast to bulk CdS which has a melting point of 1750 K and a linear expansion coefficient of 5.5 * 10 -6 Angstrom/K. The observed depression in the melting point of these semiconductor clusters is similar to effects observed in metals and molecular crystals, indicating that the phenomenon of reduced melting point in small systems is a general one regardless of the type of material. The observation of melting point depression in these clusters also has far reaching implications for the preparation of highly crystalline clusters of CdS, as well as for the use of these nanocrystals as precursors to thin films

  17. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    International Nuclear Information System (INIS)

    He, Y.Y.; Zhang, G.F.; Zhao, Y.; Liu, D.D.; Cong, Y.; Buck, V.

    2015-01-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect

  18. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    Energy Technology Data Exchange (ETDEWEB)

    He, Y.Y. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Zhang, G.F. [School of Materials Science and Engineering, Dalian University of Technology, 116024, Dalian China (China); Zhao, Y.; Liu, D.D. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Cong, Y., E-mail: congyan@ciomp.ac.cn [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Buck, V. [Thin Film Technology Group, Faculty of Physics, University Duisburg-Essen and CeNIDE, 47057 Duisburg (Germany)

    2015-09-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect.

  19. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  20. Pulsed laser deposition of Cu-Sn-S for thin film solar cells

    DEFF Research Database (Denmark)

    Ettlinger, Rebecca Bolt; Crovetto, Andrea; Bosco, Edoardo

    Thin films of copper tin sulfide were deposited from a target of the stoichiometry Cu:Sn:S ~1:2:3 using pulsed laser deposition (PLD). Annealing with S powder resulted in films close to the desired Cu2SnS3 stoichiometry although the films remained Sn rich. Xray diffraction showed that the final...... films contained both cubic-phase Cu2SnS3 and orthorhombic-phase SnS...

  1. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  2. Characterization of transparent silica films deposited on polymeric materials

    International Nuclear Information System (INIS)

    Teshima, K.; Sugimura, H.; Inoue, Y.; Takai, O.

    2002-01-01

    Silica films were synthesized by capacitively coupled RF PECVD using mixtures of organo-silane and oxygen as a source. The chemical bonding states and compositions of the films deposited were evaluated with FTIR and XPS. Film surfaces and cross-sections were observed by SEM. Oxygen transmission rates (OTR) of the films coated on polyethylene terephthalate (PET) substrates were measured by an isopiestic method. (Authors)

  3. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    Science.gov (United States)

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  4. Direct current magnetron sputtering deposition of InN thin films

    International Nuclear Information System (INIS)

    Cai Xingmin; Hao Yanqing; Zhang Dongping; Fan Ping

    2009-01-01

    In this paper, InN thin films were deposited on Si (1 0 0) and K9 glass by reactive direct current magnetron sputtering. The target was In metal with the purity of 99.999% and the gases were Ar (99.999%) and N 2 (99.999%). The properties of InN thin films were studied. Scanning electron microscopy (SEM) shows that the film surface is very rough and energy dispersive X-ray spectroscopy (EDX) shows that the film contains In, N and very little O. X-ray diffraction (XRD) and Raman scattering reveal that the film mainly contains hexagonal InN. The four-probe measurement shows that InN film is conductive. The transmission measurement demonstrates that the transmission of InN deposited on K9 glass is as low as 0.5% from 400 nm to 800 nm.

  5. Photoacoustic Study of CdS QDs for Application in Quantum-Dot-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    S. Abdallah

    2012-01-01

    Full Text Available The optical properties and photovoltaic characterization of CdS quantum dots sensitized solar cells (QDSSCs were studied. CdS QDs were prepared by the chemical solution deposition (CD technique. Photoacoustic spectroscopy (PA was employed to study the optical properties of the prepared samples. The sizes of the CdS QDs were estimated from transmission electron microscope (TEM micrographs gives radii ranged from 1.57 to 1.92 nm. The current density-voltage (J-V characteristic curves of the assembled QDSSCs were measured. Fluorine doped Tin Oxide (FTO substrates were coated with 20 nm-diameter TiO2 nanoparticles (NPs. Presynthesized colloidal CdS quantum dots of different particles size were deposited on the TiO2-coated substrates using direct adsorption (DA method. The FTO counter electrodes were coated with platinum, while the electroelectrolyte containing I-/I-3 redox species was sandwiched between the two electrodes. The short current density (Jsc and efficiency (η increases as the particle size increases. The values of Jsc increases linearly with increasing the intensities of the sun light which indicates the greater sensitivity of the assembled cells.

  6. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  7. Optoelectronic properties of cadmium sulfide thin films deposited by thermal evaporation technique

    International Nuclear Information System (INIS)

    Ali, N.; Iqbal, M.A.; Hussain, S.T.; Waris, M.; Munair, S.A.

    2011-01-01

    The substrate temperature in depositions of thin films plays a vital role in the characteristics of deposited films. We studied few characteristics of cadmium sulphide thin film deposited at different temperature (150 deg. C- 300 deg. C) on corning 7059 glass substrate. We measured transmittance, absorbance, band gap and reflectance via UV spectroscopy. It was found that the transmittance for 300 nm to 1100 nm was greater than 80%. The resistivity and mobility was calculated by Vander Pauw method which were 10-80 cm and 2-60 cm/sup 2/V/sup -1/S/sup -1/ respectively. The thermoelectric properties of the film were measured by hot and cold probe method which shows the N-type nature of the film. (author)

  8. Photoemission Spectroscopy Characterization of Attempts to Deposit MoO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Irfan

    2011-01-01

    Full Text Available Attempts to deposit molybdenum dioxide (MoO2 thin films have been described. Electronic structure of films, deposited by thermal evaporation of MoO2 powder, had been investigated with ultraviolet photoemission and X-ray photoemission spectroscopy (UPS and XPS. The thermally evaporated films were found to be similar to the thermally evaporated MoO3 films at the early deposition stage. XPS analysis of MoO2 powder reveals presence of +5 and +6 oxidation states in Mo 3d core level along with +4 state. The residue of MoO2 powder indicates substantial reduction in higher oxidation states while keeping +4 oxidation state almost intact. Interface formation between chloroaluminum phthalocyanine (AlPc-Cl and the thermally evaporated film was also investigated.

  9. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  10. Ion-assisted deposition of thin films

    International Nuclear Information System (INIS)

    Barnett, S.A.; Choi, C.H.; Kaspi, R.; Millunchick, J.M.

    1993-01-01

    Recent work on low-energy ion-assisted deposition of epitaxial films is reviewed. Much of the recent interest in this area has been centered on the use of very low ion energies (∼ 25 eV) and high fluxes (> 1 ion per deposited atom) obtained using novel ion-assisted deposition techniques. These methods have been applied in ultra-high vacuum, allowing the preparation of high-purity device-quality semiconductor materials. The following ion-surface interaction effects during epitaxy are discussed: improvements in crystalline perfection during low temperature epitaxy, ion damage, improved homogeneity and properties in III-V alloys grown within miscibility gaps, and changes in nucleation mechanism during heteroepitaxial growth

  11. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  12. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  13. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    Science.gov (United States)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  14. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  15. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  16. Photoelectrochemical response and corrosion behavior of CdS/TiO2 nanocomposite films in an aerated 0.5 M NaCl solution

    Science.gov (United States)

    Boonserm, Aleena; Kruehong, Chaiyaput; Seithtanabutara, Varinrumpai; Artnaseaw, Apichart; Kwakhong, Panomkorn

    2017-10-01

    This research aimed to investigate the photoelectrochemical response and corrosion behavior of CdS/TiO2 nanocomposite films using electrochemical measurements in an aerated 0.5 M NaCl solution under white light illumination. The CdS/TiO2 nanocomposite films were prepared by chemical bath deposition technique in a solution of cadmium and sulfide ions. The high resolution images of CdS/TiO2 nanocomposite films were provided by field emission scanning electron microscope. Theirs chemical identification and quantitative compositional information, crystallinity and actual chemical compounds formed were determined by energy dispersive spectroscopy, X-ray diffraction and X-ray photoelectron spectroscopy, respectively. The results indicated that the photoelectrochemical activity of the films depended strongly on CdS content. From the preparation of CdS/TiO2 nanocomposite films by 5, 10 and 15 dipping cycles in the chemical solutions, the best photoelectrochemical response was revealed by the 10 dipping cycles-prepared film. Galvanic couple testing demonstrated that the photoelectrochemical response of the film decreased continuously compared to that of anodized nanoporous TiO2 substrate which described by photocorrosion of CdS nanoparticles. In addition, chloride-ion attack also induced pitting corrosion leading to fluctuation and deterioration of photoelectrochemical response. CdO2 and Cd(OH)2 depositions were found as the main photocorrosion products on collapsed nanostructured-surface. The relevance between photoelectrochemical response and corrosion behavior of CdS/TiO2 nanocomposite film was discussed in detail.

  17. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  18. Sputter-deposited Mg-Al-O thin films: linking molecular dynamics simulations to experiments

    International Nuclear Information System (INIS)

    Georgieva, V; Bogaerts, A; Saraiva, M; Depla, D; Jehanathan, N; Lebelev, O I

    2009-01-01

    Using a molecular dynamics model the crystallinity of Mg x Al y O z thin films with a variation in the stoichiometry of the thin film is studied at operating conditions similar to the experimental operating conditions of a dual magnetron sputter deposition system. The films are deposited on a crystalline or amorphous substrate. The Mg metal content in the film ranged from 100% (i.e. MgO film) to 0% (i.e. Al 2 O 3 film). The radial distribution function and density of the films are calculated. The results are compared with x-ray diffraction and transmission electron microscopy analyses of experimentally deposited thin films by the dual magnetron reactive sputtering process. Both simulation and experimental results show that the structure of the Mg-Al-O film varies from crystalline to amorphous when the Mg concentration decreases. It seems that the crystalline Mg-Al-O films have a MgO structure with Al atoms in between.

  19. Defect studies of ZnO films prepared by pulsed laser deposition on various substrates

    International Nuclear Information System (INIS)

    Melikhova, O; Čížek, J; Procházka, I; Kužel, R; Novotný, M; Bulír, J; Lancok, J; Anwand, W; Brauer, G; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P

    2013-01-01

    ZnO thin films deposited on various substrates were characterized by slow positron implantation spectroscopy (SPIS) combined with X-ray diffraction (XRD). All films studied exhibit wurtzite structure and crystallite size 20–100 nm. The mosaic spread of crystallites is relatively small for the films grown on single crystalline substrates while it is substantial for the film grown on amorphous substrate. SPIS investigations revealed that ZnO films deposited on single crystalline substrates exhibit significantly higher density of defects than the film deposited on amorphous substrate. This is most probably due to a higher density of misfit dislocations, which compensate for the lattice mismatch between the film and the substrate.

  20. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  1. Stripe domains and magnetoresistance in thermally deposited nickel films

    International Nuclear Information System (INIS)

    Sparks, P.D.; Stern, N.P.; Snowden, D.S.; Kappus, B.A.; Checkelsky, J.G.; Harberger, S.S.; Fusello, A.M.; Eckert, J.C.

    2004-01-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17 nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21±0.02 up to 120 nm thickness. There is a negative magnetoresistance for fields out of the plane

  2. Stripe domains and magnetoresistance in thermally deposited nickel films

    Science.gov (United States)

    Sparks, P. D.; Stern, N. P.; Snowden, D. S.; Kappus, B. A.; Checkelsky, J. G.; Harberger, S. S.; Fusello, A. M.; Eckert, J. C.

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21+/-0.02 up to 120nm thickness. There is a negative magnetoresistance for fields out of the plane.

  3. Stripe domains and magnetoresistance in thermally deposited nickel films

    Energy Technology Data Exchange (ETDEWEB)

    Sparks, P.D. E-mail: sparks@hmc.edu; Stern, N.P.; Snowden, D.S.; Kappus, B.A.; Checkelsky, J.G.; Harberger, S.S.; Fusello, A.M.; Eckert, J.C

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17 nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21{+-}0.02 up to 120 nm thickness. There is a negative magnetoresistance for fields out of the plane.

  4. Type conversion, contacts, and surface effects in electroplated CdTe films

    International Nuclear Information System (INIS)

    Basol, B.M.; Ou, S.S.; Stafsudd, O.M.

    1985-01-01

    Efficient electroplated CdS/CdTe solar cells can be fabricated by heat treating and type-converting the n-CdTe films deposited on CdS layers. In this paper, various mechanisms which may give rise to the conversion of electroplated CdTe films from n to p type are investigated. It is concluded that Cd-vacancy generation is the main mechanism of type conversion. Possible effects of oxygen on this mechanism are also discussed. Evaporated Au contacts to electroplated p-CdTe films were studied. It was found that the Au contacts depleted the excess Te present on the surface of Br 2 -methanol etched p-CdTe films. Oxygen was found to affect the electrical characteristics of such contacts

  5. Deposition of Ge{sub 23}Sb{sub 7}S{sub 70} chalcogenide glass films by electrospray

    Energy Technology Data Exchange (ETDEWEB)

    Novak, Spencer, E-mail: spencen@g.clemson.edu [Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, SC (United States); College of Optics and Photonics, CREOL, University of Central FL (United States); Johnston, Danvers E.; Li, Cheng; Deng, Weiwei [Department of Mechanical and Aerospace Engineering, University of Central FL (United States); Richardson, Kathleen [Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, SC (United States); College of Optics and Photonics, CREOL, University of Central FL (United States)

    2015-08-03

    Solution-based chalcogenide glass films, traditionally deposited by spin-coating, are attractive for their potential use in chip-based devices operating in the mid-infrared and for ease of nanostructure incorporation. To overcome limitations of spin-coating such as excessive material waste and difficulty for scale-up, this paper introduces electrospray as a film deposition technique for solution-based chalcogenide glasses. Electrospray is shown to produce Ge{sub 23}Sb{sub 7}S{sub 70} films with similar surface quality and optical properties as films deposited by spin-coating. The advantages of electrospray deposition for nanoparticle dispersion, scalable and continuous manufacturing with little material waste, and comparable film quality to spin-coating make electrospray a promising deposition method for practical applications of chalcogenide glass films. - Highlights: • Electrospray film deposition processing of Ge{sub 23}Sb{sub 7}S{sub 70} films was developed. • Traditional spin-coated films were also fabricated in parallel. • Optical properties and surface quality found to be similar between two approaches.

  6. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    International Nuclear Information System (INIS)

    Lorusso, A.; Anni, M.; Caricato, A.P.; Gontad, F.; Perulli, A.; Taurino, A.; Perrone, A.; Chiadroni, E.

    2016-01-01

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  7. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    Energy Technology Data Exchange (ETDEWEB)

    Lorusso, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Anni, M. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Caricato, A.P. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Perulli, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Taurino, A. [National Research Council, Institute for Microelectronics & Microsystems, 73100 Lecce (Italy); Perrone, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Chiadroni, E. [Laboratori Nazionali di Frascati, Istituto Nazionale di Fisica Nucleare, 00044 Frascati (Italy)

    2016-03-31

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  8. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    CdS/CoPc hybrid heterojunctions were fabricated and characterized. CdS films were deposited by the spray pyrolysis technique on indium tin oxide (ITO)-coated glass substrates and CoPc films coated on CdS by chemical precipitation. Ag contact metal deposited on CoPc by e-beam evaporation and glass/ITO/CdS/ ...

  9. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  10. Physical properties of very thin SnS films deposited by thermal evaporation

    International Nuclear Information System (INIS)

    Cheng Shuying; Conibeer, Gavin

    2011-01-01

    SnS films with thicknesses of 20–65 nm have been deposited on glass substrates by thermal evaporation. The physical properties of the films were investigated using X-ray diffraction (XRD), scanning electron microscopy, X-ray photoelectron spectroscopy (XPS), Raman spectroscopy, and ultraviolet–visible-near infrared spectroscopy at room temperature. The results from XRD, XPS and Raman spectroscopy analyses indicate that the deposited films mainly exhibit SnS phase, but they may contain a tiny amount of Sn 2 S 3 . The deposited SnS films are pinhole free, smooth and strongly adherent to the surfaces of the substrates. The color of the SnS films changes from pale yellow to brown with the increase of the film thickness from 20 nm to 65 nm. The very smooth surfaces of the thin films result in their high reflectance. The direct bandgap of the films is between 2.15 eV and 2.28 eV which is much larger than 1.3 eV of bulk SnS, this is deserving to be investigated further.

  11. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  12. Development of a hybrid sputtering/evaporation process for Cu(In,Ga)Se{sub 2} thin film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Acciarri, M.; Binetti, S.; Le Donne, A.; Lorenzi, B.; Caccamo, L.; Miglio, L. [Dipartimento di Scienza dei Materiali e Solar Energy Research Center MIB-SOLAR, Universita di Milano Bicocca, Milan (Italy); Moneta, R.; Marchionna, S.; Meschia, M. [Voltasolar s.r.l, Turate (Italy)

    2011-08-15

    In this paper we report a new method for Cu(In,Ga)Se{sub 2} deposition for solar cell application. Differently from the common co-evaporation process, an alterative approach for thin film Cu(In,Ga)Se{sub 2} has been tested: the sputtering deposition of metal elements combined with the selenium evaporation. We have studied the relationships between the growth parameters of our hybrid sputtering/evaporation method and the chemical-physical properties of the CIGS films. The cells are completed with a CdS buffer layer deposited by chemical bath deposition and ZnO + ITO deposited by RF sputtering. Test solar cells of 0.5 cm{sup 2} have shown an efficiency of 10% and 2.5% on glass and stainless steel substrate respectively. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Indium oxide-based transparent conductive films deposited by reactive sputtering using alloy targets

    Science.gov (United States)

    Miyazaki, Yusuke; Maruyama, Eri; Jia, Junjun; Machinaga, Hironobu; Shigesato, Yuzo

    2017-04-01

    High-quality transparent conductive oxide (TCO) films, Sn-doped In2O3 (ITO) and In2O3-ZnO (IZO), were successfully deposited on either synthetic silica or polyethylene terephthalate (PET) substrates in the “transition region” by reactive dc magnetron sputtering using In-Zn and In-Sn alloy targets, respectively, with a specially designed plasma emission feedback system. The composition, crystallinity, surface morphology, and electrical and optical properties of the films were analyzed. All of the IZO films were amorphous, whereas the ITO films were polycrystalline over a wide range of deposition conditions. The minimum resistivities of the IZO and ITO films deposited on the heated PET substrates at 150 °C were 3.3 × 10-4 and 5.4 × 10-4 Ω·cm, respectively. By applying rf bias to unheated PET substrates, ITO films with a resistivity of 4.4 × 10-4 Ω·cm were deposited at a dc self-bias voltage of -60 V.

  14. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  15. Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence

    International Nuclear Information System (INIS)

    Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.

    2013-01-01

    Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)

  16. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    Energy Technology Data Exchange (ETDEWEB)

    O' Keefe, M.J.; Stutz, C.E.

    1997-07-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was {approximately}1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in {ge}1 GPa tensile increase in film stress.

  17. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    International Nuclear Information System (INIS)

    O'Keefe, M.J.; Stutz, C.E.

    1997-01-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was approximately1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in ge1 GPa tensile increase in film stress

  18. Deposition of titanium carbide films from mixed carbon and titanium plasma streams

    International Nuclear Information System (INIS)

    Delplancke-Ogletree, M.; Monteiro, O.R.

    1997-01-01

    Dual source metal plasma immersion ion implantation and deposition was used to deposit Ti x C y films over a wide range of Ti:C composition. This technique is well adapted for this purpose and allows one to tailor the microstructure and properties of the films. We investigated the variation of the composition, bonding states, and structure as functions of the deposition conditions. Excess carbon and contamination oxygen are incorporated in the TiC lattice interstitially and substitutionally, respectively. The wear mechanism of a stoichiometric TiC film was investigated and compared to that of a diamondlike carbon film. TiC fails by wear and microcrack propagation. copyright 1997 American Vacuum Society

  19. Spectroscopic ellipsometry investigations of optical anisotropy in obliquely deposited hafnia thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tokas, R. B., E-mail: tokasstar@gmail.com; Jena, Shuvendu; Thakur, S.; Sahoo, N. K. [Atomic & Molecular Physics Division, Bhabha Atomic Research Centre, Trombay, Mumbai-85 (India); Haque, S. Maidul; Rao, K. Divakar [Photonics & Nanotechnology Section, Atomic & Molecular Physics Division, Bhabha Atomic Research Centre facility, Visakhapatnam-530012 (India)

    2016-05-23

    In present work, HfO{sub 2} thin films have been deposited at various oblique incidences on Si substrates by electron beam evaporation. These refractory oxide films exhibited anisotropy in refractive index predictably due to special columnar microstructure. Spectroscopic ellipsometry being a powerful tool for optical characterization has been employed to investigate optical anisotropy. It was observed that the film deposited at glancing angle (80°) exhibits the highest optical anisotropy. Further, anisotropy was noticed to decrease with lower values of deposition angles while effective refractive index depicts opposite trend. Variation in refractive index and anisotropy has been explained in light of atomic shadowing during growth of thin films at oblique angles.

  20. CERN Document Server (CDS): Introduction

    CERN Multimedia

    CERN. Geneva; Costa, Flavio

    2017-01-01

    A short online tutorial introducing the CERN Document Server (CDS). Basic functionality description, the notion of Revisions and the CDS test environment. Links: CDS Production environment CDS Test environment  

  1. Investigations of the thermal treatment effect on the generation of cadmium sulfide clusters in polymeric films

    International Nuclear Information System (INIS)

    Stanculescu, Anca; Socol, M.; Stanculescu, F.

    2002-01-01

    In the last years a special interest has been paid to the field of nanometer-sized semiconductor compound crystalline clusters synthesized in different matrix (inorganic or organic), due to their special physical and chemical properties intermediate between the molecular and bulk limits. To obtain the thin film samples of CdS particles embedded in a polymeric transparent matrix, as bisphenol A polycarbonate, we followed a process containing three important steps: preparation of the so-called 'mother solution', film deposition and thermal treatment. The samples obtained after the first two steps were heat treated at different temperatures (90 deg. C and 150 deg. C) for 0.5 h, 1 h and 1.5 h, and we have analyzed the influence of these parameters on the film quality using UV-VIS spectrophotometric methods. This paper presents a study of the influence of the thermal treatment on the CdS clusters' generation process and film quality and homogeneity. The parameters of the processing procedure of the polymeric film, as the cooling rate, have a great influence on the rate of germination and development of the crystalline phase. A slow cooling rate is a more favorable process for CdS clusters' formation, indicated .by structured features of the fundamental absorption situated between 350-450 nm. When the temperature for thermal treatment increases to 90 deg. C a sharp rise and a shift to shorter wavelengths of the absorption onset edge was observed. This shift proves the existence of a weak quantum confinement effects. We concluded that these two parameters have some equivalent effects on the CdS clusters' generation in polymeric matrix. Varying the treatment duration with a fixed temperature produces similar results as the fixed duration, varied temperature treatment. (authors)

  2. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-01-01

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10 -4 and 2.3x10 -4 Ω·cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10 -4 Ω·cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates

  3. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-09-02

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10{sup -4} and 2.3x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates.

  4. In situ measurement of conductivity during nanocomposite film deposition

    International Nuclear Information System (INIS)

    Blattmann, Christoph O.; Pratsinis, Sotiris E.

    2016-01-01

    Highlights: • Flame-made nanosilver dynamics are elucidated in the gas-phase & on substrates. • The resistance of freshly depositing nanosilver layers is monitored. • Low T g polymers facilitate rapid synthesis of conductive films. • Conductive nanosilver films form on top of or within the polymer depending on MW. - Abstract: Flexible and electrically conductive nanocomposite films are essential for small, portable and even implantable electronic devices. Typically, such film synthesis and conductivity measurement are carried out sequentially. As a result, optimization of filler loading and size/morphology characteristics with respect to film conductivity is rather tedious and costly. Here, freshly-made Ag nanoparticles (nanosilver) are made by scalable flame aerosol technology and directly deposited onto polymeric (polystyrene and poly(methyl methacrylate)) films during which the resistance of the resulting nanocomposite is measured in situ. The formation and gas-phase growth of such flame-made nanosilver, just before incorporation onto the polymer film, is measured by thermophoretic sampling and microscopy. Monitoring the nanocomposite resistance in situ reveals the onset of conductive network formation by the deposited nanosilver growth and sinternecking. The in situ measurement is much faster and more accurate than conventional ex situ four-point resistance measurements since an electrically percolating network is detected upon its formation by the in situ technique. Nevertheless, general resistance trends with respect to filler loading and host polymer composition are consistent for both in situ and ex situ measurements. The time lag for the onset of a conductive network (i.e., percolation) depends linearly on the glass transition temperature (T g ) of the host polymer. This is attributed to the increased nanoparticle-polymer interaction with decreasing T g . Proper selection of the host polymer in combination with in situ resistance monitoring

  5. Superhydrophobic nanostructured ZnO thin films on aluminum alloy substrates by electrophoretic deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Ying; Sarkar, D.K., E-mail: dsarkar@uqac.ca; Chen, X-Grant

    2015-02-01

    Graphical abstract: - Highlights: • Fabrication of superhydrophobic ZnO thin films surfaces by electrophoretic deposition process on aluminum substrates. • Effect of bath temperature on the physical and superhydrophobic properties of thin films. • The water contact angle of 155° ± 3 with roll off property has been observed on the film that was grown at bath temperatures of 50 °C. • The activation energy for electrophoretic deposition of SA-functionalized ZnO nanoparticle is calculated to be 0.50 eV. - Abstract: Superhydrophobic thin films have been fabricated on aluminum alloy substrates by electrophoretic deposition (EPD) process using stearic acid (SA) functionalized zinc oxide (ZnO) nanoparticles suspension in alcohols at varying bath temperatures. The deposited thin films have been characterized using both X-ray diffraction (XRD) and infrared (IR) spectroscopy and it is found that the films contain low surface energy zinc stearate and ZnO nanoparticles. It is also observed that the atomic percentage of Zn and O, roughness and water contact angle of the thin films increase with the increase of the deposited bath temperature. Furthermore, the thin film deposited at 50 °C, having a roughness of 4.54 ± 0.23 μm, shows superhydrophobic properties providing a water contact angle of 155 ± 3° with rolling off properties. Also, the activation energy of electrophoretic deposition of stearic-acid-functionalized ZnO nanoparticles is calculated to be 0.5 eV.

  6. Pulsed-laser-deposited YBCO thin films using modified MTG processed targets

    CERN Document Server

    Kim, C H; Kim, I T; Hahn, T S

    1999-01-01

    YBCO thin films were deposited by pulsed laser deposition from targets fabricated using the modified melt-textured growth (MTG) method and the solid-state sintering (SSS) method. All of the films showed c-axis orientations, but the films from the MTG targets had better crystallinity than those from the SSS targets. As the substrate temperature was increased, T sub c and J sub c of the films increased. The films from the MTG targets showed better superconducting properties than those from the SSS targets. From the composition analysis of the targets, the Y-richer vapor species arriving at the substrate from the MTG targets are thought to form a thermodynamically more stable YBCO phase with less cation disorder.

  7. Effect of angle of deposition on micro-roughness parameters and optical properties of HfO{sub 2} thin films deposited by reactive electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Tokas, R.B., E-mail: tokasstar@gmail.com; Jena, S.; Thakur, S.; Sahoo, N.K.

    2016-06-30

    Oblique angle deposited oxide thin films, in which refractive index profiles can be tailored across depth by tuning their microstructure using varying angle of deposition, have opened up new dimensions in fabrication of optical interference devices. Since surface morphology plays an important role for the qualification of these thin film devices for optical or other applications, it is important to investigate morphological properties of obliquely deposited thin films. In the present work, a set of HfO{sub 2} thin films have been deposited at several oblique angles. Morphological parameters of such thin films viz., correlation length, intrinsic roughness, fractal spectral strength, etc., have been determined through suitable modelling of extended power spectral density measured by atomic force microscopy. It has been found that intrinsic roughness and fractal spectral strength show an interesting behaviour with deposition angle and the same has been discussed in the light of atomic shadowing and re-emission and diffusion of ad-atoms. Further refractive index and thickness of such thin films have been estimated from optical transmission spectra through suitable modelling. Refractive index of such thin film varies from 1.93 to 1.37 as the deposition angle varies from normal to glancing angle (80°). Further, refractive index and grain size depict an opposite trend with deposition angle. This variation has been explained in terms of varying film porosity and column slanting with angle of deposition. - Highlights: • HfO{sub 2} thin films deposited at several oblique angles • Film deposited at 80° exhibits the highest grain size and intrinsic roughness (σ). • Fractal strength and σ depict an interesting trend with angle of deposition. • Refractive index and grain size depict an opposite trend with angle of deposition.

  8. Effect of annealing on electrical properties of plasmatron deposited ZnO films

    International Nuclear Information System (INIS)

    Joa, Sang Beom; Penkov, Oteksiy V.; Plaksin, Vadim Yu; Mansur, Rakib; Kim, Ji Hun; Lee, Heon Ju

    2009-01-01

    Transparent conductive zinc oxide (ZnO) has been extensively studied in recent several years because they have very interesting properties. Besides this, zinc oxide is non-poisonous, abundant and cheap material. ZnO films are employed in different applications like transparent conductive layers in solar cells, protective coatings and so on. Wide industrial application of the ZnO films requires of development of cheap, effective and scalable technology. Typically used technology like RF sputtering, pyrolysis and metal-organic CVD don't completely satisfy the industrial requirements. In our previous publications the new perspective ZnO deposition technology based DC Arc Plasmatron was described. This technology has several advantages (low cost, high deposition rate, low substrate temperature). Currently, films deposited using this technology has can be used only as protective or insulation coatings because of very high resistance. Applying of plasmatron technology in the microelectronics or solar cell production requires the improvement of electrical properties of the films. This can be achieved by optimization of deposition parameters, using of doping, or by post-deposition treatment such as annealing, or by combination of mentioned. It was shown that proposed technology can be used for the deposition of pure ZnO film with good electrical and optical properties. Proposed technology has several disadvantages which can be overcome in the near-term outlook

  9. New results in pulsed laser deposition of poly-methyl-methacrylate thin films

    International Nuclear Information System (INIS)

    Cristescu, R.; Socol, G.; Mihailescu, I.N.; Popescu, M.; Sava, F.; Ion, E.; Morosanu, C.O.; Stamatin, I.

    2003-01-01

    Thin organic films based on poly-methyl-methacrylate (PMMA) polymer have been obtained by pulsed laser deposition (PLD) on silicon substrates. The films were characterized by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Raman spectroscopy (RS). We observed that the film composition and structure depend on the laser fluence and on the temperature of the substrate during deposition

  10. The Effects of Two Thick Film Deposition Methods on Tin Dioxide Gas Sensor Performance

    OpenAIRE

    Bakrania, Smitesh D.; Wooldridge, Margaret S.

    2009-01-01

    This work demonstrates the variability in performance between SnO2 thick film gas sensors prepared using two types of film deposition methods. SnO2 powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition meth...

  11. A review of basic phenomena and techniques for sputter-deposition of high temperature superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Auciello, O. (Microelectronics Center of North Carolina, Research Triangle Park, NC (USA) North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Ameen, M.S.; Kingon, A.I.; Lichtenwalner, D.J. (North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Krauss, A.R. (Argonne National Lab., IL (USA))

    1990-01-01

    The processes involved in plasma and ion beam sputter-deposition of high temperature superconducting thin films are critically reviewed. Recent advances in the development of these techniques are discussed in relation to basic physical phenomena, specific to each technique, which must be understood before high quality films can be produced. Control of film composition is a major issue in sputter-deposition of multicomponent materials. Low temperature processing of films is a common goal for each technique, particularly in relation to integrating high temperature superconducting films with the current microelectronics technology. It has been understood for some time that for Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} deposition, the most intensely studied high-{Tc} compound, incorporation of sufficient oxygen into the film during deposition is necessary to produce as-deposited superconducting films at relatively substrate temperatures. Recent results have shown that with the use of suitable buffer layers, high quality Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} sputtered films can be obtained on Si substrates without the need for post-deposition anneal processing. This review is mainly focussed on issues related to sputter-deposition of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} thin films, although representative results concerning the bismuth and thallium based compounds are included. 143 refs., 11 figs.

  12. Morphology and structural studies of WO{sub 3} films deposited on SrTiO{sub 3} by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kalhori, Hossein, E-mail: h.kalhori@ph.iut.ac.ir [School of Physics and CRANN, Trinity College, Dublin 2 (Ireland); Department of Physics, Isfahan University of Technology, Isfahan 84156-8311 (Iran, Islamic Republic of); Porter, Stephen B.; Esmaeily, Amir Sajjad; Coey, Michael [School of Physics and CRANN, Trinity College, Dublin 2 (Ireland); Ranjbar, Mehdi; Salamati, Hadi [Department of Physics, Isfahan University of Technology, Isfahan 84156-8311 (Iran, Islamic Republic of)

    2016-12-30

    Highlights: • Highly oriented WO{sub 3} stoichiometric films were determined using pulsed laser deposition method. • Effective parameters on thin films including temperature, oxygen partial pressure and laser energy fluency was studied. • A phase transition was observed in WO{sub 3} films at 700 °C from monoclinic to tetragonal. - Abstract: WO{sub 3} films have been grown by pulsed laser deposition on SrTiO{sub 3} (001) substrates. The effects of substrate temperature, oxygen partial pressure and energy fluence of the laser beam on the physical properties of the films were studied. Reflection high-energy electron diffraction (RHEED) patterns during and after growth were used to determine the surface structure and morphology. The chemical composition and crystalline phases were obtained by XPS and XRD respectively. AFM results showed that the roughness and skewness of the films depend on the substrate temperature during deposition. Optimal conditions were determined for the growth of the highly oriented films.

  13. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  14. Shape memory characteristics of sputter-deposited Ti-Ni thin films

    International Nuclear Information System (INIS)

    Miyazaki, Shuichi; Ishida, Akira.

    1994-01-01

    Ti-Ni shape memory alloy thin films were deposited using an RF magnetron sputtering apparatus. The as-sputtered films were heat-treated in order to crystallize and memorize. After the heat treatment, the shape memory characteristics have been investigated using DSC and thermomechanical tests. Upon cooling the thin films, the solution-treated films showed a single peak in the DSC curve indicating a single stage transformation occurring from B2 to the martensitic phase, while the age-treated films showed double peaks indicating a two-stage transformation, i.e., from B2 to the R-phase, then to the martensitic phase. A perfect shape memory effect was achieved in these sputter-deposited Ti-Ni thin films in association both with the R-phase and martensitic transformations. Transformation temperatures increased linearly with increasing applied stress. The transformation strain also increased with increasing stress. The shape memory characteristics were strongly affected by heat-treatment conditions. (author)

  15. Deposition of indium tin oxide films on acrylic substrates by radiofrequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chiou, B.S.; Hsieh, S.T.; Wu, W.F.

    1994-01-01

    Indium tin oxide (ITO) films were deposited onto acrylic substrates by rf magnetron sputtering. Low substrate temperature (< 80 C) and low rf power (< 28 W) were maintained during sputtering to prevent acrylic substrate deformation. The influence of sputtering parameters, such as rf power, target-to-substrate distance, and chamber pressure, on the film deposition rate, the electrical properties, as well as the optical properties of the deposited films was investigated. Both the refractive index and the extinction coefficient were derived. The high reflection at wavelengths greater than 3 μm made these sputtered ITO films applicable to infrared mirrors

  16. Effect of deposition temperature on the structural, morphological and optical band gap of lead selenide thin films synthesized by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Hone, Fekadu Gashaw, E-mail: fekeye@gmail.com [Hawassa University, Department of Physics, Hawassa (Ethiopia); Ampong, Francis Kofi [Kwame Nkrumah University of Science and Technology, Department of Physics, Kumasi (Ghana)

    2016-11-01

    Lead selenide (PbSe) nanocrystalline thin films have been deposited on silica glass substrates by the chemical bath deposition technique. The samples were deposited at the bath temperatures of 60, 75 and 90 °C respectively and characterized by a variety of techniques. The XRD results revealed that the PbSe thin film deposited at 60 °C was amorphous in nature. Films deposited at higher temperatures exhibited sharp and intense diffraction peaks, indicating an improvement in crystallinety. The deposition temperature also had a strong influence on the preferred orientation of the crystallites as well as other structural parameters such as microstrain and dislocation density. From the SEM study it was observed that film deposited at 90 °C had well defined crystallites, uniformly distributed over the entire surface of the substrate. The EDAX study confirmed that the samples deposited at the higher temperature had a better stoichiometric ratio. The optical band gap varied from 2.26 eV to 1.13 eV with increasing deposition temperature. - Highlights: • The crystallinety of the films improved as the deposition temperature increased. • The deposition temperature strongly influenced the preferred orientations. • Microstrain and dislocation density are decreased linearly with deposition temperature. • Band gap decreased from 2.26 eV to 1.13 eV as the deposition temperature increased.

  17. Self-assembly of tetrapod-shaped CdS nanostructures into 3D networks by a transverse growth process

    International Nuclear Information System (INIS)

    Fu Xiuli; Li Dan; Zhang Lei; Xiao Jinghua; Li Jiangyan; Peng Zhijian; Fang Zheyu

    2011-01-01

    Spontaneous formation of 3D tetrapod-shaped CdS nanostructure networks has been achieved for the first time by vapor diffusion-deposition growth from CdS powders. The growth mechanism of the hexagonal and preferentially oriented CdS tetrapod-shaped nanostructures is a combination of the classic vapor-liquid-solid and vapor-solid processes, and the formation of a 3D network results from the spontaneous growths along the longitudinal and across the axial directions of the primarily formed CdS nanorods. Micro-photoluminescence measurements and near-field scanning optical microscopy investigations show that the synthesized CdS tetrapod networks have an excellent luminescence property and can be used as an optical waveguide cavities in which the guided light can be extremely confined.

  18. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    International Nuclear Information System (INIS)

    Gupta, Sushant; Arjunan, Arul Chakkaravarthi; Deshpande, Sameer; Seal, Sudipta; Singh, Deepika; Singh, Rajiv K.

    2009-01-01

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166 o . The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  19. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Sushant, E-mail: sushant3@ufl.ed [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States); Arjunan, Arul Chakkaravarthi [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Deshpande, Sameer; Seal, Sudipta [Advanced Material Processing and Analysis Center, University of Central Florida, Orlando, Florida 32816 (United States); Singh, Deepika [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Singh, Rajiv K. [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States)

    2009-06-30

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166{sup o}. The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  20. Preparation and Characterization of TiO2/CdS Layers as Potential Photoelectrocatalytic Materials

    Directory of Open Access Journals (Sweden)

    Teofil-Danut Silipas

    2011-01-01

    Full Text Available The TiO2/CdS semiconductor composites were prepared on
    indium tin oxide (ITO substrates in di®erent mass proportions via wet-chemical techniques using bi-distilled water, acetyl-acetone, poly-propylene-glycol and Triton X-100 as additives. The composite layers were annealed in normal conditions at the temperature of 450±C, 120 min. with a rate of temperature increasing of 5±C/min. The structural and optical properties of all the TiO2/CdS ayers were characterized by X-ray di®raction, UV-VIS spectroscopy, spectrofluorimetry and FT/IR microscopy. The microstructural properties of the deposited TiO2/CdS layers can be modi¯ed by varying the mass proportions of TiO2:CdS. The good crystallinity level and the high optical adsorption of
    the TiO2/CdS layers make them attractive for photoelectrochemical cell applications.

  1. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.; Alshareef, Husam N.

    2013-01-01

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  2. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.

    2013-01-09

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  3. Liquid films and droplet deposition in a BWR fuel element

    International Nuclear Information System (INIS)

    Damsohn, M.

    2011-01-01

    In the upper part of boiling water reactors (BWR) the flow regime is dominated by a steam-water droplet flow with liquid films on the nuclear fuel rod, the so called (wispy) annular flow regime. The film thickness and liquid flow rate distribution around the fuel rod play an important role especially in regard to so called dryout, which is the main phenomenon limiting the thermal power of a fuel assembly. The deposition of droplets in the liquid film is important, because this process sustains the liquid film and delays dryout. Functional spacers with different vane shapes have been used in recent decades to enhance droplet deposition and thus create more favorable conditions for heat removal. In this thesis the behavior of liquid films and droplet deposition in the annular flow regime in BWR bundles is addressed by experiments in an adiabatic flow at nearly ambient pressure. The experimental setup consists of a vertical channel with the cross-section resembling a pair of neighboring subchannels of a fuel rod bundle. Within this double subchannel an annular flow is established with a gas-water mixture. The impact of functional spacers on the annular flow behavior is studied closely. Parameter variations comprise gas and liquid flow rates, gas density and spacer shape. The setup is instrumented with a newly developed liquid film sensor that measures the electrical conductance between electrodes flush to the wall with high temporal and spatial resolution. Advanced post-processing methods are used to investigate the dynamic behavior of liquid films and droplet deposition. The topic is also assessed numerically by means of single-phase Reynolds-Averaged-Navier-Stokes CFD simulations of the flow in the gas core. For this the commercial code STAR-CCM+ is used coupled with additional models for the liquid film distribution and droplet motion. The results of the experiments show that the liquid film is quite evenly distributed around the circumference of the fuel rods. The

  4. Applications of interface controlled pulsed-laser deposited polymer films in field-effect transistors

    Science.gov (United States)

    Adil, Danish; Ukah, Ndubuisi; Guha, Suchi; Gupta, Ram; Ghosh, Kartik

    2010-03-01

    Matrix assisted pulsed laser evaporation, a derivative of pulsed laser deposition (PLD), is an alternative method of depositing polymer and biomaterial films that allows homogeneous film coverage of high molecular weight organic materials for layer-by-layer growth without any laser induced damage. Polyfluorene (PF)-based conjugated polymers have attracted considerable attention in organic field-effect transistors (FETs). A co-polymer of PF (PFB) was deposited as a thin film using matrix assisted PLD employing a KrF excimer laser. Electrical characteristics of FETs fabricated using these PLD grown films were compared to those of FETs using spin-coated films. We show that threshold voltages, on/off ratios, and charge carrier motilities are significantly improved in PLD grown films. This is attributed to an improved dielectric-polymer interface.

  5. Organic Thin Films Deposited by Emulsion-Based, Resonant Infrared, Matrix-Assisted Pulsed Laser Evaporation: Fundamentals and Applications

    Science.gov (United States)

    Ge, Wangyao

    Thin film deposition techniques are indispensable to the development of modern technologies as thin film based optical coatings, optoelectronic devices, sensors, and biological implants are the building blocks of many complicated technologies, and their performance heavily depends on the applied deposition technique. Particularly, the emergence of novel solution-processed materials, such as soft organic molecules, inorganic compounds and colloidal nanoparticles, facilitates the development of flexible and printed electronics that are inexpensive, light weight, green and smart, and these thin film devices represent future trends for new technologies. One appealing feature of solution-processed materials is that they can be deposited into thin films using solution-processed deposition techniques that are straightforward, inexpensive, high throughput and advantageous to industrialize thin film based devices. However, solution-processed techniques rely on wet deposition, which has limitations in certain applications, such as multi-layered film deposition of similar materials and blended film deposition of dissimilar materials. These limitations cannot be addressed by traditional, vacuum-based deposition techniques because these dry approaches are often too energetic and can degrade soft materials, such as polymers, such that the performance of resulting thin film based devices is compromised. The work presented in this dissertation explores a novel thin film deposition technique, namely emulsion-based, resonant infrared, matrix-assisted pulsed laser evaporation (RIR-MAPLE), which combines characteristics of wet and dry deposition techniques for solution-processed materials. Previous studies have demonstrated the feasibility of emulsion-based RIR-MAPLE to deposit uniform and continuous organic, nanoparticle and blended films, as well as hetero-structures that otherwise are difficult to achieve. However, fundamental understanding of the growth mechanisms that govern

  6. Growth and characterization of EDTA assisted CBD-CdS

    Energy Technology Data Exchange (ETDEWEB)

    Kumarage, W.G.C.; Wijesundera, R. P.; Seneviratne, V. A.; Jayalath, C. P.; Varga, Tamas; Nandasiri, Manjula I.; Dassanayake, B. S.

    2017-10-01

    Chemical bath deposition of CdS (CBD-CdS) thin films with the assistance of a cationic surfactant, ethylenediamine tetraacetic acid (EDTA), is reported in this work. Also the EDTA treated CdS thin films are compared with that of conventional CBD-CdS. Fabricated thin, compact, uniform and adherent EDTA treated CdS films show enhanced effective surface area and roughness compared to conventional CBD-CdS. The grazing incidence x-ray diffraction analysis shows all the fabricated CdS films are hexagonally crystallized. EDTA-treated CdS films show excellent photo activity compared to conventional CBDCdS. The flat band potential (Vfb) value was found to be tunable with EDTA concentration.

  7. Deposition of CdTe films under microgravity: Foton M3 mission

    Energy Technology Data Exchange (ETDEWEB)

    Benz, K.W.; Croell, A. [Freiburger Materialforschungszentrum FMF, Albert-Ludwigs-Universitaet Freiburg (Germany); Zappettini, A.; Calestani, D. [CNR Parma, Instituto Materiali Speciali per Elettronica e Magnetismo IMEM, Fontani Parma (Italy); Dieguez, E. [Universidad Autonoma de Madrid (Spain). Departamento de Fisica de Materiales; Carotenuto, L.; Bassano, E. [Telespazio Napoli, Via Gianturco 31, 80146 Napoli (Italy); Fiederle, M.

    2009-10-15

    Experiments of deposition of CdTe films have been carried out under microgravity in the Russian Foton M3 mission. The influence of gravity has been studied with these experiments and compared to the results of simulations. The measured deposition rate could be confirmed by the theoretical results for lower temperatures. For higher temperatures the measured thickness of the deposited films was larger compared to the theoretical data. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    Science.gov (United States)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  9. Surface-enhanced Raman spectroscopy (SERS) using Ag nanoparticle films produced by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Smyth, C.A., E-mail: smythc2@tcd.ie [School of Physics, Trinity College Dublin, Dublin 2 (Ireland); Mirza, I.; Lunney, J.G.; McCabe, E.M. [School of Physics, Trinity College Dublin, Dublin 2 (Ireland)

    2013-01-01

    Highlights: Black-Right-Pointing-Pointer Pulsed laser deposition (PLD) produces silver nanoparticle films. Black-Right-Pointing-Pointer These films can be used for surface-enhanced Raman spectroscopy (SERS). Black-Right-Pointing-Pointer Commercial film shows good SERS reproducibility but poor signal intensity. Black-Right-Pointing-Pointer PLD shows a good SERS response coupled with good reproducibility. - Abstract: Thin silver nanoparticle films, of thickness 7 nm, were deposited onto glass microslides using pulsed laser deposition (PLD). The films were then characterised using UV-vis spectroscopy and scanning transmission electron microscopy before Rhodamine 6G was deposited onto them for investigation using surface-enhanced Raman spectroscopy (SERS). The sensitivity obtained using SERS was compared to that obtained using a colloidal silver suspension and also to a commercial SERS substrate. The reproducibility of the films is also examined using statistical analysis.

  10. Chemical solution deposition of CaCu 3 Ti 4 O 12 thin film

    Indian Academy of Sciences (India)

    CaCu3Ti4O12 (CCTO) thin film was successfully deposited on boron doped silica substrate by chemical solution deposition and rapid thermal processing. The phase and microstructure of the deposited films were studied as a function of sintering temperature, employing X-ray diffractometry and scanning electron ...

  11. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  12. Electrochromism of the electroless deposited cuprous oxide films

    International Nuclear Information System (INIS)

    Neskovska, R.; Ristova, M.; Velevska, J.; Ristov, M.

    2007-01-01

    Thin cuprous oxide films were prepared by a low cost, chemical deposition (electroless) method onto glass substrates pre-coated with fluorine doped tin oxide. The X-ray diffraction pattern confirmed the Cu 2 O composition of the films. Visible transmittance spectra of the cuprous oxide films were studied for the as-prepared, colored and bleached films. The cyclic voltammetry study showed that those films exhibited cathode coloring electrochromism, i.e. the films showed change of color from yellowish to black upon application of an electric field. The transmittance across the films for laser light of 670 nm was found to change due to the voltage change for about 50%. The coloration memory of those films was also studied during 6 h, ex-situ. The coloration efficiency at 670 nm was calculated to be 37 cm 2 /C

  13. Roughness evolution in Ga doped ZnO films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Liu Yunyan; Cheng Chuanfu; Yang Shanying; Song Hongsheng; Wei Gongxiang; Xue Chengshan; Wang Yongzai

    2011-01-01

    We analyze the morphology evolution of the Ga doped ZnO(GZO) films deposited on quartz substrates by a laser deposition system. The surface morphologies of the film samples grown with different times are measured by the atomic force microscope, and they are analyzed quantitatively by using the image data. In the initial stage of the growth time shorter than 8 min, our analysis shows that the GZO surface morphologies are influenced by such factors as the random fluctuations, the smoothening effects in the deposition, the lateral strain and the substrate. The interface width uw(t) and the lateral correlation length ξ(t) at first decrease with deposition time t. For the growth time larger than 8 min, w(t) and ξ(t) increase with time and it indicates the roughening of the surface and the surface morphology exhibits the fractal characteristics. By fitting data of the roughness w(t) versus deposition time t larger than 4 min to the power-law function, we obtain the growth exponent β is 0.3; and by the height-height correlation functions of the samples to that of the self-affine fractal model, we obtain the value of roughness exponent α about 0.84 for all samples with different growth time t.

  14. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    Energy Technology Data Exchange (ETDEWEB)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Arrieta, M.L. Pérez [Universidad Autónoma de Zacatecas, Unidad Académica de Física, Calzada Solidaridad esq. Paseo, La Bufa s/n, C.P. 98060, Zacatecas, México (Mexico); Meza-Rocha, A.N.; Rivera-Álvarez, Z. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Falcony, C., E-mail: cfalcony@fis.cinvestav.mx [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico)

    2013-10-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min{sup −1} at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min{sup −1} were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s.

  15. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    International Nuclear Information System (INIS)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R.; Arrieta, M.L. Pérez; Meza-Rocha, A.N.; Rivera-Álvarez, Z.; Falcony, C.

    2013-01-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min −1 at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min −1 were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s

  16. PREPARATION AND CHARACTERIZATION OF IRON SULPHIDE THIN FILMS BY CHEMICAL BATH DEPOSITION METHOD

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-06-01

    Full Text Available FeS2 thin films have been deposited by using low cost chemical bath deposition technique. The films obtained under deposition parameters such as bath temperature (90 °C, deposition period (90 min, electrolyte concentration (0.15 M and pH of the reactive mixture (pH 2.5. The thin films were characterized using X-ray diffraction and atomic force microscopy in order to study the structural and morphological properties. The band gap energy, transition type and absorption properties were determined using UV-Vis Spectrophotometer. X-ray diffraction displayed a pattern consistent with the formation of an orthorhombic structure, with a strong (110 preferred orientation. Atomic force microscopy image showed the substrate surface is well covered with irregular grains. A direct band gap of 1.85 eV was obtained according to optical absorption studies.   Keywords: Iron sulfide, X-ray diffraction, chemical bath deposition, thin films

  17. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  18. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  19. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  20. Hydrogen absorption in thin ZnO films prepared by pulsed laser deposition

    OpenAIRE

    Meilkhova, O.; Čížek, J.; Lukáč,, F.; Vlček, M.; Novotný, M.; Bulíř, J.; Lančok, J.; Anwand, W.; Brauer, G.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.

    2013-01-01

    ZnO films with thickness of ~80 nm were grown by pulsed laser deposition (PLD) on MgO (1 0 0) single crystal and amorphous fused silica (FS) substrates. Structural studies of ZnO films and a high quality reference ZnO single crystal were performed by slow positron implantation spectroscopy (SPIS). It was found that ZnO films exhibit significantly higher density of defects than the reference ZnO crystal. Moreover, the ZnO film deposited on MgO substrate exhibits higher concentration of defects...

  1. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  2. Nanoniobia modification of CdS photoanode for an efficient and stable photoelectrochemical cell.

    Science.gov (United States)

    Pareek, Alka; Paik, Pradip; Borse, Pramod H

    2014-12-30

    Herein we report the surface modification of a CdS film by niobia nanoparticles via thioglycerol as an organic linker and thus fabricate an efficient and a stable photoanode for a photoelectrochemical (PEC) cell. We have synthesized three differenly sized (∼3, ∼6 ,and ∼9 nm) niobia nanoparticles by a hydrothermal synthesis approach and have further investigated the particle-size-dependent PEC performance of the nanoparticle-modified CdS photoanode. Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) confirm the formation of Nb2O5 nanoparticles that are prepared via decomposition of the niobium peroxo complex during the hydrothermal reaction and reveal the presence of surface OH(-) groups over niobia nanoparticles that impart a high catalytic property to a material. The nano-Nb2O5-modified photoanode displayed a 23-fold higher power conversion efficiency compared to that of CdS. This modified structure increases the open circuit voltage (OCV) from 0.65 to 0.77 V, which is attributed to the nano-Nb2O5-induced surface passivation effect over bare CdS. Linking of nanoparticles on the CdS surface improves the photocorrosion stability of the CdS photoanode for even longer than 4 h in contrast to the tens of minutes for the base CdS surface. The uniform coverage of the CdS photoanode surface by niobia nanoparticles is thus found to be the controlling parameter for achieving a higher PEC performance and stability of the photoanode. This finding directed us to design an improved CdS photoanode for efficient and prolonged PEC hydrogen generation from a PEC cell.

  3. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  4. Ion beams as a means of deposition and in-situ characterization of thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Gruen, D.M.; Lin, Y.P.; Schmidt, H.; Liu, Y.L.; Barr, T.; Chang, R.P.H.

    1992-01-01

    Ion beam-surface interactions produce many effects in thin film deposition which are similar to those encountered in plasma deposition processes. However, because of the lower pressures and higher directionality associated with the ion beam process, it is easier to avoid some sources of film contamination and to provide better control of ion energies and fluxes. Additional effects occur in the ion beam process because of the relatively small degree of thermalization resulting from gas phase collisions with both the ion beam and atoms sputtered from the target. These effects may be either beneficial or detrimental to the film properties, depending on the material and deposition conditions. Ion beam deposition is particularly suited to the deposition of multi-component films and layered structures, and can in principle be extended to a complete device fabrication process. However, complex phenomena occur in the deposition of many materials of high technical interest which make it desirable to monitor the film growth at the monolayer level. It is possible to make use of ion-surface interactions to provide a full suite of surface analytical capabilities in one instrument, and this data may be obtained at ambient pressures which are far too high for conventional surface analysis techniques. Such an instrument is under development and its current performance characteristics and anticipated capabilities are described

  5. Glow discharge-deposited amorphous silicon films for low-cost solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Grabmaier, J G; Plaettner, R D; Stetter, W [Siemens A.G., Muenchen (Germany, F.R.). Forschungslaboratorien

    1980-01-01

    Due to their high absorption constant, glow discharge-deposited amorphous silicon (a-Si) films are of great interest for low-cost solar cells. Using SiH/sub 4/ and SiX/sub 4//H/sub 2/ (X = Cl or F) gas mixtures in an inductively or capacitively excited reactor, a-Si films with thicknesses up to several micrometers were deposited on substrates of glass, silica and silicon. The optical and electrical properties of the films were determined by measuring the IR absorption spectra, dark conductivity, photoconductivity, and photoluminescence. Hydrogen, chlorine, or fluorine were incorporated in the films in order to passivate dangling bonds in the amorphous network.

  6. Rapid deposition process for zinc oxide film applications in pyroelectric devices

    International Nuclear Information System (INIS)

    Hsiao, Chun-Ching; Yu, Shih-Yuan

    2012-01-01

    Aerosol deposition (AD) is a rapid process for the deposition of films. Zinc oxide is a low toxicity and environmentally friendly material, and it possesses properties such as semiconductivity, pyroelectricity and piezoelectricity without the poling process. Therefore, AD is used to accelerate the manufacturing process for applications of ZnO films in pyroelectric devices. Increasing the temperature variation rate in pyroelectric films is a useful method for enhancing the responsivity of pyroelectric devices. In the present study, a porous ZnO film possessing the properties of large heat absorption and high temperature variation rate is successfully produced by the AD rapid process and laser annealing for application in pyroelectric devices. (paper)

  7. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  8. Pulsed-laser deposition and growth studies of Bi3Fe5O12 thin films

    International Nuclear Information System (INIS)

    Lux, Robert; Heinrich, Andreas; Leitenmeier, Stephan; Koerner, Timo; Herbort, Michael; Stritzker, Bernd

    2006-01-01

    Magneto-optical garnets are attractive because of their high Faraday rotation and low optical loss in the near infrared. Therefore their use is generally in nonreciprocal devices, i.e., as optical isolators in optical communication. In this paper we present data concerning the deposition of Bi 3 Fe 5 O 12 (BIG) thin films on (100) and (111) Gd 3 Ga 5 O 12 substrates using pulsed-laser deposition. Laser-induced processes on the surface of the oxide target used for ablation were analyzed and numerous films were deposited. We found the BIG film quality to be strongly affected by oxygen pressure, laser energy density, and the Bi/Fe film ratio, whereas temperature had a minor influence. We also investigated the BIG-film deposition using a target pressed from metallic Bi and Fe powders and found information on the growth behavior of BIG. We report on details of the film deposition and film properties determined by environmental scanning electron microscopy, energy dispersive x-ray analysis, Rutherford backscattering spectroscopy, and x-ray diffraction. In addition, we determined the Faraday rotation of the films

  9. Magnetic domains in Co-cluster assembled films deposited by LECBD

    International Nuclear Information System (INIS)

    Dumas-Bouchiat, F.; Nagaraja, H.S.; Rossignol, F.; Champeaux, C.; Catherinot, A.

    2005-01-01

    Cobalt aggregates prepared using a cluster beam generator have been deposited on Si(100) substrate leading to thin films of randomly assembled Co nanoparticles which exhibit a spherical shape with a mono-dispersed diameter distribution centred around 9nm. Films with thickness ranging from 50 to 550nm are investigated using magnetic force microscopy (MFM) and results show the presence of twisted magnetic domains. An in-plane magnetic field applied during the growth of the layer leads to the formation of magnetic stripe domains but we observe a similar behaviour if an in-plane magnetic field is applied after the deposition. This indicates that probably the magnetic field applied during the film growth does not drive its magnetic structure. Finally, the measured variation of magnetic domain width D reveals a t dependence, where t is the film thickness, and is independent of the magnetic history of the films

  10. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  11. Lead-doped electron-beam-deposited Bi-Sr-Ca-Cu-O superconducting thin films

    Energy Technology Data Exchange (ETDEWEB)

    Agnihotry, S.A.; Saini, K.K.; Kant, C.; Sharma, C.P.; Ekbote, S.N.; Asthana, P.; Nagpal, K.C.; Chandra, S. (National Physical Lab., New Delhi (India))

    1991-03-20

    Superconducting thin films of the lead-doped Bi-Sr-Ca-Cu-O system have been prepared on (100) single-crystal SrTiO{sub 3} substrates by an electron beam deposition technique using a single sintered pellet as the evaporation source. As-deposited films are amorphous and non-superconducting; post-deposition annealing at an optimized temperature in air has been found to result in crystalline and superconducting films. The superconducting characteristics of the films have been observed to be sensitive not only to the duration and temperature of post-deposition annealing but also to the lead content and the sintering parameters for the pellet to be used as the evaporation source. A pellet with nominal composition Bi{sub 3}Pb{sub 1}Sr{sub 3}Ca{sub 3}Cu{sub 4}O{sub y} that had been sintered for 200 h zero resistivity Tc{sup 0}=112 K. However, films deposited using such a pellet as the evaporation source had Tc{sup 0} {approx equal} 73-78 K, as had the films deposited from a pellet without any lead. We investigated systematically films deposited from pellets with more lead and sintered for different durations. It is evident from these investigations that pellets with nominal composition Bi{sub 3}Pb{sub 2}Sr{sub 3}Ca{sub 3}Cu{sub 4}O{sub y}, i.e. with an excess of lead, and sintered for about 75 h when used as the evaporation source yield films with Tc{sup 0} {approx equal} 100 K when annealed between 835 and 840deg C for an optimized long duration. The films are characterized by X-ray diffraction and energy-dispersive spectroscopy techniques and have been found to be highly c axis oriented. The effect of lead in promoting a high Tc{sup 0}=110 K phase seems to be similar to that in bulk ceramics. (orig.).

  12. X-ray absorption study of silicon carbide thin film deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Monaco, G.; Suman, M.; Garoli, D.; Pelizzo, M.G.; Nicolosi, P.

    2011-01-01

    Silicon carbide (SiC) is an important material for several applications ranging from electronics to Extreme UltraViolet (EUV) space optics. Crystalline cubic SiC (3C-SiC) has a wide band gap (near 2.4 eV) and it is a promising material to be used in high frequency and high energetic electronic devices. We have deposited, by means of pulsed laser deposition (PLD), different SiC films on sapphire and silicon substrates both at mild (650 o C) and at room temperature. The resulted films have different structures such as: highly oriented polycrystalline, polycrystalline and amorphous which have been studied by means of X-ray absorption spectroscopy (XAS) near the Si L 2,3 edge and the C K edge using PES (photoemission spectroscopy) for the analysis of the valence bands structure and film composition. The samples obtained by PLD have shown different spectra among the grown films, some of them showing typical 3C-SiC absorption structure, but also the presence of some Si-Si and graphitic bonds.

  13. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  14. Improving conversion efficiency of CdS quantum dots-sensitized TiO{sub 2} nanotube arrays by doping with Zn{sup 2+} and decorating with ZnO nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Chong, E-mail: chongchen@henu.edu.cn [Henan Key Laboratory of Photovoltaic Materials, Henan University, Kaifeng 475004 (China); School of Physics and Electronics, Henan University, Kaifeng 475004 (China); Wang, Lei; Li, Fumin; Ling, Lanyu [Henan Key Laboratory of Photovoltaic Materials, Henan University, Kaifeng 475004 (China); School of Physics and Electronics, Henan University, Kaifeng 475004 (China)

    2014-08-01

    The Zn-doped TiO{sub 2} nanotube arrays (TNTs) decorated with ZnO nanoparticles have been prepared via electrochemical anodization and immersing method. Furthermore, the CdS quantum dots (QDs) were deposited on the prepared Zn-doped TNTs-ZnO thin films by chemical bath deposition (CBD) method to fabricate the CdS QDs-sensitized Zn-doped TNTs-ZnO photoelectrodes. The nanostructure, morphology, optical properties and electrochemical properties of the CdS/Zn-doped TNTs-ZnO photoelectrode with comparison to those of the CdS/TNTs photoelectrodes were investigated. It has been found that the Zn-doped TNTs-ZnO photoelectrodes significantly increased the UV–vis light absorption of the CdS/Zn-doped TNTs-ZnO photoelectrodes and reduced the charge recombination at the surfaces of the CdS/Zn-doped TNTs-ZnO photoelectrodes. As a consequence, when the Zn-doped TNTs-ZnO film was adopted instead of the plain TNTs film, the light-chemical energy conversion efficiency of the CdS/Zn-doped TNTs-ZnO photoelectrode was much improved compared with the CdS/TNTs photoelectrode. A maximum energy conversion efficiency achieved for the CdS/Zn-doped TNTs-ZnO photoelectrode is 3.86%, which is a 17% improvement compared with the maximum energy conversion efficiency of 3.29% achieved for the CdS/TNTs photoelectrodes. - Highlights: • The CdS/Zn-doped TNTs-ZnO photoelectrodes were synthesized. • The optical properties and photochemical properties were investigated. • The energy conversion efficiency depends on the Zn doping concentration. • The energy conversion efficiency was improved by the Zn doping.

  15. Ellipsometric investigations of pyrolytically deposited thin indium oxide films

    International Nuclear Information System (INIS)

    Winkler, U.

    1980-01-01

    Ellipsometric measurements have been carried out of thin indium oxide films deposited pyrolytically on glass substrates. It was found that the roughness of the films affected the measuring results. Therefore, only after applying a two-layer model a reasonable interpretation of the measuring results became possible

  16. Thickness control in electrophoretic deposition of WO3 nanofiber thin films for solar water splitting

    International Nuclear Information System (INIS)

    Fang, Yuanxing; Lee, Wei Cheat; Canciani, Giacomo E.; Draper, Thomas C.; Al-Bawi, Zainab F.; Bedi, Jasbir S.; Perry, Christopher C.; Chen, Qiao

    2015-01-01

    Graphical abstract: - Highlights: • A novel method combining electrospinning and electrophoretic deposition was established for the creation of nanostructured semiconductor thin films. • The created thin films displayed a high chemical stability with a controllable thickness. • The PEC water splitting performance of the thin films was optimized by fine-tuning the thickness of the films. • A maximum photoconversion efficiency was achieved by 18 μm nanofibrous thin films. - Abstract: Electrophoretic deposition (EPD) of ground electrospun WO 3 nanofibers was applied to create photoanodes with controlled morphology for the application of photoelectrochemical (PEC) water splitting. The correlations between deposition parameters and film thicknesses were investigated with theoretical models to precisely control the morphology of the nanostructured porous thin film. The photoconversion efficiency was further optimized as a function of film thickness. A maximum photoconversion efficiency of 0.924% from electrospun WO 3 nanofibers that EPD deposited on a substrate was achieved at a film thickness of 18 μm.

  17. Superconducting niobium nitride films deposited by unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Olaya, J.J. [Departamento de Ingenieria Mecanica y Mecatronica, Universidad Nacional de Colombia, Ciudad Universitaria, Carrera 30 Numero 45-03, Bogota (Colombia); Huerta, L. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito exterior s/n, CU Coyoacan, Mexico D.F. 04510 (Mexico); Rodil, S.E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito exterior s/n, CU Coyoacan, Mexico D.F. 04510 (Mexico)], E-mail: ser42@iim.unam.mx; Escamilla, R. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito exterior s/n, CU Coyoacan, Mexico D.F. 04510 (Mexico)

    2008-10-01

    Niobium nitride (NbN) thin films were deposited under different configurations of the magnetic field using a magnetron sputtering system. The magnetic field configuration varied from balanced to unbalanced leading to different growth conditions and film properties. The aim of the paper was to identify correlations between deposition conditions, film properties and the electrical properties, specially the superconductive critical temperature (T{sub C}). The results suggested that there is a critical deposition condition, having an optimum ion-atom arrival ratio that promotes a well ordered and textured nanocrystalline structure (cubic phase) with the minimum residual stress and only under this condition a high critical temperature (16K) was obtained. Lower T{sub C} values around 12K were obtained for the NbN samples having a lower degree of structural perfection and texture, and a larger fraction of intergranular voids. On the other hand, analysis of valence-band spectra showed that the contribution of the Nb 4d states remained essentially constant while the higher T{sub C} was correlated to a higher contribution of the N 2p states.

  18. Superconducting niobium nitride films deposited by unbalanced magnetron sputtering

    International Nuclear Information System (INIS)

    Olaya, J.J.; Huerta, L.; Rodil, S.E.; Escamilla, R.

    2008-01-01

    Niobium nitride (NbN) thin films were deposited under different configurations of the magnetic field using a magnetron sputtering system. The magnetic field configuration varied from balanced to unbalanced leading to different growth conditions and film properties. The aim of the paper was to identify correlations between deposition conditions, film properties and the electrical properties, specially the superconductive critical temperature (T C ). The results suggested that there is a critical deposition condition, having an optimum ion-atom arrival ratio that promotes a well ordered and textured nanocrystalline structure (cubic phase) with the minimum residual stress and only under this condition a high critical temperature (16K) was obtained. Lower T C values around 12K were obtained for the NbN samples having a lower degree of structural perfection and texture, and a larger fraction of intergranular voids. On the other hand, analysis of valence-band spectra showed that the contribution of the Nb 4d states remained essentially constant while the higher T C was correlated to a higher contribution of the N 2p states

  19. Supercritical fluid molecular spray film deposition and powder formation

    Science.gov (United States)

    Smith, Richard D.

    1986-01-01

    Solid films are deposited, or fine powders formed, by dissolving a solid material into a supercritical fluid solution at an elevated pressure and then rapidly expanding the solution through a short orifice into a region of relatively low pressure. This produces a molecular spray which is directed against a substrate to deposit a solid thin film thereon, or discharged into a collection chamber to collect a fine powder. Upon expansion and supersonic interaction with background gases in the low pressure region, any clusters of solvent are broken up and the solvent is vaporized and pumped away. Solute concentration in the solution is varied primarily by varying solution pressure to determine, together with flow rate, the rate of deposition and to control in part whether a film or powder is produced and the granularity of each. Solvent clustering and solute nucleation are controlled by manipulating the rate of expansion of the solution and the pressure of the lower pressure region. Solution and low pressure region temperatures are also controlled.

  20. Co-depositing Sn controls the growth of Al films as surfactant

    International Nuclear Information System (INIS)

    Barna, P. B.; Kovacs, A.; Misjak, F.; Eisenmenger-Sittner, C.; Bangert, H.; Tomastik, C.

    2002-01-01

    The present study investigates the influence of co-deposited Sn on the atomic processes involved in the structure evolution of vapour-deposited Al films. The films were prepared in HV by thermal evaporation from W sources at 1600 C substrate temperature either on Si wafers covered by a thermally grown oxide or on air cleaved mica. By applying the half-shadow technique, pure and Sn-doped Al films could be deposited simultaneously. The samples were investigated by AFM, scanning AES, X-TEM as well as by X-ray diffraction methods. The grain growth of Al is promoted by Sn in all stages of the film formation. Scanning AES measurements prove the existence of a wetting Sn layer both on the surface of Al islands and on the surface of the continuos Al layer. Excess Sn forms islands on the growth surface. The surface of pure Al layers exhibits grain boundary grooves and bunches of growth steps around terraces, while that of the Sn doped layers is more rounded. The substrate-film interface was covered by a thin Sn layer. AES measurements also prove the presence of Sn on the growth surface of Al films even after termination of Sn addition. Results of these experiments indicate that during co-deposition of Al and Sn the impinging Al atoms penetrate the wetting layer and are incorporated into the already existing Al crystals. A model has been developed for describing the growth of Al crystals in the presence Sn. (Authors)