WorldWideScience

Sample records for chemical deposition method

  1. Chemical deposition methods using supercritical fluid solutions

    Science.gov (United States)

    Sievers, Robert E.; Hansen, Brian N.

    1990-01-01

    A method for depositing a film of a desired material on a substrate comprises dissolving at least one reagent in a supercritical fluid comprising at least one solvent. Either the reagent is capable of reacting with or is a precursor of a compound capable of reacting with the solvent to form the desired product, or at least one additional reagent is included in the supercritical solution and is capable of reacting with or is a precursor of a compound capable of reacting with the first reagent or with a compound derived from the first reagent to form the desired material. The supercritical solution is expanded to produce a vapor or aerosol and a chemical reaction is induced in the vapor or aerosol so that a film of the desired material resulting from the chemical reaction is deposited on the substrate surface. In an alternate embodiment, the supercritical solution containing at least one reagent is expanded to produce a vapor or aerosol which is then mixed with a gas containing at least one additional reagent. A chemical reaction is induced in the resulting mixture so that a film of the desired material is deposited.

  2. Ammonia-free chemical bath method for deposition of microcrystalline cadmium selenide films

    International Nuclear Information System (INIS)

    Lokhande, C.D.; Lee, Eun-Ho; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    Chemical deposition of cadmium selenide (CdSe) films has been carried out from alkaline aqueous solution containing Cd 2+ and Se 2- ions. In general, the alkaline pH of the CdSe deposition bath has been adjusted by addition of liquid ammonia. However, the use of ammonia in large-scale chemical deposition method represents an environmental problem due to its volatility and toxicity. The volatility of ammonia changes the pH of deposition bath and results into irreproducible film properties. In the present paper, ammonia-free and weak alkaline (pH < 9.0) chemical method for cadmium selenide film has been developed. The cadmium selenide films are microcrystalline (grain size 0.5-0.7 μm) with hexagonal crystal structure. These films are photoactive and therefore, useful in photo conversion of light into electrical power

  3. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  4. ZnS nanoflakes deposition by modified chemical method

    International Nuclear Information System (INIS)

    Desai, Mangesh A.; Sartale, S. D.

    2014-01-01

    We report deposition of zinc sulfide nanoflakes on glass substrates by modified chemical method. The modified chemical method involves adsorption of zinc–thiourea complex on the substrate and its dissociation in presence of hydroxide ions to release sulfur ions from thiourea which react with zinc ions present in the complex to form zinc sulfide nanoflakes at room temperature. Influence of zinc salt and thiourea concentrations ratios on the morphology of the films was investigated by scanning electron microscope (SEM). The ratio of zinc and thiourea in the zinc–thiourea complex significantly affect the size of the zinc sulfide nanoflakes, especially width and density of the nanoflakes. The X-ray diffraction analysis exhibits polycrystalline nature of the zinc sulfide nanoflakes with hexagonal phase

  5. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  6. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  7. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  8. Short review on chemical bath deposition of thin film and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  9. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  10. Chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Arendse, CJ

    2006-02-01

    Full Text Available , effective, more versatile and easily scalable to large substrate sizes. In this paper, we present a design of the hot-wire CVD system constructed at the CSIR for the deposition of CNTs. Additionally, we will report on the structure of CNTs deposited... exhibit exceptional chemical and physical properties related to toughness, chemical inertness, magnetism, and electrical and thermal conductivity. A variety of preparation methods to synthesise CNTs are known, e.g. carbon-arc discharge, laser ablation...

  11. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  12. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  13. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  14. Electrochromic and electrochemical capacitive properties of tungsten oxide and its polyaniline nanocomposite films obtained by chemical bath deposition method

    CSIR Research Space (South Africa)

    Nwanya, AC

    2014-05-01

    Full Text Available Polyanine and its nanocomposite WO3/PANI films were deposited on fluorine doped tin oxide (FTO) glassslides by simple chemical bath deposition method. The morphology structure of the composite film wasstudied using atomic force microscopy (AFM...

  15. Fabrication of ZnO nanorod using spray-pyrolysis and chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Ramadhani, Muhammad F., E-mail: brian@tf.itb.ac.id; Pasaribu, Maruli A. H., E-mail: brian@tf.itb.ac.id; Yuliarto, Brian, E-mail: brian@tf.itb.ac.id; Nugraha, E-mail: brian@tf.itb.ac.id [Advanced Functional Materials Laboratory, Engineering Physics Department Faculty of Industrial Technology, Institut Teknologi Bandung (Indonesia)

    2014-02-24

    ZnO thin films with nanorod structure were deposited using Ultrasonic Spray Pyrolysis method for seed growth, and Chemical Bath Deposition (CBD) for nanorod growth. High purity Zn-hydrate and Urea are used to control Ph were dissolved in ethanol and aqua bidest in Ultrasonic Spray Pyrolysis process. Glass substrate was placed above the heater plate of reaction chamber, and subsequently sprayed with the range duration of 5, 10 and 20 minutes at the temperatures of 3500 C. As for the Chemical Bath Deposition, the glass substrate with ZnO seed on the surface was immerse to Zn-hydrate, HMTA (Hexa Methylene Tetra Amine) and deionized water solution for duration of 3, 5 and 7 hour and temperatures of 600 C, washed in distilled water, dried, and annealed at 3500 C for an hour. The characterization of samples was carried out to reveal the surface morphology using Scanning Electron Microscopy (SEM). From the data, the combination of 5 minutes of Ultrasonic Spray Pyrolysis process and 3 hour of CBD has showed the best structure of nanorod. Meanwhile the longer Spraying process and CBD yield the bigger nanorod structure that have been made, and it makes the films more dense which make the nanorod collide each other and as a result produce unsymetric nanorod structure.

  16. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  17. PREPARATION AND CHARACTERIZATION OF IRON SULPHIDE THIN FILMS BY CHEMICAL BATH DEPOSITION METHOD

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-06-01

    Full Text Available FeS2 thin films have been deposited by using low cost chemical bath deposition technique. The films obtained under deposition parameters such as bath temperature (90 °C, deposition period (90 min, electrolyte concentration (0.15 M and pH of the reactive mixture (pH 2.5. The thin films were characterized using X-ray diffraction and atomic force microscopy in order to study the structural and morphological properties. The band gap energy, transition type and absorption properties were determined using UV-Vis Spectrophotometer. X-ray diffraction displayed a pattern consistent with the formation of an orthorhombic structure, with a strong (110 preferred orientation. Atomic force microscopy image showed the substrate surface is well covered with irregular grains. A direct band gap of 1.85 eV was obtained according to optical absorption studies.   Keywords: Iron sulfide, X-ray diffraction, chemical bath deposition, thin films

  18. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  19. A comparison of different spray chemical vapour deposition methods for the production of undoped ZnO thin films

    International Nuclear Information System (INIS)

    Garnier, Jerome; Bouteville, Anne; Hamilton, Jeff; Pemble, Martyn E.; Povey, Ian M.

    2009-01-01

    Two different methods of spray chemical vapour deposition have been used to grow ZnO thin films on glass substrates from zinc acetate solution over the temperature range 400 o C to 550 o C. The first of these is named InfraRed Assisted Spray Chemical Vapour Deposition (IRAS-CVD). This method uses intense IR radiation to heat not only the substrate but also the gaseous species entering the reactor. The second method is a more conventional approach known simply as ultrasonic spray CVD, which utilises IR lamps to heat the substrate only. By way of comparing these two approaches we present data obtained from contact angle measurements, crystallinity and mean crystallite size, photoluminescence, electrical and optical properties. Additionally we have examined the role of annealing within the IRAS-CVD reactor environment.

  20. Gold catalytic Growth of Germanium Nanowires by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    M. Zahedifar

    2013-03-01

    Full Text Available Germanium nanowires (GeNWs were synthesized using chemical vapor deposition (CVD based on vapor–liquid–solid (VLS mechanism with Au nanoparticles as catalyst and germanium tetrachloride (GeCl4 as a precursor of germanium. Au catalysts were deposited on silicon wafer as a thin film, firstly by sputtering technique and secondly by submerging the silicon substrates in Au colloidal solution, which resulted in Au nanoparticles with different sizes. GeNWs were synthesized at 400 °C, which is a low temperature for electrical device fabrication. Effect of different parameters such as Au nanoparticles size, carrier gas (Ar flow and mixture of H2 with the carrier gas on GeNWs diameter and shape was studied by SEM images. The chemical composition of the nanostructure was also examined by energy dispersive X-ray spectroscopy (EDS.

  1. Preparation and characterization of SnO2 thin film by chemical bath deposition method for solar cell application

    International Nuclear Information System (INIS)

    Wan Mohd Zin Wan Yunus; Saeideh Ebrahimiasl; Anuar Kassim

    2009-01-01

    Full text: Tin oxide thin films were synthesized by chemical bath deposition method on glass substrate .The as-deposited thin films were characterized for compositional, structural, surface morphological, optical and electrical properties. The X-ray diffraction patterns of the sample indicate that all samples are polycrystalline structure. AFM images show that the films consist of small uniform grains and are free of pinholes. (author)

  2. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  3. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  4. In-Situ Synchrotron X-ray Study of the Phase and Texture Evolution of Ceria and Superconductor Films Deposited by Chemical Solution Method

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude; He, Dong

    2012-01-01

    In situ synchrotron x-ray diffraction is used to study the phase and texture formation of ceria based films and superconductor films deposited by the chemical solution method on technical substrates. Combined analysis using in situ synchrotron x-ray diffraction, thermogravimetry/differential ther......In situ synchrotron x-ray diffraction is used to study the phase and texture formation of ceria based films and superconductor films deposited by the chemical solution method on technical substrates. Combined analysis using in situ synchrotron x-ray diffraction, thermogravimetry...

  5. Deposition and characterization of ZnS thin films using chemical bath deposition method in the presence of sodium tartrate as complexing agent

    International Nuclear Information System (INIS)

    Kassim, A.; Tee, T.W.; Min, H.S.; Nagalingam, S.

    2011-01-01

    ZnS thin films were deposited on indium tin oxide glass substrate using the chemical bath deposition method. The deposited films were characterized by X-ray diffraction and atomic force microscopy. The influence of bath temperature on the structure and morphology of the thin films was investigated at three different bath temperatures of 60, 70 and 80 deg. C in the presence of sodium tartrate as a complexing agent. The XRD results indicated that the deposited ZnS thin films exhibited a polycrystalline cubic structure. The number of ZnS peaks increased from three to four peaks as the bath temperature was increased from 60 to 80 deg. C based on the XRD patterns. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the bath temperature. The grain size increased as the bath temperature was increased from 60 to 80 deg. C. (author)

  6. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  7. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  8. Laser-induced chemical vapor deposition reactions

    International Nuclear Information System (INIS)

    Teslenko, V.V.

    1990-01-01

    The results of investigation of chemical reactions of deposition of different substances from the gas phase when using the energy of pulse quasicontinuous and continuous radiation of lasers in the wave length interval from 0.193 to 10.6 μm are generalized. Main attetion is paid to deposition of inorganic substances including nonmetals (C, Si, Ge and others), metals (Cu, Au, Zn, Cd, Al, Cr, Mo, W, Ni) and some simple compounds. Experimental data on the effect of laser radiation parameters and reagent nature (hydrides, halogenides, carbonyls, alkyl organometallic compounds and others) on the deposition rate and deposit composition are described in detail. Specific features of laser-chemical reactions of deposition and prospects of their application are considered

  9. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    Science.gov (United States)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  10. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  11. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  12. Size dependent optical characteristics of chemically deposited

    Indian Academy of Sciences (India)

    Keywords. Thin film; ZnS; CBD method; optical properties. Abstract. ZnS thin films of different thicknesses were prepared by chemical bath deposition using thiourea and zinc acetate as S2- and Zn2+ source. The effect of film thickness on the optical and structural properties was studied. The optical absorption studies in the ...

  13. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  14. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  15. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  16. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  17. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  18. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  19. WC-Co coatings deposited by the electro-thermal chemical spray method

    Energy Technology Data Exchange (ETDEWEB)

    Zhitomirsky, V.N. [Tel Aviv Univ. (Israel). Faculty of Engineering; Wald, S.; Rabani, L.; Zoler, D. [Propulsion Physics Division, SOREQ NRC, 81800, Yavne (Israel); Factor, M.; Roman, I. [School of Applied Sciences, The Hebrew University, 91904, Jerusalem (Israel); Cuperman, S.; Bruma, C. [School of Physics and Astronomy, Tel-Aviv University, 69978, Tel-Aviv (Israel)

    2000-10-02

    A novel thermal spray technology - an electro-thermal chemical spray (ETCS) for producing hard coatings is presented. The experimental coating apparatus consists of a machine gun barrel, a cartridge containing the coating material in powder form, a solid propellant, and a plasma ignition system. The plasma ignition system produces plasma in pulsed mode to ignite the solid propellant. On ignition, the drag force exerted by the combustion gases accelerates the powder particles towards the substrate. Using the ETCS technique, the process of single-shot WC-Co coating deposition on stainless steel substrate was studied. The influence of process parameters (plasma energy, mass of the solid propellant and the coated powder, distance between the gun muzzle and the substrate) on the coating structure and some of its properties were investigated. It was shown that ECTS technique effectively deposited the WC-Co coating with deposition thicknesses of 100-200 {mu}m per shot, while deposition yield of {proportional_to}70% was attained. The WC-Co coatings consisted of carbide particles distributed in amorphous matrix. The powder particle velocity was found to depend on the solid propellant mass and was weakly dependent on the plasma energy, while the particle processing temperature was strongly dependent on the plasma energy and almost independent of the solid propellant mass. Whilst increasing the solid propellant mass from 5 to 7 g, the deposition rate and yield correspondingly increased. When increasing the plasma energy, the temperature of the powder particles increased, the average carbide particle size decreased and their shape became more rounded. The deposition yield and microhardness at first increased and then achieved saturation by increasing the plasma energy. (orig.)

  20. Preparation of nanocrystalline Ni doped ZnS thin films by ammonia-free chemical bath deposition method and optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Sahraei, Reza, E-mail: r.sahraei@ilam.ac.ir; Darafarin, Soraya

    2014-05-01

    Nanocrystalline Ni doped ZnS thin films were deposited on quartz, silicon, and glass substrates using chemical bath deposition method in a weak acidic solution containing ethylenediamine tetra acetic acid disodium salt (Na{sub 2}EDTA) as a complexing agent for zinc ions and thioacetamide (TAA) as a sulfide source at 80 °C. The films were characterized by energy-dispersive X-ray spectrometer (EDX), inductively coupled plasma atomic emission spectroscopy (ICP-AES), Fourier transform-infrared (FT-IR) spectroscopy, X-ray diffraction (XRD), scanning electron microscopy (SEM), ultraviolet–visible spectrophotometry, and photoluminescence (PL) spectroscopy. UV–vis transmission data showed that the films were transparent in the visible region. The X-ray diffraction analysis showed a cubic zinc blend structure. FE-SEM revealed a homogeneous morphology and dense nanostructures. The PL spectra of the ZnS:Ni films showed two characteristic bands, one broad band centered at 430 and another narrow band at 523 nm. Furthermore, concentration quenching effect on the photoluminescence intensity has been observed. - Highlights: • Nanocrystalline ZnS:Ni thin films were prepared by the chemical bath deposition method. • The size of ZnS:Ni nanocrystals was less than 10 nm showing quantum size effect. • SEM images demonstrated a dense and uniform surface that was free of pinholes. • The deposited films were highly transparent (>70%) in the visible region. • The PL spectra of ZnS:Ni thin films showed two emission peaks at 430 and 523 nm.

  1. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  2. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  3. Method for deposition of a conductor in integrated circuits

    Science.gov (United States)

    Creighton, J. Randall; Dominguez, Frank; Johnson, A. Wayne; Omstead, Thomas R.

    1997-01-01

    A method is described for fabricating integrated semiconductor circuits and, more particularly, for the selective deposition of a conductor onto a substrate employing a chemical vapor deposition process. By way of example, tungsten can be selectively deposited onto a silicon substrate. At the onset of loss of selectivity of deposition of tungsten onto the silicon substrate, the deposition process is interrupted and unwanted tungsten which has deposited on a mask layer with the silicon substrate can be removed employing a halogen etchant. Thereafter, a plurality of deposition/etch back cycles can be carried out to achieve a predetermined thickness of tungsten.

  4. Electroplating method for producing ultralow-mass fissionable deposits

    International Nuclear Information System (INIS)

    Ruddy, F.H.

    1989-01-01

    A method for producing ultralow-mass fissionable deposits for nuclear reactor dosimetry is described, including the steps of holding a radioactive parent until the radioactive parent reaches secular equilibrium with a daughter isotope, chemically separating the daughter from the parent, electroplating the daughter on a suitable substrate, and holding the electroplated daughter until the daughter decays to the fissionable deposit

  5. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  6. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  7. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager

    2017-03-15

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.

  8. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  9. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  10. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  11. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  12. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  13. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  14. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  15. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  16. Room temperature synthesis and characterization of CdO nanowires by chemical bath deposition (CBD) method

    International Nuclear Information System (INIS)

    Dhawale, D.S.; More, A.M.; Latthe, S.S.; Rajpure, K.Y.; Lokhande, C.D.

    2008-01-01

    A chemical synthesis process for the fabrication of CdO nanowires is described. In the present work, transparent and conductive CdO films were synthesized on the glass substrate using chemical bath deposition (CBD) at room temperature. These films were annealed in air at 623 K and characterized for the structural, morphological, optical and electrical properties were studied by means of X-ray diffraction (XRD), scanning electron microscopy (SEM), optical and electrical resistivity. The XRD analysis showed that the as-deposited amorphous can be converted in to polycrystalline after annealing. Annealed CdO nanowires are 60-65 nm in diameter and length ranges typically from 2.5 to 3 μm. The optical properties revealed the presence of direct and indirect band gaps with energies 2.42 and 2.04 eV, respectively. Electrical resistivity measurement showed semiconducting behavior and thermoemf measurement showed n-type electrical conductivity

  17. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  18. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  19. Water-assisted growth of graphene on carbon nanotubes by the chemical vapor deposition method.

    Science.gov (United States)

    Feng, Jian-Min; Dai, Ye-Jing

    2013-05-21

    Combining carbon nanotubes (CNTs) with graphene has been proved to be a feasible method for improving the performance of graphene for some practical applications. This paper reports a water-assisted route to grow graphene on CNTs from ferrocene and thiophene dissolved in ethanol by the chemical vapor deposition method in an argon flow. A double injection technique was used to separately inject ethanol solution and water for the preparation of graphene/CNTs. First, CNTs were prepared from ethanol solution and water. The injection of ethanol solution was suspended and water alone was injected into the reactor to etch the CNTs. Thereafter, ethanol solution was injected along with water, which is the key factor in obtaining graphene/CNTs. Transmission electron microscopy, scanning electron microscopy, X-ray diffraction, and Raman scattering analyses confirmed that the products were the hybrid materials of graphene/CNTs. X-ray photo-electron spectroscopy analysis showed the presence of oxygen rich functional groups on the surface of the graphene/CNTs. Given the activity of the graphene/CNT surface, CdS quantum dots adhered onto it uniformly through simple mechanical mixing.

  20. Effect of deposition temperature on the structural, morphological and optical band gap of lead selenide thin films synthesized by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Hone, Fekadu Gashaw, E-mail: fekeye@gmail.com [Hawassa University, Department of Physics, Hawassa (Ethiopia); Ampong, Francis Kofi [Kwame Nkrumah University of Science and Technology, Department of Physics, Kumasi (Ghana)

    2016-11-01

    Lead selenide (PbSe) nanocrystalline thin films have been deposited on silica glass substrates by the chemical bath deposition technique. The samples were deposited at the bath temperatures of 60, 75 and 90 °C respectively and characterized by a variety of techniques. The XRD results revealed that the PbSe thin film deposited at 60 °C was amorphous in nature. Films deposited at higher temperatures exhibited sharp and intense diffraction peaks, indicating an improvement in crystallinety. The deposition temperature also had a strong influence on the preferred orientation of the crystallites as well as other structural parameters such as microstrain and dislocation density. From the SEM study it was observed that film deposited at 90 °C had well defined crystallites, uniformly distributed over the entire surface of the substrate. The EDAX study confirmed that the samples deposited at the higher temperature had a better stoichiometric ratio. The optical band gap varied from 2.26 eV to 1.13 eV with increasing deposition temperature. - Highlights: • The crystallinety of the films improved as the deposition temperature increased. • The deposition temperature strongly influenced the preferred orientations. • Microstrain and dislocation density are decreased linearly with deposition temperature. • Band gap decreased from 2.26 eV to 1.13 eV as the deposition temperature increased.

  1. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  2. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  3. Deposition barium titanate (BaTiO3) doped lanthanum with chemical solution deposition

    International Nuclear Information System (INIS)

    Iriani, Y.; Nurhadi, N.; Jamaludin, A.

    2016-01-01

    Deposition of Barium Titanate (BaTiO 3 ) thin films used Chemical Solution Deposition (CSD) method and prepared with spin coater. BaTiO 3 is doped with lanthanum, 1%, 2%, and 3%. The thermal process use annealing temperature 900°C and holding time for 3 hours. The result of characterization with x-ray diffraction (XRD) equipment show that the addition of La 3+ doped on Barium Titanate caused the change of angle diffraction.The result of refine with GSAS software shows that lanthanum have been included in the structure of BaTiO 3 . Increasing mol dopant La 3+ cause lattice parameter and crystal volume become smaller. Characterization result using Scanning Electron Microscopy (SEM) equipment show that grain size (grain size) become smaller with increasing mole dopant (x) La 3+ . The result of characterization using Sawyer Tower methods show that all the samples (Barium Titanante and Barium Titanate doped lanthanum) are ferroelectric material. Increasing of mole dopant La 3+ cause smaller coercive field and remanent polarization increases. (paper)

  4. A comparative chemical network study of HWCVD deposited amorphous silicon and carbon based alloys thin films

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P., E-mail: bibhuprasad.swain@gmail.com [Centre for Materials Science and Nanotechnology, Sikkim Manipal Institute of Technology, Majitar, Rangpo Sikkim (India); Swain, Bhabani S.; Hwang, Nong M. [Thin Films and Microstructure Laboratory, Department of Materials Science and Engineering, Seoul National University, Seoul (Korea, Republic of)

    2014-03-05

    Highlights: • a-SiC:H, a-SiN:H, a-C:H and a-SiCN:H films were deposited by hot wire chemical vapor deposition. • Evolution of microstructure of a-SiCN:H films deposited at different NH{sub 3} flow rate were analyzed. • The chemical network of Si and C based alloys were studied by FTIR and Raman spectroscopy. -- Abstract: Silicon and carbon based alloys were deposited by hot wire chemical vapor deposition (HWCVD). The microstructure and chemical bonding of these films were characterized by field emission scanning electron microscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The electron microscopy revealed various microstructures were observed for a-C:H, a-SiC:H, a-SiN:H, a-CN:H and a-SiCN:H films. The microstructure of SiN:H films showed agglomerate spherical grains while a-C:H films showed more fractal surface with branched microstructure. However, a-SiC:H, a-CN:H and a-SiCN:H indicated uniform but intermediate surface fractal microstructure. A series of a-SiCN:H films were deposited with variation of NH{sub 3} flow rate. The nitrogen incorporation in a-SiCN:H films alter the carbon network from sp{sup 2} to sp{sup 3} bonding The detail chemical bonding of amorphous films was analyzed by curve fitting method.

  5. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  6. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  7. Indium sulfide buffer layers deposited by dry and wet methods

    International Nuclear Information System (INIS)

    Asenjo, B.; Sanz, C.; Guillen, C.; Chaparro, A.M.; Gutierrez, M.T.; Herrero, J.

    2007-01-01

    Indium sulfide (In 2 S 3 ) thin films have been deposited on amorphous glass, glass coated by tin oxide (TCO) and crystalline silicon substrates by two different methods: modulated flux deposition (MFD) and chemical bath deposition (CBD). Composition, morphology and optical characterization have been carried out with Scanning Electron Microscopy (SEM), IR-visible-UV Spectrophotometry, X-ray diffraction (XRD) and Fourier transform infrared (FTIR) spectrometer. Different properties of the films have been obtained depending on the preparation techniques. With MFD, In 2 S 3 films present more compact and homogeneous surface than with CBD. Films deposited by CBD present also indium oxide in their composition and higher absorption edge values when deposited on glass

  8. Synthesis of nanocrystalline nickel-zinc ferrite (Ni0.8Zn0.2Fe2O4) thin films by chemical bath deposition method

    International Nuclear Information System (INIS)

    Pawar, D.K.; Pawar, S.M.; Patil, P.S.; Kolekar, S.S.

    2011-01-01

    Graphical abstract: Display Omitted Research highlights: → We have successfully synthesized nickel-zinc ferrite (Ni 0.8 Zn 0.2 Fe 2 O 4 ) thin films on stainless steel substrates using a low temperature chemical bath deposition method. → The surface morphological study showed the compact flakes like morphology. → The as-deposited thin films are hydrophilic (10 o o ) whereas the annealed thin films are super hydrophilic (θ o ) in nature. → Ni 0.8 Zn 0.2 Fe 2 O 4 thin films could be used in supercapacitor. - Abstract: The nickel-zinc ferrite (Ni 0.8 Zn 0.2 Fe 2 O 4 ) thin films have been successfully deposited on stainless steel substrates using a chemical bath deposition method from alkaline bath. The films were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), static water contact angle and cyclic voltammetry measurements. The X-ray diffraction pattern shows that deposited Ni 0.8 Zn 0.2 Fe 2 O 4 thin films were oriented along (3 1 1) plane. The FTIR spectra showed strong absorption peaks around 600 cm -1 which are typical for cubic spinel crystal structure. SEM study revealed compact flakes like morphology having thickness ∼1.8 μm after air annealing. The annealed films were super hydrophilic in nature having a static water contact angle (θ) of 5 o .The electrochemical supercapacitor study of Ni 0.8 Zn 0.2 Fe 2 O 4 thin films has been carried out in 6 M KOH electrolyte. The values of interfacial and specific capacitances obtained were 0.0285 F cm -2 and 19 F g -1 , respectively.

  9. Structural and chemical transformations in SnS thin films used in chemically deposited photovoltaic cells

    International Nuclear Information System (INIS)

    Avellaneda, David; Delgado, Guadalupe; Nair, M.T.S.; Nair, P.K.

    2007-01-01

    Chemically deposited SnS thin films possess p-type electrical conductivity. We report a photovoltaic structure: SnO 2 :F-CdS-SnS-(CuS)-silver print, with V oc > 300 mV and J sc up to 5 mA/cm 2 under 850 W/m 2 tungsten halogen illumination. Here, SnO 2 :F is a commercial spray-CVD (Pilkington TEC-8) coating, and the rest deposited from different chemical baths: CdS (80 nm) at 333 K, SnS (450 nm) and CuS (80 nm) at 293-303 K. The structure may be heated in nitrogen at 573 K, before applying the silver print. The photovoltaic behavior of the structure varies with heating: V oc ∼ 400 mV and J sc 2 , when heated at 423 K in air, but V oc decreases and J sc increases when heated at higher temperatures. These photovoltaic structures have been found to be stable over a period extending over one year by now. The overall cost of materials, simplicity of the deposition process, and possibility of easily varying the parameters to improve the cell characteristics inspire further work. Here we report two different baths for the deposition of SnS thin films of about 500 nm by chemical deposition. There is a considerable difference in the nature of growth, crystalline structure and chemical stability of these films under air-heating at 623-823 K or while heating SnS-CuS layers, evidenced in XRF and grazing incidence angle XRD studies. Heating of SnS-CuS films results in the formation of SnS-Cu x SnS y . 'All-chemically deposited photovoltaic structures' involving these materials are presented

  10. Photoelectrochemical Properties of CuO Grown by Using a Modified Chemical Bath Deposition Method

    Energy Technology Data Exchange (ETDEWEB)

    Ha, Jin-wook; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2016-06-15

    In this study, cupric oxide (CuO) nanorods were grown on the fluorine-doped tin oxide (FTO) glass substrate using a modified-chemical bath deposition (M-CBD) method. We investigated the morphology, structural, optical and photoelectrochemical properties of the cupric oxide nanorods with various growth durations by using field-emission scanning-electron microscopy (FE-SEM), X-ray diffraction (XRD), UV-visible spectroscopy (UV-vis) and three-electrode potentiostat, respectively. In this work, we found that the morphologies, thickness, growth rate, crystallinities, grain sizes and optical bandgap were controllable on the growth duration, which affected photocurrent density and photo-stability. The highest growth rate of CuO nanorods was 126 nm/min. From the XRD measurement, we also confirmed that (020) directional growth affected the growth of the CuO nanorods. A maximum photocurrent density of-1.88 mA/cm² at -0.55 V (vs. SCE) and high photo-stability value about 40% was obtained with 10 minutes growth duration.

  11. Mathematical Calculations Of Heat Transfer For The CNC Deposition Platform Based On Chemical Thermal Method

    Science.gov (United States)

    Essa, Mohammed Sh.; Chiad, Bahaa T.; Hussein, Khalil A.

    2018-05-01

    Chemical thermal deposition techniques are highly depending on deposition platform temperature as well as surface substrate temperatures, so in this research thermal distribution and heat transfer was calculated to optimize the deposition platform temperature distribution, determine the power required for the heating element, to improve thermal homogeneity. Furthermore, calculate the dissipated thermal power from the deposition platform. Moreover, the thermal imager (thermal camera) was used to estimate the thermal destitution in addition to, the temperature allocation over 400cm2 heated plate area. In order to reach a plate temperature at 500 oC, a plate supported with an electrical heater of power (2000 W). Stainless steel plate of 12mm thickness was used as a heated plate and deposition platform and subjected to lab tests using element analyzer X-ray fluorescence system (XRF) to check its elemental composition and found the grade of stainless steel and found to be 316 L. The total heat losses calculated at this temperature was 612 W. Homemade heating element was used to heat the plate and can reach 450 oC with less than 15 min as recorded from the system.as well as the temperatures recorded and monitored using Arduino/UNO microcontroller with cold-junction-compensated K-thermocouple-to-digital converter type MAX6675.

  12. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  13. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  14. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  15. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  16. Superhydrophobic multi-scale ZnO nanostructures fabricated by chemical vapor deposition method.

    Science.gov (United States)

    Zhou, Ming; Feng, Chengheng; Wu, Chunxia; Ma, Weiwei; Cai, Lan

    2009-07-01

    The ZnO nanostructures were synthesized on Si(100) substrates by chemical vapor deposition (CVD) method. Different Morphologies of ZnO nanostructures, such as nanoparticle film, micro-pillar and micro-nano multi-structure, were obtained with different conditions. The results of XRD and TEM showed the good quality of ZnO crystal growth. Selected area electron diffraction analysis indicates the individual nano-wire is single crystal. The wettability of ZnO was studied by contact angle admeasuring apparatus. We found that the wettability can be changed from hydrophobic to super-hydrophobic when the structure changed from smooth particle film to single micro-pillar, nano-wire and micro-nano multi-scale structure. Compared with the particle film with contact angle (CA) of 90.7 degrees, the CA of single scale microstructure and sparse micro-nano multi-scale structure is 130-140 degrees, 140-150 degrees respectively. But when the surface is dense micro-nano multi-scale structure such as nano-lawn, the CA can reach to 168.2 degrees . The results indicate that microstructure of surface is very important to the surface wettability. The wettability on the micro-nano multi-structure is better than single-scale structure, and that of dense micro-nano multi-structure is better than sparse multi-structure.

  17. Structural and Optical Properties of Chemical Bath Deposited Silver Oxide Thin Films: Role of Deposition Time

    Directory of Open Access Journals (Sweden)

    A. C. Nwanya

    2013-01-01

    Full Text Available Silver oxide thin films were deposited on glass substrates at a temperature of 50°C by chemical bath deposition technique under different deposition times using pure AgNO3 precursor and triethanolamine as the complexing agent. The chemical analysis based on EDX technique shows the presence of Ag and O at the appropriate energy levels. The morphological features obtained from SEM showed that the AgxO structures varied as the deposition time changes. The X-ray diffraction showed the peaks of Ag2O and AgO in the structure. The direct band gap and the refractive index increased as the deposition time increased and was in the range of 1.64–1.95 eV and 1.02–2.07, respectively. The values of the band gap and refractive index obtained indicate possible applications in photovoltaic and photothermal systems.

  18. Preliminary viability studies of fibroblastic cells cultured on microcrystalline and nanocrystalline diamonds produced by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    Ana Amélia Rodrigues

    2013-02-01

    Full Text Available Implant materials used in orthopedics surgery have demonstrated some disadvantages, such as metallic corrosion processes, generation of wear particles, inflammation reactions and bone reabsorption in the implant region. The diamond produced through hot-filament chemical vapour deposition method is a new potential biomedical material due to its chemical inertness, extreme hardness and low coefficient of friction. In the present study we analysis two samples: the microcrystalline diamond and the nanocrystalline diamond. The aim of this study was to evaluate the surface properties of the diamond samples by scanning electron microscopy, Raman spectroscopy and atomic force microscopy. Cell viability and morphology were assessed using thiazolyl blue tetrazolium bromide, cytochemical assay and scanning electron microscopy, respectively. The results revealed that the two samples did not interfere in the cell viability, however the proliferation of fibroblasts cells observed was comparatively higher with the nanocrystalline diamond.

  19. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  20. Incorporation of Mn2+ into CdSe quantum dots by chemical bath co-deposition method for photovoltaic enhancement of quantum dot-sensitized solar cells.

    Science.gov (United States)

    Zhang, Chenguang; Liu, Shaowen; Liu, Xingwei; Deng, Fei; Xiong, Yan; Tsai, Fang-Chang

    2018-03-01

    A photoelectric conversion efficiency (PCE) of 4.9% was obtained under 100 mW cm -2 illumination by quantum-dot-sensitized solar cells (QDSSCs) using a CdS/Mn : CdSe sensitizer. CdS quantum dots (QDs) were deposited on a TiO 2 mesoporous oxide film by successive ionic layer absorption and reaction. Mn 2+ doping into CdSe QDs is an innovative and simple method-chemical bath co-deposition, that is, mixing the Mn ion source with CdSe precursor solution for Mn : CdSe QD deposition. Compared with the CdS/CdSe sensitizer without Mn 2+ incorporation, the PCE was increased from 3.4% to 4.9%. The effects of Mn 2+ doping on the chemical, physical and photovoltaic properties of the QDSSCs were investigated by energy dispersive spectrometry, absorption spectroscopy, photocurrent density-voltage characteristics and electrochemical impedance spectroscopy. Mn-doped CdSe QDs in QDSSCs can obtain superior light absorption, faster electron transport and slower charge recombination than CdSe QDs.

  1. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  2. Investigation of the fluidized bed-chemical vapor deposition (FBCVD) process using CFD-DEM method

    International Nuclear Information System (INIS)

    Liu Malin; Liu Rongzheng; Wen Yuanyun; Liu Bing; Shao Youlin

    2014-01-01

    The CFD-DEM-CVD multiscale coupling simulation concept was proposed based on the mass/momentum/energy transfer involved in the FB-CVD process. The pyrolysis process of the reaction gas in the spouted bed can be simulated by CFD method, then the concentration field and velocity field can be extracted and coupled with the particle movement behavior which can be simulated by DEM. Particle deposition process can be described by the CVD model based on particle position, velocity and neighboring gas concentration. This multiscale coupling method can be implemented in the Fluent@-EDEM@ software with their UDF (User Definition Function) and API (Application Programming Interface). Base on the multiscale coupling concept, the criterion for evaluating FB-CVD process is given. At first, the volume in the coating furnace is divided into two parts (active coating area and non-active coating area) based on simulation results of chemical pyrolysis process. Then the residence time of all particles in the active coating area can be obtained using the CFD-DEM simulation method. The residence time distribution can be used as a criterion for evaluating the gas-solid contact efficiency and operation performance of the coating furnace. At last different coating parameters of the coating furnace are compared based on the proposed criterion. And also, the future research emphasis is discussed. (author)

  3. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    Science.gov (United States)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  4. Chemical solution deposition: a path towards low cost coated conductors

    International Nuclear Information System (INIS)

    Obradors, X; Puig, T; Pomar, A; Sandiumenge, F; Pinol, S; Mestres, N; Castano, O; Coll, M; Cavallaro, A; Palau, A; Gazquez, J; Gonzalez, J C; Gutierrez, J; Roma, N; Ricart, S; Moreto, J M; Rossell, M D; Tendeloo, G van

    2004-01-01

    The achievement of low cost deposition techniques for high critical current YBa 2 Cu 3 O 7 coated conductors is one of the major objectives to achieve a widespread use of superconductivity in power applications. Chemical solution deposition techniques are appearing as a very promising methodology to achieve epitaxial oxide thin films at a low cost, so an intense effort is being carried out to develop routes for all chemical coated conductor tapes. In this work recent achievements will be presented towards the goal of combining the deposition of different type of buffer layers on metallic substrates based on metal-organic decomposition with the growth of YBa 2 Cu 3 O 7 layers using the trifluoroacetate route. The influence of processing parameters on the microstructure and superconducting properties will be stressed. High critical currents are demonstrated in 'all chemical' multilayers

  5. Atmospheric Deposition: Sampling Procedures, Analytical Methods, and Main Recent Findings from the Scientific Literature

    Directory of Open Access Journals (Sweden)

    M. Amodio

    2014-01-01

    Full Text Available The atmosphere is a carrier on which some natural and anthropogenic organic and inorganic chemicals are transported, and the wet and dry deposition events are the most important processes that remove those chemicals, depositing it on soil and water. A wide variety of different collectors were tested to evaluate site-specificity, seasonality and daily variability of settleable particle concentrations. Deposition fluxes of POPs showed spatial and seasonal variations, diagnostic ratios of PAHs on deposited particles, allowed the discrimination between pyrolytic or petrogenic sources. Congener pattern analysis and bulk deposition fluxes in rural sites confirmed long-range atmospheric transport of PCDDs/Fs. More and more sophisticated and newly designed deposition samplers have being used for characterization of deposited mercury, demonstrating the importance of rain scavenging and the relatively higher magnitude of Hg deposition from Chinese anthropogenic sources. Recently biological monitors demonstrated that PAH concentrations in lichens were comparable with concentrations measured in a conventional active sampler in an outdoor environment. In this review the authors explore the methodological approaches used for the assessment of atmospheric deposition, from the analysis of the sampling methods, the analytical procedures for chemical characterization of pollutants and the main results from the scientific literature.

  6. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  7. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  8. Evaluation of methods for characterizations of deposits; Utvaerdering av metoder foer avlagringsmaetningar

    Energy Technology Data Exchange (ETDEWEB)

    Hoegberg, J.; Bjoerkman, P. [Vattenfall Utveckling AB, Aelvkarleby (Sweden)

    2001-11-01

    In boilers there are problems with deposits on parts exposed to the flue gas, in particular on heat exchanging parts and to an increasing extent with the changeover to the use of biofuels and wood waste fuels. In order to solve the problems deposits are examined by using a deposit probe and taking deposit samples from the interior of the boiler. In this report an evaluation of methods of analysis is performed based on experiences in both literature and laboratory work. The evaluation forms the basis of an instruction for deposit measurements in 'Vaermeforsks Maethandbok'. The procedure for use of deposit probes is treated as well as the importance of careful and well planned sample preparation before analysis. In the literature a large number of methods used for analysis of deposits from flue ashes and similar applications are found. The methods include chemical analyses of solids and liquids, analysis of crystal structures, thermal properties and the solid mechanics of the materials. Several methods, for example SEM-EDX, XRF, ICP, IC and methods for determining the mechanical and thermal properties are suited for a survey examination of a deposit, while more specialised methods with higher resolution can add information but require a clear framing of a question and in practice are suited for only separate samples. Examples from the latter category are AES, ESCA and TOF-SIMS.

  9. Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...

    African Journals Online (AJOL)

    Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...

  10. Chemical effect on ozone deposition over seawater

    Science.gov (United States)

    Surface layer resistance plays an important role in determining ozone deposition velocity over seawater. Recent studies suggest that surface layer resistance over sea-water is influenced by wind-speed and chemical interaction at the air-water interface. Here, we investigate the e...

  11. Microstructures and photocatalytic properties of porous ZnO films synthesized by chemical bath deposition method

    International Nuclear Information System (INIS)

    Wang Huihu; Dong, Shijie; Chang Ying; Zhou Xiaoping; Hu Xinbin

    2012-01-01

    Different porous ZnO film structures on the surface of alumina substrates were prepared through a simple chemical bath deposition method in the methanolic zinc acetate solution. The surface morphology and phase structure of porous ZnO film were determined by scanning electron microscopy (SEM) and X-ray diffraction (XRD), respectively. Both initial zinc acetate concentration and sintering temperature have great impact on the final film structures. With the increase of initial zinc acetate concentration, the porous structures can be finely tuned from circular nest like assemblies composed film into successive nest like film, and finally to globular aggregates composed film. By increasing the sintering temperature, the porous structure of successive nest like film can be further controlled. Furthermore, the crystallinity of photocatalysts also can be greatly improved. The photodegradation results of Methyl Orange revealed that porous ZnO film with successive nest like structure sintered at 500 °C exhibited the highest photocatalytic activity under UV illumination.

  12. Functionalization of Hydrogenated Chemical Vapour Deposition-Grown Graphene by On-Surface Chemical Reactions

    Czech Academy of Sciences Publication Activity Database

    Drogowska, Karolina; Kovaříček, Petr; Kalbáč, Martin

    2017-01-01

    Roč. 23, č. 17 (2017), s. 4022-4022 ISSN 1521-3765 Institutional support: RVO:61388955 Keywords : Chemical vapor deposition * Hydrogenation * Graphene Subject RIV: CF - Physical ; Theoretical Chemistry

  13. Faraday effect of polycrystalline bismuth iron garnet thin film prepared by mist chemical vapor deposition method

    International Nuclear Information System (INIS)

    Yao, Situ; Kamakura, Ryosuke; Murai, Shunsuke; Fujita, Koji; Tanaka, Katsuhisa

    2017-01-01

    We have synthesized polycrystalline thin film composed of a single phase of metastable bismuth iron garnet, Bi_3Fe_5O_1_2, on a fused silica substrate, one of the most widely utilized substrates in the solid-state electronics, by using mist chemical vapor deposition (mist CVD) method. The phase purity and stoichiometry are confirmed by X-ray diffraction and Rutherford backscattering spectrometry. The resultant thin film shows a small surface roughness of 3.251 nm. The saturation magnetization at room temperature is 1200 G, and the Faraday rotation angle at 633 nm reaches −5.2 deg/μm. Both the magnetization and the Faraday rotation angles are somewhat higher than those of polycrystalline BIG thin films prepared by other methods. - Highlights: • Thin film of polycrystalline Bi_3Fe_5O_1_2 was prepared by the mist CVD method. • Optimized conditions were found for the synthesis of single phase of Bi_3Fe_5O_1_2. • The Faraday rotation angle at 633 nm is –5.2 deg/μm at room temperature. • The Faraday rotation is interpreted by the electronic transitions of Fe"3"+ ions.

  14. Silver deposition on chemically treated carbon monolith

    Directory of Open Access Journals (Sweden)

    Jovanović Zoran M.

    2009-01-01

    Full Text Available Carbon monolith was treated with HNO3, KOH and H2O2. Effects of these treatments on the surface functional groups and on the amount of silver deposited on the CM surface were studied by temperature programmed desorption (TPD and atomic absorption spectrometry (AAS. As a result of chemical treatment there was an increase in the amount of surface oxygen complexes. The increase in the amount of silver deposit is proportional to the amount of surface groups that produce CO under decomposition. However, the high amount of CO groups, decomposing above 600°C, induces the smaller Ag crystallite size. Therefore, the high temperature CO evolving oxides are, most likely, the initial centers for Ag deposition.

  15. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  16. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  17. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  18. Electro-chemical deposition of nano hydroxyapatite-zinc coating on titanium metal substrate.

    Science.gov (United States)

    El-Wassefy, N A; Reicha, F M; Aref, N S

    2017-08-13

    Titanium is an inert metal that does not induce osteogenesis and has no antibacterial properties; it is proposed that hydroxyapatite coating can enhance its bioactivity, while zinc can contribute to antibacterial properties and improve osseointegration. A nano-sized hydroxyapatite-zinc coating was deposited on commercially pure titanium using an electro-chemical process, in order to increase its surface roughness and enhance adhesion properties. The hydroxyapatite-zinc coating was attained using an electro-chemical deposition in a solution composed of a naturally derived calcium carbonate, di-ammonium hydrogen phosphate, with a pure zinc metal as the anode and titanium as the cathode. The applied voltage was -2.5 for 2 h at a temperature of 85 °C. The resultant coating was characterized for its surface morphology and chemical composition using a scanning electron microscope (SEM), energy dispersive x-ray spectroscope (EDS), and Fourier transform infrared (FT-IR) spectrometer. The coated specimens were also evaluated for their surface roughness and adhesion quality. Hydroxyapatite-zinc coating had shown rosette-shaped, homogenous structure with nano-size distribution, as confirmed by SEM analysis. FT-IR and EDS proved that coatings are composed of hydroxyapatite (HA) and zinc. The surface roughness assessment revealed that the coating procedure had significantly increased average roughness (Ra) than the control, while the adhesive tape test demonstrated a high-quality adhesive coat with no laceration on tape removal. The developed in vitro electro-chemical method can be employed for the deposition of an even thickness of nano HA-Zn adhered coatings on titanium substrate and increases its surface roughness significantly.

  19. Estimating chemical composition of atmospheric deposition fluxes from mineral insoluble particles deposition collected in the western Mediterranean region

    Directory of Open Access Journals (Sweden)

    Y. Fu

    2017-11-01

    Full Text Available In order to measure the mass flux of atmospheric insoluble deposition and to constrain regional models of dust simulation, a network of automatic deposition collectors (CARAGA has been installed throughout the western Mediterranean Basin. Weekly samples of the insoluble fraction of total atmospheric deposition were collected concurrently on filters at five sites including four on western Mediterranean islands (Frioul and Corsica, France; Mallorca, Spain; and Lampedusa, Italy and one in the southern French Alps (Le Casset, and a weighing and ignition protocol was applied in order to quantify their mineral fraction. Atmospheric deposition is both a strong source of nutrients and metals for marine ecosystems in this area. However, there are few data on trace-metal deposition in the literature, since their deposition measurement is difficult to perform. In order to obtain more information from CARAGA atmospheric deposition samples, this study aimed to test their relevance in estimating elemental fluxes in addition to total mass fluxes. The elemental chemical analysis of ashed CARAGA filter samples was based on an acid digestion and an elemental analysis by inductively coupled plasma atomic emission spectroscopy (ICP-AES and mass spectrometry (MS in a clean room. The sampling and analytical protocols were tested to determine the elemental composition for mineral dust tracers (Al, Ca, K, Mg and Ti, nutrients (P and Fe and trace metals (Cd, Co, Cr, Cu, Mn, Ni, V and Zn from simulated wet deposition of dust analogues and traffic soot. The relative mass loss by dissolution in wet deposition was lower than 1 % for Al and Fe, and reached 13 % for P due to its larger solubility in water. For trace metals, this loss represented less than 3 % of the total mass concentration, except for Zn, Cu and Mn for which it could reach 10 %, especially in traffic soot. The chemical contamination during analysis was negligible for all the elements except for Cd

  20. Chemical bath deposition of CdS thin films doped with Zn and Cu

    Indian Academy of Sciences (India)

    Abstract. Zn- and Cu-doped CdS thin films were deposited onto glass substrates by the chemical bath technique. ... Cadmium sulfide; chemical bath deposition; doping; optical window. 1. ..... at low temperature (10 K), finding similar trends than.

  1. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  2. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  3. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  4. SiC fibre by chemical vapour deposition on tungsten filament

    Indian Academy of Sciences (India)

    Unknown

    SiC fibre by chemical vapour deposition on tungsten filament ... CMCs), in defence and industrial applications. SiC has attractive ... porosity along with chemical purity. This is lacking .... reactor. Since mercury is very toxic it should be removed.

  5. Thick Fe2O3, Fe3O4 films prepared by the chemical solution deposition method

    Czech Academy of Sciences Publication Activity Database

    Buršík, Josef; Košovan, P.; Šubrt, Jan

    2006-01-01

    Roč. 39, č. 2 (2006), s. 85-94 ISSN 0928-0707 R&D Projects: GA ČR GA203/01/0408 Institutional research plan: CEZ:AV0Z40320502 Keywords : chemical solution deposition * thick films * alpha-Fe2O3 Subject RIV: CA - Inorganic Chemistry Impact factor: 1.009, year: 2006

  6. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  7. Effects of TiO{sub 2} buffer layer on the photoelectrochemical properties of TiO{sub 2} Nano rods grown by modified chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Tae-hyun; Ha, Jin-wook; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2015-08-15

    In this study, we grew TiO{sub 2} nano rods on TiO{sub 2}-film buffered FTO substrate using modified chemical bath deposition (M-CBD). The TiO{sub 2} buffer layer was grown by spin coating method with different RPM (revolutions per minute) values and deposition cycles. We investigated the effects of the RPM values and the deposition cycles on the morphological, structural and photoelectrochemical properties of TiO{sub 2} nano rods. In this work, we have also found that the morphological and structural properties of TiO{sub 2} nano rods affected the photoelectrochemical properties of TiO{sub 2} nano rods. And the maximum photocurrent density of 0.34 mA/cm{sup 2} at 0.6V (vs.SCE) was obtained from the buffer layer deposition process condition of 4,000 RPM and two-times buffer layer depositions.

  8. Colloidal spray method for low cost thin coating deposition

    Science.gov (United States)

    Pham, Ai-Quoc; Glass, Robert S.; Lee, Tae H.

    2002-01-01

    A dense or porous coating of material is deposited onto a substrate by forcing a colloidal suspension through an ultrasonic nebulizer and spraying a fine mist of particles in a carrier medium onto a sufficiently heated substrate. The spraying rate is essentially matched to the evaporation rate of the carrier liquid from the substrate to produce a coating that is uniformly distributed over the surface of the substrate. Following deposition to a sufficient coating thickness, a single sintering step may be used to produce a dense ceramic coating. Using this method, coatings ranging in thickness from about one to several hundred microns can be obtained. By using a plurality of compounds in the colloidal suspension, coatings of mixed composition can be obtained. By using a plurality of solutions and separate pumps and a single or multiple ultrasonic nebulizer(s), and varying the individual pumping rates and/or the concentrations of the solutions, a coating of mixed and discontinuously graded (e.g., stepped) or continuously graded layers may be obtained. This method is particularly useful for depositing ceramic coatings. Dense ceramic coating materials on porous substrates are useful in providing improved electrode performance in devices such as high power density solid oxide fuel cells. Dense ceramic coatings obtained by the invention are also useful for gas turbine blade coatings, sensors, steam electrolyzers, etc. The invention has general use in preparation of systems requiring durable and chemically resistant coatings, or coatings having other specific chemical or physical properties.

  9. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  10. Structural, optical and electrical properties of chemically deposited ...

    Indian Academy of Sciences (India)

    Structural, optical and electrical properties of chemically deposited nonstoichiometric copper ... One of these compounds, CuInSe2, with its optical absorption .... is clear from SEM images that the number of grains goes on increasing with the ...

  11. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  12. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  13. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  14. Faraday effect of polycrystalline bismuth iron garnet thin film prepared by mist chemical vapor deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Situ; Kamakura, Ryosuke; Murai, Shunsuke; Fujita, Koji; Tanaka, Katsuhisa, E-mail: tanaka@dipole7.kuic.kyoto-u.ac.jp

    2017-01-15

    We have synthesized polycrystalline thin film composed of a single phase of metastable bismuth iron garnet, Bi{sub 3}Fe{sub 5}O{sub 12}, on a fused silica substrate, one of the most widely utilized substrates in the solid-state electronics, by using mist chemical vapor deposition (mist CVD) method. The phase purity and stoichiometry are confirmed by X-ray diffraction and Rutherford backscattering spectrometry. The resultant thin film shows a small surface roughness of 3.251 nm. The saturation magnetization at room temperature is 1200 G, and the Faraday rotation angle at 633 nm reaches −5.2 deg/μm. Both the magnetization and the Faraday rotation angles are somewhat higher than those of polycrystalline BIG thin films prepared by other methods. - Highlights: • Thin film of polycrystalline Bi{sub 3}Fe{sub 5}O{sub 12} was prepared by the mist CVD method. • Optimized conditions were found for the synthesis of single phase of Bi{sub 3}Fe{sub 5}O{sub 12}. • The Faraday rotation angle at 633 nm is –5.2 deg/μm at room temperature. • The Faraday rotation is interpreted by the electronic transitions of Fe{sup 3+} ions.

  15. Chemical Bath Deposition and Characterization of CdS layer for CZTS Thin Film Solar Cell

    OpenAIRE

    Kamal, Tasnim; Parvez, Sheikh; Matin, Rummana; Bashar, Mohammad Shahriar; Hossain, Tasnia; Sarwar, Hasan; Rashid, Mohammad Junaebur

    2016-01-01

    CZTS is a new type of an absorber and abundant materials for thin film solar cells (TFSC). Cadmium sulfide (CdS) is the n-type buffer layer of it with band gap of 2.42 eV. Cadmium sulfide (CdS) buffer layer of CZTS solar cell was deposited on soda-lime glass substrates by the Chemical Bath Deposition(CBD) method, using anhydrous Cadmium chloride(CdCl_2) and Thiourea (CS(NH_2)_2). Deposition of CdS using CBD is based on the slow release of Cd^ ions and S^ ions in an alkaline bath which is achi...

  16. Handbook of methods for acid-deposition studies. Laboratory analyses for soil chemistry

    International Nuclear Information System (INIS)

    Blume, L.J.; Schumacher, P.W.; Schaffer, K.A.; Cappo, K.A.; Papp, M.L.

    1990-09-01

    The handbook describes methods used to process and analyze soil samples. It is intended as a guidance document for groups involved in acid deposition monitoring activities similar to those implemented by the Aquatic Effects Research Program of the National Acid Precipitation Assessment Program. These methods were developed for use in the Direct/Delayed Response Project, a component project of the Aquatic Effects Research Program within the Office of Ecological Processes and Effects Research. The program addresses the following issues relating to the effects of acid deposition on aquatic ecosystems: The extent and magnitude of past change; The change to be expected in the future under various deposition scenarios; The maximum rates of deposition below which further change is not expected; and The rate of change or recovery of aquatic ecosystems if deposition rates are decreased. Chemical and physical parameters were measured during the Direct/Delayed Response Project and are described in the document

  17. Low-pressure chemical vapour deposition of LiCoO2 thin films: a systematic investigation of the deposition parameters

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    The feasibility of volatile precursor low-pressure chemical vapor deposition (LPCVD) for the production of LiCoO2 cathodes for all solid-state microbatteries was examined. To test this feasibility, and gain insight into the deposition behavior, the influence of the deposition parameters on the

  18. Low pressure chemical vapour deposition of temperature resistant colour filters

    International Nuclear Information System (INIS)

    Verheijen, J.; Bongaerts, P.; Verspui, G.

    1987-01-01

    The possibility to deposit multilayer colour filters, based on optical inference, by means of Low Pressure Chemical Vapour Deposition (LPCVD) was investigated. The filters were made in a standard LPCVD system by alternate deposition of Si/sub 3/N/sub 4/ and SiO/sub 2/ layers. This resulted in filters with excellent colour uniformity on glass and quartz substrates. No difference was measured between theoretically calculated transmission and the transmission of the filters deposited by LPCVD. Temperature treatment at 600 0 C in air air showed no deterioration of filter quality and optical properties

  19. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  20. Studies of CdS/CdTe interface: Comparison of CdS films deposited by close space sublimation and chemical bath deposition techniques

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jun-feng, E-mail: pkuhjf@bit.edu.cn [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); School of Physics, Beijing Institute of Technology, Beijing 100081 (China); Fu, Gan-hua; Krishnakumar, V.; Schimper, Hermann-Josef [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Liao, Cheng [Department of Physics, Peking University, Beijing 100871 (China); Jaegermann, Wolfram [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Besland, M.P. [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France)

    2015-05-01

    The CdS layers were deposited by two different methods, close space sublimation (CSS) and chemical bath deposition (CBD) technique. The CdS/CdTe interface properties were investigated by transmission electron microscope (TEM) and X-ray photoelectron spectroscopy (XPS). The TEM images showed a large CSS-CdS grain size in the range of 70-80 nm. The interface between CSS-CdS and CdTe were clear and sharp, indicating an abrupt hetero-junction. On the other hand, CBD-CdS layer had much smaller grain size in the 5-10 nm range. The interface between CBD-CdS and CdTe was not as clear as CSS-CdS. With the stepwise coverage of CdTe layer, the XPS core levels of Cd 3d and S 2p in CSS-CdS had a sudden shift to lower binding energies, while those core levels shifted gradually in CBD-CdS. In addition, XPS depth profile analyses indicated a strong diffusion in the interface between CBD-CdS and CdTe. The solar cells prepared using CSS-CdS yielded better device performance than the CBD-CdS layer. The relationships between the solar cell performances and properties of CdS/CdTe interfaces were discussed. - Highlights: • Studies of CdS deposited by close space sublimation and chemical bath deposition • An observation of CdS/CdTe interface by transmission electron microscope • A careful investigation of CdS/CdTe interface by X ray photoelectron spectra • An easier diffusion at the chemical bath deposition CdS and CdTe interface.

  1. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  2. Origin and chemical composition of evaporite deposits

    Science.gov (United States)

    Moore, George William

    1960-01-01

    A comparative study of marine evaporite deposits forming at the present time along the pacific coast of central Mexico and evaporite formations of Permian age in West Texas Basin was made in order to determine if the modern sediments provide a basis for understanding environmental conditions that existed during deposition of the older deposits. The field work was supplemented by investigations of artificial evaporite minerals precipitated in the laboratory and by study of the chemical composition of halite rock of different geologic ages. The environment of deposition of contemporaneous marine salt deposits in Mexico is acidic, is strongly reducing a few centimeters below the surface, and teems with microscopic life. Deposition of salt, unlike that of many other sediments, is not wholly a constructional phenomenon. Permanent deposits result only if a favorable balance exists between deposition in the dry season and dissolution in the wet season. Evaporite formations chosen for special study in the West Texas Basin are, in ascending order, the Castile, Salado, and Rustler formations, which have a combined thickness of 1200 meters. The Castile formation is largely composed of gypsum rock, the Salado, halite rock, and the Rustler, quartz and carbonate sandstone. The lower part of the Castile formation is bituminous and contains limestone laminae. The Castile and Rustler formations thicken to the south at the expense of salt of the intervening Salado formation. The clastic rocks of the Rustler formation are interpreted as the deposits of a series of barrier islands north of which halite rock of the Salado was deposited. The salt is believed to have formed in shallow water of uniform density that was mixed by the wind. Where water depth exceeded the depth of the wind mixing, density stratification developed, and gypsum was deposited. Dense water of high salinity below the density discontinuity was overlain by less dense, more normally saline water which was derived from

  3. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  4. Controlled growth of epitaxial CeO2 thin films with self-organized nanostructure by chemical solution method

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude

    2013-01-01

    Chemical solution deposition is a versatile technique to grow oxide thin films with self-organized nanostructures. Morphology and crystallographic orientation control of CeO2 thin films grown on technical NiW substrates by a chemical solution deposition method are achieved in this work. Based...

  5. Solar cells elaborated by chemical methods: examples of research and development at CIE-UNAM

    International Nuclear Information System (INIS)

    Rincon, Marina E.

    2008-01-01

    Full text: At the Energy Research Center (CIE-UNAM-Mexico), the major areas of renewable energy research are solar thermal energy, photovoltaic energy, geothermal energy, hydrogen energy, materials for renewable energy, and energy planning. Among the efforts to developed solar cells, both physical and chemical methods are in progress at CIE-UNAM. In this contribution we focus on the advancement in efficiency, stability, and cost, of photovoltaic junctions based on chemically deposited films. Examples of early research are a composite thin film electrode comprised of SnO2/Bi2S3 nanocrystallites (5 nm) prepared by sequential deposition of SnO2 and Bi2S3 films onto an optically transparent electrode; the co-deposition of pyrrole and Bi2S3 nanoparticles on chemically deposited bismuth sulfide substrates to explore new approaches to improve light-collection efficiency in polymer photovoltaics; the sensitization of titanium dioxide coatings by chemically deposited cadmium selenide and bismuthe sulfide thin films. Here the good photostability of the coatings was promising for the use of the sensitized films in photocatalytic as well as photovoltaic applications. More recently, chemically deposited cadmium sulfide thin films have been explored in planar hybrid heterojunctions with chemically synthesized poly 3-octylthiophene, as well as all-chemically deposited photovoltaic structures. Examples of the last are: chemically deposited thin films of CdS (80 nm), Sb2S3 (450 nm), and Ag2Se (150 nm) annealed at 300 C and integrated into a p-i-n structure glass/SnO2:F/n-CdS/Sb2S3/p-AgSbSe2/Ag, showing Voc ∼ 550 mV and Jsc ∼ 2.3 mA/cm2 at 1 kW/m2 (tungsten halogen) intensity. Similarly, chemically deposited SnS (450nm) and CuS (80nm) thin films integrated in a photovoltaic structure SnO2:F/CdS/SnS/CuS/Ag, showing Voc>300 mV and Jsc up to 5 mA/cm2 under 850 W/m2 tungsten halogen illumination. These photovoltaic structures have been found to be stable over a period extending over

  6. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  7. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  8. Chemical bath deposited PbS thin films on ZnO nanowires for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Gertman, Ronen [Dept of Chemistry, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Osherov, Anna; Golan, Yuval [Dept of Materials Engineering, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Visoly-Fisher, Iris, E-mail: irisvf@bgu.ac.il [Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Department of Solar Energy and Environmental Physics, Swiss Institute for Dryland Environmental and Energy Research, Jacob Blaustein Institutes for Desert Research, Ben Gurion University of the Negev, Sede Boqer Campus 84990 (Israel)

    2014-01-01

    Photovoltaic devices usually exploit mid-range band-gap semiconductors which absorb in the visible range of the solar spectrum. However, much energy is lost in the IR and near-IR range. We combined the advantages of small band-gap, bulk-like PbS deposited by facile, cheap and direct chemical bath deposition (CBD), with the good electronic properties of ZnO and the large surface area of nanowires, towards low cost photovoltaic devices utilizing IR and near-IR light. Surprisingly, CBD of PbS on ZnO, and particularly on ZnO nanowires, was not studied hitherto. Therefore, the mechanism of PbS growth by chemical bath deposition on ZnO nanowires was studied in details. A visible proof is shown for a growth mechanism starting from amorphous Pb(OH){sub 2} layer, that evolved into the ‘ion-by-ion’ growth mechanism. The growth mechanism and the resulting morphology at low temperatures were controlled by the thiourea concentration. The grain size affected the magnitude of the band-gap and was controlled by the deposition temperatures. Deposition above 40 °C resulted in bulk-like PbS with an optical band-gap of 0.4 eV. Methods were demonstrated for achieving complete PbS coverage of the complex ZnO NW architecture, a crucial requirement in optoelectronic devices to prevent shorts. Measurements of photocurrents under white and near-IR (784 nm) illumination showed that despite a 200 meV barrier for electron transfer at the PbS/ZnO interface, extraction of photo-electrons from PbS to the ZnO was feasible. The ability to harvest electrons from a narrow band-gap semiconductor deposited on a large surface-area electrode can advance the field towards high efficiency, low cost IR and near-IR sensors and third generation solar cells. - Highlights: • PbS was deposited on ZnO nanowires using chemical bath deposition. • At 50 °C the growth mechanism starts from an amorphous Pb(OH){sub 2} layer. • At 5 °C the growth mechanism of PbS can be controlled by thiourea concentrations

  9. Chemical solution deposition techniques for epitaxial growth of complex oxides

    NARCIS (Netherlands)

    ten Elshof, Johan E.; Koster, G.; Huijben, Mark; Rijnders, G.

    2015-01-01

    The chemical solution deposition (CSD) process is a wet-chemical process that is employed to fabricate a wide variety of amorphous and crystalline oxide thin films. This chapter describes the typical steps in a CSD process and their influence on the final microstructure and properties of films, and

  10. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  11. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  12. Synthesis of Nanocrystalline SnOx (x = 1–2 Thin Film Using a Chemical Bath Deposition Method with Improved Deposition Time, Temperature and pH

    Directory of Open Access Journals (Sweden)

    Zulkarnain Zainal

    2011-09-01

    Full Text Available Nanocrystalline SnOx (x = 1–2 thin films were prepared on glass substrates by a simple chemical bath deposition method. Triethanolamine was used as complexing agent to decrease time and temperature of deposition and shift the pH of the solution to the noncorrosive region. The films were characterized for composition, surface morphology, structure and optical properties. X-ray diffraction analysis confirms that SnOx thin films consist of a polycrystalline structure with an average grain size of 36 nm. Atomic force microscopy studies show a uniform grain distribution without pinholes. The elemental composition was evaluated by energy dispersive X-ray spectroscopy. The average O/Sn atomic percentage ratio is 1.72. Band gap energy and optical transition were determined from optical absorbance data. The film was found to exhibit direct and indirect transitions in the visible spectrum with band gap values of about 3.9 and 3.7 eV, respectively. The optical transmittance in the visible region is 82%. The SnOx nanocrystals exhibit an ultraviolet emission band centered at 392 nm in the vicinity of the band edge, which is attributed to the well-known exciton transition in SnOx. Photosensitivity was detected in the positive region under illumination with white light.

  13. Deposition and characteristics of PbS thin films by an in-situ solution chemical reaction process

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Junna; Ji, Huiming; Wang, Jian; Zheng, Xuerong; Lai, Junyun; Liu, Weiyan; Li, Tongfei [School of Materials Science and Engineering, Key Laboratory for Advanced Ceramics and Machining Technology of Ministry of Education, Tianjin University, Tianjin 300072 (China); Ma, Yuanliang; Li, Haiqin; Zhao, Suqin [College of Physics and Electronic Information Engineering, Qinghai University for Nationalities, Xining 810007 (China); Jin, Zhengguo, E-mail: zhgjin@tju.edu.cn [School of Materials Science and Engineering, Key Laboratory for Advanced Ceramics and Machining Technology of Ministry of Education, Tianjin University, Tianjin 300072 (China)

    2015-09-01

    Preferential oriented and uniform PbS thin films were deposited by a room temperature in-situ solution chemical reaction process, in which the lead nitrate as precursor in a form of thin solid films from lead precursor solution was used to react with ammonium sulfide ethanol solution. Influence of 1-butanol addition in the lead precursor solution, Pb:S molar ratios in the separate cationic and anionic solutions, deposition cycle numbers and annealing treatment in Ar atmosphere on structure, morphology, chemical composition and optical absorption properties of the deposited PbS films were investigated based on X-ray diffraction, field emission scanning electron microscopy, energy dispersive spectrometer, atomic force microscopy, selected area electron diffraction, UV–vis, near infrared ray and fourier transform infrared spectroscopy measurements. The results showed that the deposited PbS thin films had a cubic structure and highly preferred orientation along with the plane (100). The deposition rate of single-layer was stable, about 30 nm in thickness per deposition cycle. - Highlights: • Time-efficiency synthetic method for the preparation of lead sulfide (PbS) films • Effect of 1-butanol addition into cationic precursor solution is discussed. • Growth rate of the PbS films is stable at about 30 nm per cycle.

  14. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  15. PbS Thin Films for Photovoltaic Applications Obtained by Non-Traditional Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Pérez-García Claudia Elena

    2015-01-01

    Full Text Available To optimize cost-efficiency relation for thin film solar cells, we explore the recently developed versions of chemical deposition of semiconductor films, together with classic CBD (Chemical Bath Deposition: SILAR (Successive Ionic Layer Adsorption and Reaction and PCBD (Photo Chemical Bath Deposition, all of them ammonia-free and ecologically friendly. The films of CdS and PbS were made, and experimental solar cells with CdS window layer and PbS absorber elaborated. We found that band gap of PbS films can be monitored by deposition process due to porosity-induced quantum confinement which depends on the parameters of the process. We expect that the techniques employed can be successfully used for production of optoelectronic devices.

  16. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  17. An in-situ chemical reaction deposition of nanosized wurtzite CdS thin films

    International Nuclear Information System (INIS)

    Chu Juan; Jin Zhengguo; Cai Shu; Yang Jingxia; Hong Zhanglian

    2012-01-01

    Nanocrystalline CdS thin films were deposited on glass substrates by an ammonia-free in-situ chemical reaction synthesis technique using cadmium cationic precursor solid films as reaction source and sodium sulfide based solutions as anionic reaction medium. Effects of ethanolamine addition to the cadmium cationic precursor solid films, deposition cycle numbers and annealing treatments in Ar atmosphere on structure, morphology, chemical composition and optical properties of the resultant films were investigated by X-ray diffraction, field emission scanning electron microscope, energy dispersive X-ray analysis and UV–Vis spectra measurements. The results show that CdS thin films deposited by the in-situ chemical reaction synthesis have wurtzite structure with (002) plane preferential orientation and crystallite size is in the range of 16 nm–19 nm. The growth of film thickness is almost constant with deposition cycle numbers and about 96 nm per cycle.

  18. Determination of electroless deposition by chemical nickeling

    Directory of Open Access Journals (Sweden)

    M. Badida

    2013-07-01

    Full Text Available Increasing of technical level and reliability of machine products in compliance with the economical and ecological terms belongs to the main trends of the industrial development. During the utilisation of these products there arise their each other contacts and the interaction with the environment. That is the reason for their surface degradation by wear effect, corrosion and other influences. The chemical nickel-plating allows autocatalytic deposition of nickel from water solutions in the form of coherent, technically very profitable coating without usage of external source of electric current. The research was aimed at evaluating the surface changes after chemical nickel-plating at various changes of technological parameters.

  19. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  20. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  1. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    Science.gov (United States)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  2. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    Within this thesis, several important subjects related to the use of amorphous silicon nitride made by plasma enhanced chemical vapour deposition as an anti-reflective coating on silicon solar cells are presented. The first part of the thesis covers optical simulations to optimise single and double layer anti-reflective coatings with respect to optical performance when situated on a silicon solar cell. The second part investigates the relationship between important physical properties of silicon nitride films when deposited under different conditions. The optical simulations were either based on minimising the reflectance off a silicon nitride/silicon wafer stack or maximising the transmittance through the silicon nitride into the silicon wafer. The former method allowed consideration of the reflectance off the back surface of the wafer, which occurs typically at wavelengths above 1000 nm due to the transparency of silicon at these wavelengths. However, this method does not take into consideration the absorption occurring in the silicon nitride, which is negligible at low refractive indexes but quite significant when the refractive index increases above 2.1. For high-index silicon nitride films, the latter method is more accurate as it considers both reflectance and absorbance in the film to calculate the transmittance into the Si wafer. Both methods reach similar values for film thickness and refractive index for optimised single layer anti-reflective coatings, due to the negligible absorption occurring in these films. For double layer coatings, though, the reflectance based simulations overestimated the optimum refractive index for the bottom layer, which would have lead to excessive absorption if applied to real anti-reflective coatings. The experimental study on physical properties for silicon nitride films deposited under varying conditions concentrated on the estimation of properties important for its applications, such as optical properties, passivation

  3. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  4. Synthesis of nanocrystalline nickel-zinc ferrite (Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4}) thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Pawar, D.K. [Department of Chemistry, Shivaji University, Kolhapur 416 004 (M.S.) (India); Pawar, S.M. [Department of Materials Science and Engineering, Chonnam National University, 500 757 (Korea, Republic of); Patil, P.S. [Department of Physics, Shivaji University, Kolhapur 416 004 (M.S.) (India); Kolekar, S.S., E-mail: kolekarss2003@yahoo.co.in [Department of Chemistry, Shivaji University, Kolhapur 416 004 (M.S.) (India)

    2011-02-24

    Graphical abstract: Display Omitted Research highlights: > We have successfully synthesized nickel-zinc ferrite (Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4}) thin films on stainless steel substrates using a low temperature chemical bath deposition method. > The surface morphological study showed the compact flakes like morphology. > The as-deposited thin films are hydrophilic (10{sup o} < {theta} < 90{sup o}) whereas the annealed thin films are super hydrophilic ({theta} < 10{sup o}) in nature. > Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4} thin films could be used in supercapacitor. - Abstract: The nickel-zinc ferrite (Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4}) thin films have been successfully deposited on stainless steel substrates using a chemical bath deposition method from alkaline bath. The films were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), static water contact angle and cyclic voltammetry measurements. The X-ray diffraction pattern shows that deposited Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4} thin films were oriented along (3 1 1) plane. The FTIR spectra showed strong absorption peaks around 600 cm{sup -1} which are typical for cubic spinel crystal structure. SEM study revealed compact flakes like morphology having thickness {approx}1.8 {mu}m after air annealing. The annealed films were super hydrophilic in nature having a static water contact angle ({theta}) of 5{sup o}.The electrochemical supercapacitor study of Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4} thin films has been carried out in 6 M KOH electrolyte. The values of interfacial and specific capacitances obtained were 0.0285 F cm{sup -2} and 19 F g{sup -1}, respectively.

  5. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yang, E-mail: yang.liu@helmholtz-berlin.de; Plate, Paul, E-mail: paul.plate@helmholtz-berlin.de; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Bartsch, Peter [Beuth Hochschule für Technik Berlin, Fachbereich VIII Maschinenbau, Veranstaltungstechnik, Verfahrenstechnik (Germany); Fiechter, Sebastian; Lux-Steiner, Martha Ch. [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Fischer, Christian-Herbert [Freie Universität Berlin, Institute of Chemistry and Biochemistry (Germany)

    2017-04-15

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  6. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    International Nuclear Information System (INIS)

    Liu, Yang; Plate, Paul; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina; Bartsch, Peter; Fiechter, Sebastian; Lux-Steiner, Martha Ch.; Fischer, Christian-Herbert

    2017-01-01

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  7. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  8. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  9. Optimization of solar cell performance using atmospheric pressure chemical vapour deposition deposited TCOs

    Czech Academy of Sciences Publication Activity Database

    Yates, H.M.; Evans, P.; Sheel, D.W.; Hodgkinson, J.L.; Sheel, P.; Dagkaldiran, U.; Gordijn, A.; Finger, F.; Remeš, Zdeněk; Vaněček, Milan

    2009-01-01

    Roč. 25, č. 8 (2009), s. 789-796 ISSN 1938-5862. [International Chemical Vapor Deposition Symposium (CVD-XVII) /17./. Wien, 04.10.2009-09.10.2009] Grant - others:European Community(XE) Project (STREP) of the 6. FP Institutional research plan: CEZ:AV0Z10100521 Keywords : solar cells * TCO * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism

  10. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  11. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  12. Textured indium tin oxide thin films by chemical solution deposition and rapid thermal processing

    International Nuclear Information System (INIS)

    Mottern, Matthew L.; Tyholdt, Frode; Ulyashin, Alexander; Helvoort, Antonius T.J. van; Verweij, Henk; Bredesen, Rune

    2007-01-01

    The microstructure of state-of-the-art chemical solution deposited indium tin oxide thin films typically consists of small randomly oriented grains, high porosity and poor homogeneity. The present study demonstrates how the thin film microstructure can be improved significantly by tailoring the precursor solutions and deposition conditions to be kinetically and thermodynamically favorable for generation of homogeneous textured thin films. This is explained by the occurrence of a single heterogeneous nucleation mechanism. The as-deposited thin films, crystallized at 800 deg. C, have a high apparent density, based on a refractive index of ∼ 1.98 determined by single wavelength ellipsometry at 633 nm. The microstructure of the films consists of columnar grains with preferred orientation as determined by X-ray diffraction and transmission electron microscopy. The resistivity, measured by the four point probe method, is ∼ 2 x 10 -3 Ω cm prior to post-deposition treatments

  13. Structural characterization of chemically deposited PbS thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.A.; Gonzalez-Alfaro, Y.; Larramendi, E.M.; Fonseca Filho, H.D.; Maia da Costa, M.E.H.; Freire, F.L.; Prioli, R.; Avillez, R.R. de; Silveira, E.F. da; Calzadilla, O.; Melo, O. de; Pedrero, E.; Hernandez, E.

    2007-01-01

    Polycrystalline thin films of lead sulfide (PbS) grown using substrate colloidal coating chemical bath depositions were characterized by RBS, XPS, AFM and GIXRD techniques. The films were grown on glass substrates previously coated with PbS colloidal particles in a polyvinyl alcohol solution. The PbS films obtained with the inclusion of the polymer showed non-oxygen-containing organic contamination. All samples maintained the Pb:S 1:1 stoichiometry throughout the film. The amount of effective nucleation centers and the mean grain size have being controlled by the substrate colloidal coating. The analysis of the polycrystalline PbS films showed that a preferable (1 0 0) lattice plane orientation parallel to the substrate surface can be obtained using a substrate colloidal coating chemical bath deposition, and the orientation increases when a layer of colloid is initially dried on the substrate

  14. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  15. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  16. M-type ferrites as template layers for the growth of oriented Y-type ferrites through chemical solution deposition method

    Czech Academy of Sciences Publication Activity Database

    Buršík, Josef; Uhrecký, Róbert; Kaščáková, Dorota; Slušná, Michaela; Dopita, M.; Kužel, R.

    2016-01-01

    Roč. 36, č. 13 (2016), s. 3173-3183 ISSN 0955-2219 R&D Projects: GA ČR(CZ) GA14-18392S Institutional support: RVO:61388980 Keywords : Chemical solution deposition * Hexagonal ferrites * Lattice misfit * Seed layer * Thin films Subject RIV: CA - Inorganic Chemistry Impact factor: 3.411, year: 2016

  17. Novel composite cBN-TiN coating deposition method: structure and performance in metal cutting

    International Nuclear Information System (INIS)

    Russell, W.C.; Malshe, A.P.; Yedave, S.N.; Brown, W.D.

    2001-01-01

    Cubic boron nitride coatings are under development for a variety of applications but stabilization of the pure cBN form and adhesion of films deposited by PVD and ion-based methods has been difficult. An alternative method for depositing a composite cBN-TiN film has been developed for wear related applications. The coating is deposited in a two-stage process utilizing ESC (electrostatic spray coating) and CVI (chemical vapor infiltration). Fully dense films of cBN particles evenly dispersed in a continuous TiN matrix have been developed. Testing in metal cutting has shown an increase in tool life (turning - 4340 steel) of three to seven times, depending of machining parameters, in comparison with CVD deposited TiN films. (author)

  18. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  19. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  20. Characterization of chemically deposited Ag/sub 2/S thin films

    International Nuclear Information System (INIS)

    Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.

    2001-01-01

    Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)

  1. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  2. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  3. Chemical solution deposition of CaCu 3 Ti 4 O 12 thin film

    Indian Academy of Sciences (India)

    CaCu3Ti4O12 (CCTO) thin film was successfully deposited on boron doped silica substrate by chemical solution deposition and rapid thermal processing. The phase and microstructure of the deposited films were studied as a function of sintering temperature, employing X-ray diffractometry and scanning electron ...

  4. Electrical and optical properties of Cu–Cr–O thin films fabricated by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lunca Popa, P., E-mail: petru.luncapopa@list.lu; Crêpellière, J.; Leturcq, R.; Lenoble, D.

    2016-08-01

    We present electrical and optical properties of CuCrO{sub 2} thin films deposited by chemical vapour deposition, as well as the influence of depositions' parameters on these properties. Oxygen partial pressure and precursor's concentrations have the greatest influence on optical and electrical properties of the films. Values of conductivities ranging from 10{sup −4} to 10 S/cm were obtained using different deposition conditions. The conductivity is thermally activated with an activation energy ranging from 57 to 283 meV. Thermoelectric measurements confirm the p-type conduction, and demonstrate high carrier concentration typical for a degenerate semiconductor. The as-deposited films show a medium degree of crystallinity, a maximum optical transmission up to 80% in the visible range with a corresponding band gap around 3.2 eV. - Highlights: • CuCrO{sub 2} thin films deposited via a new innovative method - DLICVD. • Band gap and electrical conductivity can be tuned by controlling deposition parameters • Key process parameter is the metallic/oxygen atomic ratio involved in the process • Electrical conductivities values spanning 5 orders of magnitudes were obtained using different deposition parameters.

  5. Ga N nano wires and nano tubes growth by chemical vapor deposition method at different NH{sub 3} flow rate

    Energy Technology Data Exchange (ETDEWEB)

    Li, P.; Liu, Y.; Meng, X. [Wuhan University, School of Physics and Technology, Key Laboratory of Artificial Micro and Nanostructures of Ministry of Education, Wuhan 430072 (China)

    2016-11-01

    Ga N nano wires and nano tubes have been successfully synthesized via the simple chemical vapor deposition method. NH{sub 3} flow rate was found to be a crucial factor in the synthesis of different type of Ga N which affects the shape and the diameter of generated Ga N nano structures. X-ray diffraction confirms that Ga N nano wires grown on Si(111) substrate under 900 degrees Celsius and with NH{sub 3} flow rate of 50 sc cm presents the preferred orientation growth in the (002) direction. It is beneficial to the growth of nano structure through catalyst annealing. Transmission electron microscopy and scanning electron microscopy were used to measure the size and structures of the samples. (Author)

  6. In-situ synchrotron x-ray study of the crystallization behavior of Ce0.9La0.1O2−x thin films deposited on NiW alloy substrates by chemical solution method

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude; Abrahamsen, Asger Bech

    2011-01-01

    The phase and texture formation of La doped CeO2 (CLO) films deposited by the chemical solution method are studied by in situ synchrotron x-ray diffraction. It is found that the CLO crystallites forms excellent in-plane texture as soon as the phase appears at 860°C, indicating that interfacial nu...... by diffusion. The success of this work demonstrates the possibility of studying crystallization behaviors of solution derived films using a non-destructive method, which has the potential of being applicable to most types of thin film samples.......The phase and texture formation of La doped CeO2 (CLO) films deposited by the chemical solution method are studied by in situ synchrotron x-ray diffraction. It is found that the CLO crystallites forms excellent in-plane texture as soon as the phase appears at 860°C, indicating that interfacial...

  7. Surface engineering of biaxial Gd2Zr2O7 thin films deposited on Ni–5at%W substrates by a chemical solution method

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude; Liu, Min

    2012-01-01

    crystal structure along the film thickness observed by a transmission electron microscope. On the basis of the enhanced understanding of the crystallization processes, we demonstrate a possibility of engineering the surface morphology and texture in the film deposited on technical substrates using...... a chemical solution deposition route....

  8. Ballistic transport in graphene grown by chemical vapor deposition

    NARCIS (Netherlands)

    Calado, V.E.; Zhu, S.E.; Goswami, S.; Xu, Q.; Watanabe, K.; Taniguchi, T.; Janssen, G.C.A.M.; Vandersypen, L.M.K.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be

  9. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  10. Optical and structural characterization of nickel oxide-based thin films obtained by chemical bath deposition

    International Nuclear Information System (INIS)

    Vidales-Hurtado, M.A.; Mendoza-Galvan, A.

    2008-01-01

    Nickel oxide-based thin films were obtained using the chemical bath deposition method on glass and silicon substrates. The precursor solution used was a mixture of nickel nitrate, urea, and deionized water. Molar concentration of nickel (0.3-1.0 M), deposition time, and immersing cycles were considered as deposition variables. Infrared spectroscopy and X-ray diffraction data reveal that all as-deposited films correspond to the transparent turbostratic phase α(II)-Ni(OH) 2 . However, the rate of deposition depends on nickel content in the solution. After annealing in air at temperatures above of 300 deg. C, the films are transformed to the NiO phase and show a grey/black color. In these films, scanning electron microscopy images show aggregates of thin stacked sheets on their surface, such aggregates can be easily removed leaving only a thin NiO layer of about 30 nm adhered firmly to the substrate, regardless of nickel concentration in the solution and deposition time. In order to obtain thicker NiO films with good optical properties a procedure is developed performing several immersing-annealing cycles

  11. Photoluminescence of nc-Si:Er thin films obtained by physical and chemical vapour deposition techniques: The effects of microstructure and chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Cerqueira, M.F., E-mail: fcerqueira@fisica.uminho.p [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Via Orabona n.4-70126 Bari (Italy); Stepikhova, M. [Institute for Physics of Microstructures RAS, 603600 Nizhnij Novgorod GSP-105 (Russian Federation); Alpuim, P.; Andres, G. [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Kozanecki, A. [Polish Academy of Sciences, Institute of Physics, PL-02668, Warsaw (Poland); Soares, M.J.; Peres, M. [Departamento de Fisica, Universidade de Aveiro, Campus de Santiago, 3700 Aveiro (Portugal)

    2009-08-31

    Erbium doped nanocrystalline silicon (nc-Si:Er) thin films were produced by reactive magnetron rf sputtering and by Er ion implantation into chemical vapor deposited Si films. The structure and chemical composition of films obtained by the two approaches were studied by micro-Raman scattering, spectroscopic ellipsometry and Rutherford backscattering techniques. Variation of deposition parameters was used to deposit films with different crystalline fraction and crystallite size. Photoluminescence measurements revealed a correlation between film microstructure and the Er{sup 3+} photoluminescence efficiency.

  12. Investigation of Electrochemically Deposited and Chemically Reduced Platinum Nanostructured Thin Films as Counter Electrodes in Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Chih-Hung Tsai

    2018-02-01

    Full Text Available In this paper, we demonstrated that platinum (Pt counter electrodes (CEs fabricated using electrochemical deposition and chemical reduction can replace conventional high-temperature thermally decomposed Pt electrodes. In this study, Pt electrodes were fabricated using thermal decomposition, electrochemical deposition, and chemical reduction, and the influence of the different Pt counter electrodes on the efficiency of the dye-sensitized solar cells (DSSCs was analyzed. The properties of the various Pt CEs were analyzed using scanning electron microscopy (SEM, surface area analysis, X-ray diffraction (XRD, electrochemical impedance spectroscopy (EIS, and cyclic voltammetry (CV. DSSCs with various Pt CEs were characterized using current density-voltage (J-V, incident photo-current conversion efficiency (IPCE, and EIS measurements. The results show that the power conversion efficiencies of these three types of DSSC devices were between 7.43% and 7.72%. The DSSCs based on the Pt electrode fabricated through electrochemical deposition exhibited the optimal power conversion efficiency. Because the processes of electrochemical deposition and chemical reduction do not require high-temperature sintering, these two methods are suitable for the fabrication of Pt on flexible plastic substrates.

  13. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  14. Near-room temperature deposition of W and WO3 thin films by hydrogen atom assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Lee, W.W.; Reeves, R.R.

    1992-01-01

    A novel near-room temperatures CVD process has been developed using H-atoms reaction with WF 6 to produced tungsten and tungsten oxide films. The chemical, physical and electrical properties of these films were studied. Good adhesion and low resistivity of W films were measured. Conformal WO 3 films were obtained on columnar tungsten using a small amount of molecular oxygen in the gas stream. A reaction mechanism was evaluated on the basis of experimental results. The advantages of the method include deposition of adherent films in a plasma-free environment, near-room temperature, with a low level of impurity

  15. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  16. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  17. Study on pure and Nd-doped BiFeO{sub 3} thin films prepared by chemical solution deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Xu, E-mail: xuexu9@163.com; Tan, Guoqiang, E-mail: tan3114@163.com; Liu, Wenlong; Hao, Hangfei

    2014-08-01

    Highlights: • The composition of the precursor plays a crucial role in BFO. • The ferroelectric–paraelectric phase transition through Nd substitution. • The significant enhancement in magnetization is observed in orthorhombic BNFO. • The highest magnetocapacitance is observed at x = 0.15. • The band gap of BNFO can be expressed as E{sub g} = (2.45 − 0.024x) eV. - Abstract: Polycrystalline BiFeO{sub 3} (BFO) films with varying precursor composition and Bi{sub 1−x}Nd{sub x}FeO{sub 3} (BNFO, x = 0.06–0.20) films were deposited on FTO substrates by using a chemical solution deposition method. Present data indicated that the precursor played a crucial role, i.e., microstructure, morphology and ferroelectric properties of the BFO film are closely related to the proportion of acetic anhydride. XRD analysis and Raman spectra revealed a structural transition from rhombohedral to tetragonal as the amount of acetic anhydride was increased. The effect of Nd on microstructure, chemical state, dielectric, ferroelectric, magnetic and optical properties has been investigated. A structural transition with increasing Nd content was proved by using XRD and Raman scattering spectra. X-ray photoelectron spectroscopy confirmed the formation of Fe{sup 2+} and the valence fluctuation of Bi of the BNFO films. The strongest ferroelectric polarization and magnetocapacitance were obtained in the sample with 15% Nd. A dramatic reduction in ferroelectric polarization and the strongest magnetization were observed in the BNFO (x = 0.20) film due to its paraelectric nature and orthorhombic structure. With increasing Nd content, the band gap of the BNFO films decreased with E{sub g} = (2.45 − 0.024x) eV.

  18. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  19. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  20. Annealed Ce{sup 3+}-doped ZnO flower-like morphology synthesized by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Koao, Lehlohonolo F., E-mail: koaolf@ufs.ac.za [Department of Physics, University of the Free State (Qwa Qwa campus), Private Bag X13, Phuthaditjhaba 9866 (South Africa); Dejene, Francis B.; Tsega, Moges [Department of Physics, University of the Free State (Qwa Qwa campus), Private Bag X13, Phuthaditjhaba 9866 (South Africa); Swart, Hendrik C. [Department of Physics, University of the Free State, P.O. Box 339, Bloemfontein 9300 (South Africa)

    2016-01-01

    We have successfully synthesized ZnO:xmol% Ce{sup 3+} (0≤x≤10 mol%) doped nanopowders via the chemical bath deposition method (CBD) technique at low temperature (80 °C) and annealed in air at 700 °C. The X-ray diffraction patterns showed that all the undoped and Ce-doped ZnO nanopowders have a hexagonal wurtzite polycrystalline structure with an average crystallite size of about 46 nm. Weak diffraction peaks related mainly to cerium oxide were also detected at higher concentrations of Ce{sup 3+} (x=5–10 mol%). The scanning electron microscopy study revealed that the nanopowder samples were assembled in flower-shaped undoped ZnO and pyramid-shaped Ce{sup 3+}-doped ZnO nanostructures. The UV–vis spectra showed that the absorption edges shifted slightly to the longer wavelengths with the increase in the Ce{sup 3+} ions concentration. Moreover, the photoluminescence (PL) results showed a relative weak visible emission for the Ce{sup 3+}-doped ZnO nanoparticles compared to the undoped ZnO. The effects of Ce{sup 3+}-doping on the structure and PL of ZnO nanopowders are discussed in detail.

  1. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  2. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  3. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  4. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  5. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  6. Chemical vapor deposition growth of two-dimensional heterojunctions

    Science.gov (United States)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  7. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  8. Cobalt Xanthate Thin Film with Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    İ. A. Kariper

    2013-01-01

    Full Text Available Cobalt xanthate thin films (CXTFs were successfully deposited by chemical bath deposition, onto amorphous glass substrates, as well as on p- and n-silicon, indium tin oxide, and poly(methyl methacrylate. The structure of the films was analyzed by far-infrared spectrum (FIR, mid-infrared (MIR spectrum, nuclear magnetic resonance (NMR, and scanning electron microscopy (SEM. These films were investigated from their structural, optical, and electrical properties point of view. Electrical properties were measured using four-point method, whereas optical properties were investigated via UV-VIS spectroscopic technique. Uniform distribution of grains was clearly observed from the photographs taken by scanning electron microscope (SEM. The transmittance was about 70–80% (4 hours, 50°C. The optical band gap of the CXTF was graphically estimated to be 3.99–4.02 eV. The resistivity of the films was calculated as 22.47–75.91 Ω·cm on commercial glass depending on film thickness and 44.90–73.10 Ω ·cm on the other substrates. It has been observed that the relative resistivity changed with film thickness. The MIR and FIR spectra of the films were in agreement with the literature analogues. The expected peaks of cobalt xanthate were observed in NMR analysis on glass. The films were dipped in chloroform as organic solvent and were analyzed by NMR.

  9. Orientation control of chemical solution deposited LaNiO3 thin films

    International Nuclear Information System (INIS)

    Ueno, Kengo; Yamaguchi, Toshiaki; Sakamoto, Wataru; Yogo, Toshinobu; Kikuta, Koichi; Hirano, Shin-ichi

    2005-01-01

    High quality LaNiO 3 (LNO) thin films with preferred orientation could be synthesized on Pt/Ti/SiO 2 /Si substrates at 700 deg. C using the chemical solution deposition method. The homogeneous and stable LNO precursor solutions were prepared using lanthanum isopropoxide and nickel (II) acetylacetonate in a mixed solvent of absolute ethanol and 2-methoxyethanol. The oriented LNO thin films exhibit metallic electro-conduction, and their resistivity at room temperature is sufficiently low for making them an alternative electrode material for functional ceramic thin films

  10. Enhanced magnetic properties of chemical solution deposited BiFeO3 thin film with ZnO buffer layer

    International Nuclear Information System (INIS)

    Rajalakshmi, R.; Kambhala, Nagaiah; Angappane, S.

    2012-01-01

    Highlights: ► Enhanced magnetization of BiFeO 3 is important for strong magnetoelectric coupling. ► BiFeO 3 film with ZnO buffer layer was successfully synthesized by chemical method. ► Magnetization of BiFeO 3 has increased by more than 10 times with ZnO buffer layer. ► A mechanism for enhancement in ferromagnetism of BiFeO 3 film is proposed. - Abstract: Magnetic properties of BiFeO 3 films deposited on Si substrates with and without ZnO buffer layer have been studied in this work. We adopted the chemical solution deposition method for the deposition of BiFeO 3 as well as ZnO films. The x-ray diffraction measurements on the deposited films confirm the formation of crystalline phase of BiFeO 3 and ZnO films, while our electron microscopy measurements help to understand the morphology of few micrometers thick films. It is found that the deposited ZnO film exhibit a hexagonal particulate surface morphology, whereas BiFeO 3 film fully covers the ZnO surface. Our magnetic measurements reveal that the magnetization of BiFeO 3 has increased by more than ten times in BiFeO 3 /ZnO/Si film compared to BiFeO 3 /Si film, indicating the major role played by ZnO buffer layer in enhancing the magnetic properties of BiFeO 3 , a technologically important multiferroic material.

  11. Morphology and growth behavior of O_2-free chemical bath deposited ZnS thin films

    International Nuclear Information System (INIS)

    Jet Meitzner, K.; Tillotson, Brock M.; Siedschlag, Amanda T.; Moore, Frederick G.; Kevan, Stephen D.; Richmond, Geraldine L.

    2015-01-01

    We investigate the role of reagent concentrations and ambient O_2 on the morphology and growth behavior of ZnS thin films grown with the chemical bath deposition method. We investigate the role of substrate on film morphology, and find significant differences between films deposited on SiO_2 versus Si. The films are also sensitive to dissolved O_2 in the bath, as it causes a layer of SiO_2 to form at the ZnS/Si interface during deposition. Degassing of solutions and an N_2 atmosphere are effective to minimize this oxidation, allowing deposition of ZnS films directly onto Si. Under these conditions, we examine film properties as they relate to reagent bath concentrations. As the reagent concentrations are decreased, both the film roughness and growth rate decrease linearly. We also observe deformation and shifting of X-ray diffraction peaks that increases with decreasing reagent concentrations. The shifts are characteristic of lattice compression (caused by the substitution of oxygen for sulfur), and the deformation is characteristic of distortion of the lattice near crystal grain interfaces (caused by tensile stress from interatomic forces between neighboring crystal grains). At the weakest concentrations, the low roughness suggests a mixed growth mode in which both clusters and individual ZnS nanocrystallites contribute to film growth. With increasing reagent concentrations, the growth mode shifts and becomes dominated by deposition of clusters. - Highlights: • We deposit ZnS thin films by chemical bath deposition in an O_2-free environment. • The O_2-free environment is effective to minimize oxidation of the Si substrate. • The dominant growth mechanism changes with reagent concentrations. • Film morphology and composition change with reagent concentrations. • X-ray diffraction reveals tensile stress between ZnS crystal grains.

  12. Confined high-pressure chemical deposition of hydrogenated amorphous silicon.

    Science.gov (United States)

    Baril, Neil F; He, Rongrui; Day, Todd D; Sparks, Justin R; Keshavarzi, Banafsheh; Krishnamurthi, Mahesh; Borhan, Ali; Gopalan, Venkatraman; Peacock, Anna C; Healy, Noel; Sazio, Pier J A; Badding, John V

    2012-01-11

    Hydrogenated amorphous silicon (a-Si:H) is one of the most technologically important semiconductors. The challenge in producing it from SiH(4) precursor is to overcome a significant kinetic barrier to decomposition at a low enough temperature to allow for hydrogen incorporation into a deposited film. The use of high precursor concentrations is one possible means to increase reaction rates at low enough temperatures, but in conventional reactors such an approach produces large numbers of homogeneously nucleated particles in the gas phase, rather than the desired heterogeneous deposition on a surface. We report that deposition in confined micro-/nanoreactors overcomes this difficulty, allowing for the use of silane concentrations many orders of magnitude higher than conventionally employed while still realizing well-developed films. a-Si:H micro-/nanowires can be deposited in this way in extreme aspect ratio, small-diameter optical fiber capillary templates. The semiconductor materials deposited have ~0.5 atom% hydrogen with passivated dangling bonds and good electronic properties. They should be suitable for a wide range of photonic and electronic applications such as nonlinear optical fibers and solar cells. © 2011 American Chemical Society

  13. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  14. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  15. Microscopic characterisation of suspended graphene grown by chemical vapour deposition

    NARCIS (Netherlands)

    Bignardi, L.; Dorp, W.F. van; Gottardi, S.; Ivashenko, O.; Dudin, P.; Barinov, A.; de Hosson, J.T.M.; Stöhr, M.; Rudolf, P.

    2013-01-01

    We present a multi-technique characterisation of graphene grown by chemical vapour deposition (CVD) and thereafter transferred to and suspended on a grid for transmission electron microscopy (TEM). The properties of the electronic band structure are investigated by angle-resolved photoelectron

  16. Dissolution of synthetic uranium dibutyl phosphate deposits in oxidizing and reducing chemical formulations

    International Nuclear Information System (INIS)

    Rufus, A.L.; Sathyaseelan, V.S.; Narasimhan, S.V.; Velmurugan, S.

    2013-01-01

    Graphical abstract: SEM of the U-DBP coated stainless steel coupon before and after exposure to chemical formulation containing acid permanganate at 80 °C. -- Highlights: •Combination of oxidation and reduction processes efficiently dissolves U-DBP deposits. •NP and NAC formulations are compatible with SS-304. •Dissolved uranium and added chemicals are effectively removed via ion exchangers. -- Abstract: Permanganate and nitrilotriacetic acid (NTA) based dilute chemical formulations were evaluated for the dissolution of uranium dibutyl phosphate (U-DBP), a compound that deposits over the surfaces of nuclear reprocessing plants and waste storage tanks. A combination of an acidic, oxidizing treatment (nitric acid with permanganate) followed by reducing treatment (NTA based formulation) efficiently dissolved the U-DBP deposits. The dissolution isotherm of U-DBP in its as precipitated form followed a logarithmic fit. The same chemical treatment was also effective in dissolving U-DBP coated on the surface of 304-stainless steel, while resulting in minimal corrosion of the stainless steel substrate material. Investigation of uranium recovery from the resulting decontamination solutions by ion exchange with a bed of mixed anion and cation resins showed quantitative removal of uranium

  17. Dissolution of synthetic uranium dibutyl phosphate deposits in oxidizing and reducing chemical formulations

    Energy Technology Data Exchange (ETDEWEB)

    Rufus, A.L.; Sathyaseelan, V.S.; Narasimhan, S.V.; Velmurugan, S., E-mail: svelu@igcar.gov.in

    2013-06-15

    Graphical abstract: SEM of the U-DBP coated stainless steel coupon before and after exposure to chemical formulation containing acid permanganate at 80 °C. -- Highlights: •Combination of oxidation and reduction processes efficiently dissolves U-DBP deposits. •NP and NAC formulations are compatible with SS-304. •Dissolved uranium and added chemicals are effectively removed via ion exchangers. -- Abstract: Permanganate and nitrilotriacetic acid (NTA) based dilute chemical formulations were evaluated for the dissolution of uranium dibutyl phosphate (U-DBP), a compound that deposits over the surfaces of nuclear reprocessing plants and waste storage tanks. A combination of an acidic, oxidizing treatment (nitric acid with permanganate) followed by reducing treatment (NTA based formulation) efficiently dissolved the U-DBP deposits. The dissolution isotherm of U-DBP in its as precipitated form followed a logarithmic fit. The same chemical treatment was also effective in dissolving U-DBP coated on the surface of 304-stainless steel, while resulting in minimal corrosion of the stainless steel substrate material. Investigation of uranium recovery from the resulting decontamination solutions by ion exchange with a bed of mixed anion and cation resins showed quantitative removal of uranium.

  18. Chemical solution deposition method of fabricating highly aligned MgO templates

    Science.gov (United States)

    Paranthaman, Mariappan Parans [Knoxville, TN; Sathyamurthy, Srivatsan [Knoxville, TN; Aytug, Tolga [Knoxville, TN; Arendt, Paul N [Los Alamos, NM; Stan, Liliana [Los Alamos, NM; Foltyn, Stephen R [Los Alamos, NM

    2012-01-03

    A superconducting article includes a substrate having an untextured metal surface; an untextured barrier layer of La.sub.2Zr.sub.2O.sub.7 or Gd.sub.2Zr.sub.2O.sub.7 supported by and in contact with the surface of the substrate; a biaxially textured buffer layer supported by the untextured barrier layer; and a biaxially textured superconducting layer supported by the biaxially textured buffer layer. Moreover, a method of forming a buffer layer on a metal substrate includes the steps of: providing a substrate having an untextured metal surface; coating the surface of the substrate with a barrier layer precursor; converting the precursor to an untextured barrier layer; and depositing a biaxially textured buffer layer above and supported by the untextured barrier layer.

  19. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  20. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  1. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  2. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  3. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    Science.gov (United States)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  4. Characterization of CuInS2 thin films prepared by chemical bath deposition and their implementation in a solar cell

    International Nuclear Information System (INIS)

    Lugo, S.; López, I.; Peña, Y.; Calixto, M.; Hernández, T.; Messina, S.

    2014-01-01

    CuInS 2 thin films were formed by the sequential deposition of In 2 S 3 –CuS layers on glass substrates, by chemical bath deposition technique, and heating these multilayer 1 h at 350 °C and 400 mPa. The morphology and thickness of the CuInS 2 thin films were analysed by scanning electron microscopy, showing particles with elongated shape and length about 40 nm, and thickness of 267 and 348 nm for samples from 15 and 24 h of deposition time in the chemical bath of In 2 S 3 , respectively. The energy band gap values of the films were around 1.4 eV, whereas the electrical conductivity showed values from 64.91 to 4.11 × 10 −3 Ω −1 cm −1 for the samples of 15 and 24 h of In 2 S 3 deposition bath, respectively. The obtained CuInS 2 films showed appropriate values for their application as an absorbing layer in photovoltaic structures of the type: glass/SnO 2 :F/CdS/Sb 2 S 3 /CuInS 2 /PbS/C/Ag. The whole structure was obtained through chemical bath deposition technique. The solar cell corresponding to 15 h of In 2 S 3 deposition duration bath showed energy-conversion efficiency (η) of 0.53% with open circuit voltage (V oc ) of 530 mV, short circuit current density (J sc ) of 2.43 mA cm −2 , and fill factor (FF) of 0.41. In the case of the structure with 24 h of deposition of In 2 S 3 bath, η = 0.43% was measured with the following parameters: V oc = 330 mV, J sc = 4.78 mA cm −2 and FF = 0.27. - Highlights: • CuInS 2 films were formed by chemical bath deposition followed by a heat treatment. • Prepared CuInS 2 thin films can work as an effective absorbing layer in a solar cell. • A complete solar cell structure was made by a chemical bath deposition method

  5. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  6. Development of a suppression method for deposition of radioactive cobalt after chemical decontamination: Confirmation of the Suppression Mechanism with Preoxidized Ferrite Film for Deposition of Radioactive Cobalt

    International Nuclear Information System (INIS)

    Ito, Tsuyoshi; Hosokawa, Hideyuki; Nagase, Makoto; Aizawa, Motohiro; Fuse, Motomasa

    2012-09-01

    Recently, chemical decontamination at the beginning of a periodical inspection is applied to many Japanese boiling water reactor (BWR) plants in order to reduce radiation exposure. In the chemical decontamination, the oxides that have incorporated 60 Co are dissolved using reductive and oxidative chemical reagents. Some of the piping stainless steel (SS) base metal is exposed to the reactor water after this decontamination. The oxide film growth rate of the piping during plant operation just after the decontamination is higher than that just before it. Therefore, there is a possibility that the deposition amount of 60 Co on the piping just after decontamination is higher than that just before the chemical decontamination. The Hi-F Coat (Hitachi ferrite coating) process has been developed to lower recontamination after the chemical decontamination. In this process, a fine Fe 3 O 4 coating film is formed on the piping SS base metal in aqueous solution at 363 K using three chemical reagents: ferrous ion, oxidant, and pH adjuster. The growth rate of the corrosion oxide film that incorporated 60 Co on the piping during plant operation is suppressed by the fine ferrite film that blocks both diffusion of oxidant in the reactor water to the SS base metal and metal ions in the oxide film to the reactor water. As a result, the amount of 60 Co deposition is suppressed by the Hi-F coating film. In a previous report, we found that the Hi-F Coat process lowered the amount of 60 Co to 1/3 that for non-coated specimens. To improve the suppression of 60 Co deposition further, we combined the Hi-F Coat process with a pre-oxidation step which we named the pre-oxidized Hi-F Coat process. In laboratory experiments, using the pre-oxidized Hi-F Coat process we found the deposited amount of 60 Co was 1/10 that for non-coated specimens. By combining the Hi-F Coat process with the pre-oxidation step, the suppression effect of 60 Co deposition was three times higher than that of the Hi

  7. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  8. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  9. Chemical bath deposition route for the synthesis of ultra-thin CuIn(S,Se){sub 2} based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo, S. [Universidad Autónoma de Nuevo León (UANL), Fac. de Ciencias Químicas, Av. Universidad S/N, Ciudad Universitaria, San Nicolás de Los Garza, Nuevo León C.P. 66451 (Mexico); Sánchez, Y.; Neuschitzer, M.; Xie, H.; Insignares-Cuello, C.; Izquierdo-Roca, V. [Catalonia Institute for Energy Research (IREC), Jardins de les Dones de Negre 1, 08930 Sant Adrià del Besòs, Barcelona (Spain); Peña, Y. [Universidad Autónoma de Nuevo León (UANL), Fac. de Ciencias Químicas, Av. Universidad S/N, Ciudad Universitaria, San Nicolás de Los Garza, Nuevo León C.P. 66451 (Mexico); Saucedo, E., E-mail: esaucedo@irec.cat [Catalonia Institute for Energy Research (IREC), Jardins de les Dones de Negre 1, 08930 Sant Adrià del Besòs, Barcelona (Spain)

    2015-05-01

    CuIn(S,Se){sub 2} (CISSe) photovoltaic grade thin films are usually grown by expensive vacuum based methods or chemical routes that require highly toxic precursors. In this work, we present the synthesis of CISSe absorbers by a simple chemical bath deposition (CBD) route. In the first step, In{sub 2}S{sub 3}/Cu{sub 2−x}S stack was deposited as a precursor by CBD on Mo-coated soda lime glass substrates, using respectively thioacetamide and N,N′-dimethylthiourea as S source. Then the CISSe thin films were synthesized by the precursor's selenization at 450 °C. The obtained films were characterized by X-ray diffraction (XRD), Raman spectroscopy and scanning electron microscopy (SEM). The tetragonal chalcopyrite structure of CISSe was identified by XRD and Raman, confirming that the major part of S was replaced by Se. SEM images show a compact and homogeneous film and by cross-section the thickness was estimated to be around 700 nm. Solar cells prepared with these absorbers exhibit an open circuit voltage of 369 mV, a short circuit current density of 13.7 mA/cm{sup 2}, a fill factor of 45% and an efficiency of 2.3%. - Highlights: • Deposition of In{sub 2}S{sub 3}/Cu{sub 2−x}S multi-stacks by chemical bath deposition • Synthesis of CuIn(S,Se){sub 2} via a two stage process • Demonstration of the viability of this low cost method to produce photovoltaic grade CuIn(S,Se){sub 2}.

  10. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  11. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  12. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  13. Annealing and deposition effects of the chemical composition of silicon rich nitride

    DEFF Research Database (Denmark)

    Andersen, Karin Nordström; Svendsen, Winnie Edith; Stimpel-Lindner, T.

    2005-01-01

    Silicon-rich nitride, deposited by LPCVD, is a low stress amorphous material with a high refractive index. After deposition the silicon-rich nitride thin film is annealed at temperatures above 1100 oC to break N-H bonds, which have absorption peaks in the wavelength band important for optical...... in optical waveguides. This means that the annealing temperature must be high enough to break the N-H bonds, but no so high as to produce clusters. Therefore, the process window for an annealing step lies between 1100 and 1150 oC. The chemical composition of amorphous silicon-rich nitride has been...... investigated by Rutherford back scattering (RBS) and X-ray photoelectron spectroscopy (XPS). The influence of deposition parameters and annealing temperatures on the stoichiometry and the chemical bonds will be discussed. The origin of the clusters has been found to be silicon due to severe silicon out...

  14. Chemical Vapour Deposition of Large Area Graphene

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget

    Chemical Vapor Deposition (CVD) is a viable technique for fabrication of large areas of graphene. CVD fabrication is the most prominent and common way of fabricating graphene in industry. In this thesis I have attempted to optimize a growth recipe and catalyst layer for CVD fabrication of uniform......, single layer, and high carrier mobility large area graphene. The main goals of this work are; (1) explore the graphene growth mechanics in a low pressure cold-wall CVD system on a copper substrate, and (2) optimize the process of growing high quality graphene in terms of carrier mobility, and crystal...... structure. Optimization of a process for graphene growth on commercially available copper foil is limited by the number of aluminium oxide particles on the surface of the catalyst. By replacing the copper foil with a thin deposited copper film on a SiO2/Si or c-plane sapphire wafer the particles can...

  15. An alternative non-vacuum and low cost ESAVD method for the deposition of Cu(In,Ga)Se{sub 2} absorber layers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Mingqing; Liu, Junpeng; Choy, KwangLeong [UCL Centre for Materials Discovery, University College London (United Kingdom); Hou, Xianghui [Faculty of Engineering, University of Nottingham (United Kingdom); Gibson, Paul [IMPT Ltd, Nottingham (United Kingdom); Salem, Elhamali; Koutsogeorgis, Demosthenes; Cranton, Wayne [School of Science and Technology, Nottingham Trent University (United Kingdom)

    2015-01-01

    In this article, an environmentally friendly and non-vacuum electrostatic spray assisted vapor deposition (ESAVD) process has been developed as an alternative and low cost method to deposit CIGS absorber layers. ESAVD is a non-vacuum chemical vapor deposition based process whereby a mixture of chemical precursors is atomized to form aerosol. The aerosol is charged and directed towards a heated substrate where it would undergo decomposition and chemical reaction to deposit a stable solid film onto the substrate. A sol containing copper, indium, and gallium salts, as well as thiourea was formulated into a homogeneous chemical precursor mixture for the deposition of CIGS films. After selenization, both XRD and Raman results show the presence of the characteristic peaks of CIGSSe in the fabricated thin films. From SEM images and XRF results, it can be seen that the deposited absorbers are promising for good performance solar cells. The fabricated solar cell with a typical structure of glass/Mo/CIGSSe/CdS/i-ZnO/ITO shows efficiency of 2.82% under 100 mW cm{sup -2} AM1.5 illumination. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  17. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  18. Influence of the growth parameters on TiO2 thin films deposited using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M. I. B.

    2002-01-01

    Full Text Available In this work we report the synthesis of TiO2 thin films by the Organometallic Chemical Vapor Deposition (MOCVD method. The influence of deposition parameters used during the growth in the obtained structural characteristics was studied. Different temperatures of the organometallic bath, deposition time, temperature and type of the substrate were combined. Using Scanning Electron Microscopy associated to Electron Dispersive X-Ray Spectroscopy, Atomic Force Microscopy and X-ray Diffraction, the strong influence of these parameters in the thin films final microstructure was verified.

  19. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  20. Thermoluminescence of Zn O thin films deposited by chemical bath

    International Nuclear Information System (INIS)

    Camacho A, M. C.; Cruz V, C.; Bernal H, R.; Berman M, D.; Castano M, V. M.

    2015-10-01

    Full text: Zn O films on Si were synthesized using a deposition method by chemical bath and thermally treated at 900 degrees C for 12 h in air. The morphological characterization by scanning electron microscopy reveals that uniform films were obtained. To investigate the thermoluminescent properties of the films were exposed to irradiation with beta particles with doses in the range from 0.5 to 128 Gy. The brightness curves obtained using a heating rate of 5 degrees C have two peaks, one at 124 and another at 270 degrees C, and a linear dependence of the integrated thermoluminescence as a function of dose. The second maximum reveals the existence of localized trapping states of potential utility in thermoluminescent dosimetry. (Author)

  1. Sm-doped CeO2 single buffer layer for YBCO coated conductors by polymer assisted chemical solution deposition (PACSD) method

    International Nuclear Information System (INIS)

    Li, G.; Pu, M.H.; Sun, R.P.; Wang, W.T.; Wu, W.; Zhang, X.; Yang, Y.; Cheng, C.H.; Zhao, Y.

    2008-01-01

    An over 150 nm thick Sm 0.2 Ce 0.8 O 1.9-x (SCO) single buffer layer has been deposited on bi-axially textured NiW (2 0 0) alloy substrate. Highly in-plane and out-of-plane oriented, dense, smooth and crack free SCO single layer has been obtained via a polymer-assisted chemical solution deposition (PACSD) approach. YBCO thin film has been deposited equally via a PACSD route on the SCO-buffered NiW, the as grown YBCO yielding a sharp transition at T c0 = 87 K as well as J c (0 T, 77 K) ∼ 1 MA/cm 2 . These results indicates that RE (lanthanides other than Ce) doping may be an effective approach to improve the critical thickness of solution derived CeO 2 film, which renders it a promising candidate as single buffer layer for YBCO coated conductors

  2. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  3. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  4. Surface morphology and preferential orientation growth of TaC crystals formed by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xiong Xiang, E-mail: Xiong228@sina.co [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Chen Zhaoke; Huang Baiyun; Li Guodong [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Zheng Feng [School of Material Science and Engineering, Central South University, Changsha 410083 (China); Xiao Peng; Zhang Hongbo [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China)

    2009-04-02

    TaC film was deposited on (002) graphite sheet by isothermal chemical vapor deposition using TaCl{sub 5}-Ar-C{sub 3}H{sub 6} mixtures, with deposition temperature 1200 {sup o}C and pressure about 200 Pa. The influence of deposition position (or deposition rate) on preferential orientation and surface morphology of TaC crystals were investigated by X-ray diffraction and scanning electron microscopy methods. The deposits are TaC plus trace of C. The crystals are large individual columns with pyramidal-shape at deposition rate of 32.4-37.3 {mu}m/h, complex columnar at 37.3-45.6 {mu}m/h, lenticular-like at 45.6-54.6 {mu}m/h and cauliflower-like at 54.6-77.3 {mu}m/h, with <001>, near <001>, <110> and no clear preferential orientation, respectively. These results agree in part with the preditions of the Pangarov's model of the relationship between deposition rate and preferential growth orientation. The growth mechanism of TaC crystals in <001>, near <001>, <111> and no clear preferential orientation can be fairly explained by the growth parameter {alpha} with Van der Drift's model, deterioration model and Meakin model. Furthermore, a nucleation and coalescence model is also proposed to explain the formation mechanism of <110> lenticular-like crystals.

  5. Fabrication of cuprous chloride films on copper substrate by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)

    2015-09-30

    Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.

  6. Study on magnetite nanoparticles synthesized by chemical method

    International Nuclear Information System (INIS)

    Pei Wenli; Kumada, H.; Natusme, T.; Saito, H.; Ishio, S.

    2007-01-01

    Magnetite nanoparticles with controlled size were synthesized by chemical method. Higher deposition temperature and a rapid-raising temperature procedure are favorable to particle size distribution and fabrication of monodisperse nanoparticles. The larger nanoparticles can be synthesized by the two-step method. The large nanoparticle (up to 25 nm) without agglomeration was successfully produced. The saturation magnetization of 11 nm magnetite particles was 45 emu/g at room temperature, which is smaller than that of bulk magnetite due to surface effect. Hysteresis of the magnetite nanoparticle was very small, indicating superparamagnetic behavior. The magnetic domains of the 11 nm magnetite nanoparticles were successfully observed by MFM

  7. PMMA-Etching-Free Transfer of Wafer-scale Chemical Vapor Deposition Two-dimensional Atomic Crystal by a Water Soluble Polyvinyl Alcohol Polymer Method

    Science.gov (United States)

    van Ngoc, Huynh; Qian, Yongteng; Han, Suk Kil; Kang, Dae Joon

    2016-09-01

    We have explored a facile technique to transfer large area 2-Dimensional (2D) materials grown by chemical vapor deposition method onto various substrates by adding a water-soluble Polyvinyl Alcohol (PVA) layer between the polymethyl-methacrylate (PMMA) and the 2D material film. This technique not only allows the effective transfer to an arbitrary target substrate with a high degree of freedom, but also avoids PMMA etching thereby maintaining the high quality of the transferred 2D materials with minimum contamination. We applied this method to transfer various 2D materials grown on different rigid substrates of general interest, such as graphene on copper foil, h-BN on platinum and MoS2 on SiO2/Si. This facile transfer technique has great potential for future research towards the application of 2D materials in high performance optical, mechanical and electronic devices.

  8. Impact of acid atmospheric deposition on soils : quantification of chemical and hydrologic processes

    NARCIS (Netherlands)

    Grinsven, van J.J.M.

    1988-01-01

    Atmospheric deposition of SO x , NOx and NHx will cause major changes in the chemical composition of solutions in acid soils, which may affect the biological functions of the soil. This thesis deals with quantification of soil acidification by means of chemical

  9. Evaluation of corrosion behaviour of tantalum coating obtained by low pressure chemical vapor deposition using electrochemical polarization

    Science.gov (United States)

    Levesque, A.; Bouteville, A.; de Baynast, H.; Laveissière, B.

    2002-06-01

    antalum coatings are elaborated on titanium substrates through Low Pressure Chemical Vapor Deposition from tantalum pentachloride-hydrogen gaseous phase at a deposition temperature of 800 °C and a total pressure of 3.3 mbar. The aim of this paper is to evaluate the effectiveness of this tantalum coating in corrosive solution. Optical Microscopy and Scanning Electron Microscopy observations reveal that deposits are of 1.7 μm in thickness and conformal. The corrosion resistance of tantalum coated titanium substrates is quantified through standard potentiodynamic polarization method. Even for tantalum coatings exhibiting some defects as pores, the corrosion current density is as low as 0.25 mA/cm^2.in very agressive solutions like kroll reagent (HN03/HF).

  10. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  11. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  12. Preparation of ZnS microdisks using chemical bath deposition and ZnS/p-Si heterojunction solar cells

    Science.gov (United States)

    Hsiao, Y. J.; Meen, T. H.; Ji, L. W.; Tsai, J. K.; Wu, Y. S.; Huang, C. J.

    2013-10-01

    The synthesis and heterojunction solar cell properties of ZnS microdisks prepared by the chemical bath deposition method were investigated. The ZnS deposited on the p-Si blanket substrate exhibits good coverage. The lower reflectance spectra were found as the thickness of the ZnS film increased. The optical absorption spectra of the 80 °C ZnS microdisk exhibited a band-gap energy of 3.4 eV and the power conversion efficiency (PCE) of the AZO/ZnS/p-Si heterojunction solar cell with a 300 nm thick ZnS film was η=2.72%.

  13. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  14. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  15. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  16. The atmospheric chemical vapour deposition of coatings on glass

    International Nuclear Information System (INIS)

    Sanderson, Kevin David

    1996-01-01

    The deposition of thin films of indium oxide, tin doped indium oxide (ITO) and titanium nitride for solar control applications have been investigated by Atmospheric Chemical Vapour Deposition (APCVD). Experimental details of the deposition system and the techniques used to characterise the films are presented. Results from investigations into the deposition parameters, the film microstructure and film material properties are discussed. A range of precursors were investigated for the deposition of indium oxide. The effect of pro-mixing the vaporised precursor with an oxidant source and the deposition temperature has been studied. Polycrystalline In 2 O 3 films with a resistivity of 1.1 - 3x10 -3 Ω cm were obtained with ln(thd) 3 , oxygen and nitrogen. The growth of ITO films from ln(thd) 3 , oxygen and a range of tin dopants is also presented. The effect of the dopant precursor, the doping concentration, deposition temperature and the effect of additives on film growth and microstructure is discussed. Control over the preferred orientation growth of ITO has been achieved by the addition of acetate species during film growth. Insitu infra-red spectroscopy has been used to identify the gas phase species and identify the species responsible for the film modification. ITO films with a resistivities of 1.5 - 4x10 -4 Ω cm have been achieved. The deposition of titanium nitride by the APCVD of Ti(NMe 2 ) 4 and a mixture of Ti(NMe 2 ) 4 and ammonia is reported. Contamination of the films and pro-reaction between the precursors in the gas phase is discussed, and the synthesis of new precursors for the deposition of titanium nitride is reported. New precursors have been synthesised under anaerobic conditions and characterised by infra-red spectroscopy, 1 H and 13 C NMR, mass spectrometry, thermal gravemetric analysis and three by single crystal X-ray diffraction. Deposition of titanium nitride utilising two new precursors is reported. (author)

  17. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  18. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  19. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  20. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  1. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  2. Phase Evolution of YBa2Cu3O7-x films by all-chemical solution deposition route for coated conductors

    DEFF Research Database (Denmark)

    Yue, Zhao; Tang, Xiao; Wu, Wei

    2014-01-01

    In order to understand the all-chemical-solution-deposition (CSD) processes for manufacturing coated conductors, we investigated the phase evolution of YBa2Cu3O7 (YBCO) films deposited by a low-fluorine metal-organic solution deposition (LF-MOD) method on CSD derived Ce0.9La0.1O2/Gd2Zr2O7/Ni......W. It is shown that the phase transition from the pyrolyzed film to fully converted YBCO film in the LF-MOD process is similar to that in typical trifluoroacetates-metal organic deposition (TFA-MOD) processes even though the amount of TFA in the solution is reduced by almost one half compared with typical TFA...

  3. Chemical deposition of La0.7Ca0.3MnO3±δ films on ceramic substrates

    Directory of Open Access Journals (Sweden)

    Cássio Morilla-Santos

    2011-01-01

    Full Text Available In this paper, it is reported the growth of La0.7Ca0.3MnO3±δ films using a chemical solution deposition method (CSD by the spin-coating technique. Such solution was prepared through a route based on modified polymeric precursor method. Spin-coating deposition on different ceramic substrates was performed and analyzed by X-ray diffraction (XRD, scanning electron microscopy (SEM and X-ray photoelectron spectroscopy (XPS. The magnetic response of the prepared specimens was studied using a SQUID magnetometer. The obtained results indicated uniform deposition on SrTiO3 and LaAlO3 substrates with similar characteristics. Furthermore, significant differences were detected in the Mn3+/Mn4+ valence ratio and a corresponding diverse magnetic response was observed. The sample prepared on SrTiO3 and LaAlO3 presented a critical temperature around 270 K as expected.

  4. Advance in research on aerosol deposition simulation methods

    International Nuclear Information System (INIS)

    Liu Keyang; Li Jingsong

    2011-01-01

    A comprehensive analysis of the health effects of inhaled toxic aerosols requires exact data on airway deposition. A knowledge of the effect of inhaled drugs is essential to the optimization of aerosol drug delivery. Sophisticated analytical deposition models can be used for the computation of total, regional and generation specific deposition efficiencies. The continuously enhancing computer seem to allow us to study the particle transport and deposition in more and more realistic airway geometries with the help of computational fluid dynamics (CFD) simulation method. In this article, the trends in aerosol deposition models and lung models, and the methods for achievement of deposition simulations are also reviewed. (authors)

  5. Graphene growth by transfer-free chemical vapour deposition on a cobalt layer

    Science.gov (United States)

    Macháč, Petr; Hejna, Ondřej; Slepička, Petr

    2017-01-01

    The contribution deals with the preparation of graphene films by a transfer-free chemical vapour deposition process utilizing a thin cobalt layer. This method allows growing graphene directly on a dielectric substrate. The process was carried out in a cold-wall reactor with methane as carbon precursor. We managed to prepare bilayer graphene. The best results were obtained for a structure with a cobalt layer with a thickness of 50 nm. The quality of prepared graphene films and of the number of graphene layers were estimated using Raman spectroscopy. with a minimal dots diameter of 180 nm and spacing of 1000 nm were successfully developed.

  6. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  7. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  8. Annealing effect on structural and optical properties of chemical bath deposited MnS thin film

    Energy Technology Data Exchange (ETDEWEB)

    Ulutas, Cemal, E-mail: cemalulutas@hakkari.edu.tr [Faculty of Education, Hakkari Universty, 30000, Hakkari (Turkey); Gumus, Cebrail [Faculty of Science and Letters, Cukurova University, 01330, Adana (Turkey)

    2016-03-25

    MnS thin film was prepared by the chemical bath deposition (CBD) method on commercial microscope glass substrate deposited at 30 °C. The as-deposited film was given thermal annealing treatment in air atmosphere at various temperatures (150, 300 and 450 °C) for 1 h. The MnS thin film was characterized by using X-ray diffraction (XRD), UV-vis spectrophotometer and Hall effect measurement system. The effect of annealing temperature on the structural, electrical and optical properties such as optical constants of refractive index (n) and energy band gap (E{sub g}) of the film was determined. XRD measurements reveal that the film is crystallized in the wurtzite phase and changed to tetragonal Mn{sub 3}O{sub 4} phase after being annealed at 300 °C. The energy band gap of film decreased from 3.69 eV to 3.21 eV based on the annealing temperature.

  9. Laterally Stitched Heterostructures of Transition Metal Dichalcogenide: Chemical Vapor Deposition Growth on Lithographically Patterned Area

    KAUST Repository

    Li, Henan

    2016-10-31

    Two-dimensional transition metal dichalcogenides (TMDCs) have shown great promise in electronics and optoelectronics due to their unique electrical and optical properties. Heterostructured TMDC layers such as the laterally stitched TMDCs offer the advantages of better electronic contact and easier band offset tuning. Here, we demonstrate a photoresist-free focused ion beam (FIB) method to pattern as-grown TMDC monolayers by chemical vapor deposition, where the exposed edges from FIB etching serve as the seeds for growing a second TMDC material to form desired lateral heterostructures with arbitrary layouts. The proposed lithographic and growth processes offer better controllability for fabrication of the TMDC heterostrucuture, which enables the construction of devices based on heterostructural monolayers. © 2016 American Chemical Society.

  10. Investigation of CdS/InP heterojunction prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Rakovics, V.; Horvath, Zs.J.; Horvath, Zs.E.; Barsony, I.; Frigeri, C.; Besagni, T.

    2007-01-01

    CdS thin films have been deposited on InP and glass substrates using the chemical bath deposition technique. Baths containing CdSO 4 , thiourea, and NH 3 were used. The temperature of the deposition process was 65 C and the duration of deposition varied between 20 and 160 minutes. The properties of the CdS/InP heterojunction were investigated by TEM, EDS and X-ray diffraction. TEM pictures, EDS and X-ray rocking curves indicate the formation of a β-In 2 S 3 transition layer at the InP-CdS interface, which may reduce the lattice mismatch between InP and CdS. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Chemical solution deposition of LaMnO3-based films for coated conductors

    International Nuclear Information System (INIS)

    Shi, D Q; Zhu, X B; Kim, J H; Wang, L; Zeng, R; Dou, S X; Lei, H C; Sun, Y P

    2008-01-01

    LaMnO 3 -based films were prepared using the chemical solution deposition method. It was found that the films on perovskite oxide single crystal substrates are highly (h00)-oriented when the annealing atmosphere is oxygen or air; however, when the substrate is yttrium-stabilized ZrO 2 , only the La 1-x Na x MnO 3 films are highly (h00)-oriented, and other LaMnO 3 -based films are (110)-oriented. Under a reducing annealing atmosphere, the atmosphere must be wet in order to create a suitable oxygen partial pressure to crystallize the LaMnO 3 -based films. After annealing under a wet reducing atmosphere the LaMnO 3 -based films are (110)-oriented when the films are directly deposited on Ni tapes; however, when SrTiO 3 -buffered Ni tapes are used, the LaMnO 3 films are (h00)-oriented, which is suitable for subsequent growth of YBCO. The results suggest that it is possible to tune the orientation of buffer layers using suitable templates, which can widen the selection of buffer layers for coated conductors in the all metallorganic deposition approach

  12. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  13. Chemical Controls of Ozone Dry Deposition to the Sea Surface Microlayer

    Science.gov (United States)

    Carpenter, L.; Chance, R.; Tinel, L.; Saint, A.; Sherwen, T.; Loades, D.; Evans, M. J.; Boxhall, P.; Hamilton, J.; Stolle, C.; Wurl, O.; Ribas-Ribas, M.; Pereira, R.

    2017-12-01

    Oceanic dry deposition of atmospheric ozone (O3) is both the largest and most uncertain O3 depositional sink, and is widely acknowledged to be controlled largely by chemical reactions in the sea surface microlayer (SML) involving iodide (I-) and dissolved organic material (DOM). These reactions not only determine how quickly O3 can be removed from the atmosphere, but also result in emissions of trace gases including volatile organic compounds and may constitute a source of secondary organic aerosols to the marine atmosphere. Iodide concentrations at the sea surface vary by approximately an order of magnitude spatially, leading to more than fivefold variation in ozone deposition velocities (and volatile iodine fluxes). Sea-surface temperature is a reasonable predictor of [I-], however two recent parameterisations for surface I- differ by a factor of two at low latitudes. The nature and reactivity of marine DOM to O3 is almost completely unknown, although studies have suggested approximately equivalent chemical control of I- and DOM on ozone deposition. Here we present substantial new measurements of oceanic I- in both bulk seawater and the overlying SML, and show improved estimates of the global sea surface iodide distribution. We also present analyses of water-soluble DOM isolated from the SML and bulk seawater, and corresponding laboratory studies of ozone uptake to bulk and SML seawater, with the aim of characterizing the reactivity of O3 towards marine DOM.

  14. Evaluation of physical and chemical properties and their interactions in fat, oil, and grease (FOG) deposits.

    Science.gov (United States)

    Gross, Martin A; Jensen, Jeanette L; Gracz, Hanna S; Dancer, Jens; Keener, Kevin M

    2017-10-15

    Fat, oil and grease (FOG) blockages in sewer systems are a substantial problem in the United States. It has been estimated that over 50% of sewer overflows are a result of FOG blockages. In this work, a thorough laboratory study was undertaken to examine key variables that contribute to FOG deposit formation under controlled conditions. Physical and chemical properties and their interactions were evaluated and conditions that generated deposits that mimicked field FOG deposits were identified. It was found that 96 of the of 128 reaction conditions tested in the laboratory formed FOG deposits with similar physical and chemical characteristics as field FOG deposits. It was also found that FOG deposits can be created through fatty acid crystallization and not just saponification. Furthermore FOG deposits were found to be more complex than previously documented and contain free fatty acids, fatty acid metal salts, triacylglycerol's, diacylglycerol's and, monoacylglycerol's. Lastly it was found that FOG deposits that only contained saturated fatty acids were on average 2.1 times higher yield strength than deposits that contained unsaturated fatty acids. Copyright © 2017 Elsevier Ltd. All rights reserved.

  15. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  16. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  17. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  18. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  19. Effects of copper precursor concentration on the growth of cupric oxide nanorods for photoelectrode using a modified chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Hee-bong [Department of Nano Science and Engineering, High Safety Vehicle Core Technology Research Center, Inje University, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, Hyukhyun, E-mail: hhryu@inje.ac.kr [Department of Nano Science and Engineering, High Safety Vehicle Core Technology Research Center, Inje University, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Lee, Won-Jae [Department of Materials and Components Engineering, Dong-Eui University, Busan 614-714 (Korea, Republic of)

    2015-01-25

    Highlights: • CuO nanorod photoelectrodes were prepared by modified CBD method. • The CuO nanorods were vertically grown with a high growth rate. • Effects of precursor concentration on the CuO nanorods were investigated. - Abstract: In this study, vertically aligned CuO nanorods were grown using a modified chemical bath deposition method with various copper precursor concentrations. The morphological, structural, optical and photoelectrochemical properties of the synthesized CuO samples were characterized using a field-emission scanning electron microscope, an X-ray diffractometer, a UV–visible spectrometer and a three-electrode potentiostat, respectively. The growth rates of the samples varied from 4.3 to 500 nm/min with the varying precursor concentrations. The vertically well-grown CuO nanorods exhibited one-dimensional growth along the (0 2 0) plane. We obtained a maximum photocurrent density of −1.05 mA/cm{sup 2} at −0.6 V (vs. SCE) from the CuO nanorod photoelectrode grown using the 10 mM copper precursor concentration.

  20. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  1. Effect of reaction time on structural, morphology and optical properties of ZnO nanoflakes prepared by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Molefe, F.V.; Koao, L.F., E-mail: koaolf@qwa.ufs.ac.za; Dolo, J.J.; Dejene, B.F.

    2014-04-15

    ZnO nanoflakes have been successfully synthesized by the chemical bath deposition (CBD) method for different reaction times. X-ray diffraction (XRD) results confirm the initial formation of the cubic ZnO structure. However, increasing the reaction time resulted into the emergence of the well-known hexagonal wurtzite structure of ZnO. Scanning electron microscopy images showed the presence of agglomerated nanoflakes. The morphology was found not to depend on synthesis time. UV–vis spectra showed a partially increase in the percentage reflectance and the absorption edges red shifted to the higher wavelength with an increase in synthesis time. The highest band gap energy was obtained for ZnO synthesized for 1 min, with its estimated band gap energy of 3.91±0.08 eV. The estimated band gap decreased with an increase in the reaction time. The photoluminescent intensity of the emission peak at 473 nm decreased with an increase in reaction time.

  2. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  3. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  4. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    KAUST Repository

    Engelbrecht, Johann; Stenchikov, Georgiy L.; Prakash, P. Jish; Lersch, Traci; Anisimov, Anatolii; Shevchenko, Illia

    2017-01-01

    ) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period

  5. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  6. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  7. Characterization of CuInS{sub 2} thin films prepared by chemical bath deposition and their implementation in a solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Lugo, S.; López, I. [Universidad Autónoma de Nuevo León, UANL, Facultad de Ciencias Químicas, Laboratorio de Materiales I, Av. Universidad, Cd. Universitaria 66451, San Nicolás de los Garza, Nuevo León, México (Mexico); Peña, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Facultad de Ciencias Químicas, Laboratorio de Materiales I, Av. Universidad, Cd. Universitaria 66451, San Nicolás de los Garza, Nuevo León, México (Mexico); Calixto, M. [Instituto de Energías Renovables, Universidad Nacional Autónoma de México, C.P. 62580, Temixco, Morelos, México (Mexico); Hernández, T. [Universidad Autónoma de Nuevo León, UANL, Facultad de Ciencias Químicas, Laboratorio de Materiales I, Av. Universidad, Cd. Universitaria 66451, San Nicolás de los Garza, Nuevo León, México (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo”, S/N C.P. 63155, Tepic, Nayarit, México (Mexico); and others

    2014-10-31

    CuInS{sub 2} thin films were formed by the sequential deposition of In{sub 2}S{sub 3}–CuS layers on glass substrates, by chemical bath deposition technique, and heating these multilayer 1 h at 350 °C and 400 mPa. The morphology and thickness of the CuInS{sub 2} thin films were analysed by scanning electron microscopy, showing particles with elongated shape and length about 40 nm, and thickness of 267 and 348 nm for samples from 15 and 24 h of deposition time in the chemical bath of In{sub 2}S{sub 3}, respectively. The energy band gap values of the films were around 1.4 eV, whereas the electrical conductivity showed values from 64.91 to 4.11 × 10{sup −3} Ω{sup −1} cm{sup −1} for the samples of 15 and 24 h of In{sub 2}S{sub 3} deposition bath, respectively. The obtained CuInS{sub 2} films showed appropriate values for their application as an absorbing layer in photovoltaic structures of the type: glass/SnO{sub 2}:F/CdS/Sb{sub 2}S{sub 3}/CuInS{sub 2}/PbS/C/Ag. The whole structure was obtained through chemical bath deposition technique. The solar cell corresponding to 15 h of In{sub 2}S{sub 3} deposition duration bath showed energy-conversion efficiency (η) of 0.53% with open circuit voltage (V{sub oc}) of 530 mV, short circuit current density (J{sub sc}) of 2.43 mA cm{sup −2}, and fill factor (FF) of 0.41. In the case of the structure with 24 h of deposition of In{sub 2}S{sub 3} bath, η = 0.43% was measured with the following parameters: V{sub oc} = 330 mV, J{sub sc} = 4.78 mA cm{sup −2} and FF = 0.27. - Highlights: • CuInS{sub 2} films were formed by chemical bath deposition followed by a heat treatment. • Prepared CuInS{sub 2} thin films can work as an effective absorbing layer in a solar cell. • A complete solar cell structure was made by a chemical bath deposition method.

  8. Sm-doped CeO{sub 2} single buffer layer for YBCO coated conductors by polymer assisted chemical solution deposition (PACSD) method

    Energy Technology Data Exchange (ETDEWEB)

    Li, G.; Pu, M.H.; Sun, R.P.; Wang, W.T.; Wu, W.; Zhang, X.; Yang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Zhao, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia)], E-mail: yzhao@home.swjtu.edu.cn

    2008-10-20

    An over 150 nm thick Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} (SCO) single buffer layer has been deposited on bi-axially textured NiW (2 0 0) alloy substrate. Highly in-plane and out-of-plane oriented, dense, smooth and crack free SCO single layer has been obtained via a polymer-assisted chemical solution deposition (PACSD) approach. YBCO thin film has been deposited equally via a PACSD route on the SCO-buffered NiW, the as grown YBCO yielding a sharp transition at T{sub c0} = 87 K as well as J{sub c}(0 T, 77 K) {approx} 1 MA/cm{sup 2}. These results indicates that RE (lanthanides other than Ce) doping may be an effective approach to improve the critical thickness of solution derived CeO{sub 2} film, which renders it a promising candidate as single buffer layer for YBCO coated conductors.

  9. Method of suppressing the deposition of Co-60 to primary coolant pipeways in a nuclear reactor

    International Nuclear Information System (INIS)

    Hoshi, Michio; Tachikawa, Enzo; Goto, Satoshi; Sagawa, Chiaki; Yonezawa, Chushiro.

    1987-01-01

    Purpose: To suppress the deposition of Co-60 to primary coolant pipeways in a nuclear reactor. Method: To reduce the accumulation of Co-60 by causing chemical species of extremely similar chemical property with soluble Co-60 to be present together in coolants and replacing the deposition of Co-60 to the primary coolant pipeways in a nuclear reactor with that of the coexistent chemical spacies. Ni or Zn is used as the coexistet chemical spacies of similar chemical property with Co-60. The coexistent amount is from 5 to 10 times of the soluble Co-60 in the primary coolants. Ni or Zn solution adjusted with concentration is poured into and mixed with the coolants from a water feed source by using a high pressure constant volume pump. The amount of Co-60 taken into the pipeways caused by corrosion due to high temperature coolant is reduced to about 1/5 as compared with the case of Co-60 alone if 1 ppb of soluble Co-60 is present in water and 5 ppb of soluble Ni or Zn is added and, reduced to 1/12 if the amount of Ni or Zn is 10 ppb. (Kamimura, M.)

  10. Magnetic and Electrical Properties of Nitrogen-Doped Multiwall Carbon Nanotubes Fabricated by a Modified Chemical Vapor Deposition Method

    Directory of Open Access Journals (Sweden)

    María Luisa García-Betancourt

    2015-01-01

    Full Text Available Chemical vapor deposition (CVD is a preferential method to fabricate carbon nanotubes (CNTs. Several changes have been proposed to obtain improved CNTs. In this work we have fabricated nitrogen-doped multiwall carbon nanotubes (N-MWCNTs by means of a CVD which has been slightly modified. Such modification consists in changing the content of the by-product trap. Instead of acetone, we have half-filled the trap with an aqueous solution of NaCl (0–26.82 wt.%. Scanning electron microscope (SEM characterization showed morphological changes depending upon concentration of NaCl included in the trap. Using high resolution transmission electron microscopy several shape changes on the catalyst nanoparticles were also observed. According to Raman spectroscopy results N-MWCNTs fabricated using pure distillate water exhibit better crystallinity. Resistivity measurements performed on different samples by physical properties measurement Evercool system (PPMS showed metallic to semiconducting temperature dependent transitions when high content of NaCl is used. Results of magnetic properties show a ferromagnetic response to static magnetic fields and the coercive fields were very similar for all the studied cases. However, saturation magnetization is decreased if aqueous solution of NaCl is used in the trap.

  11. Chemical vapor deposition graphene transfer process to a polymeric substrate assisted by a spin coater

    International Nuclear Information System (INIS)

    Kessler, Felipe; Da Rocha, Caique O C; Medeiros, Gabriela S; Fechine, Guilhermino J M

    2016-01-01

    A new method to transfer chemical vapor deposition graphene to polymeric substrates is demonstrated here, it is called direct dry transfer assisted by a spin coater (DDT-SC). Compared to the conventional method DDT, the improvement of the contact between graphene-polymer due to a very thin polymeric film deposited by spin coater before the transfer process prevented air bubbles and/or moisture and avoided molecular expansion on the graphene-polymer interface. An acrylonitrile-butadiene-styrene copolymer, a high impact polystyrene, polybutadiene adipate-co-terephthalate, polylactide acid, and a styrene-butadiene-styrene copolymer are the polymers used for the transfers since they did not work very well by using the DDT process. Raman spectroscopy and optical microscopy were used to identify, to quantify, and to qualify graphene transferred to the polymer substrates. The quantity of graphene transferred was substantially increased for all polymers by using the DDT-SC method when compared with the DDT standard method. After the transfer, the intensity of the D band remained low, indicating low defect density and good quality of the transfer. The DDT-SC transfer process expands the number of graphene applications since the polymer substrate candidates are increased. (paper)

  12. Transforming a Simple Commercial Glue into Highly Robust Superhydrophobic Surfaces via Aerosol-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Zhuang, Aoyun; Liao, Ruijin; Lu, Yao; Dixon, Sebastian C; Jiamprasertboon, Arreerat; Chen, Faze; Sathasivam, Sanjayan; Parkin, Ivan P; Carmalt, Claire J

    2017-12-06

    Robust superhydrophobic surfaces were synthesized as composites of the widely commercially available adhesives epoxy resin (EP) and polydimethylsiloxane (PDMS). The EP layer provided a strongly adhered micro/nanoscale structure on the substrates, while the PDMS was used as a post-treatment to lower the surface energy. In this study, the depositions of EP films were taken at a range of temperatures, deposition times, and substrates via aerosol-assisted chemical vapor deposition (AACVD). A novel dynamic deposition temperature approach was developed to create multiple-layered periodic micro/nanostructures that significantly improved the surface mechanical durability. Water droplet contact angles (CA) of 160° were observed with droplet sliding angles (SA) frequently UV testing (365 nm, 3.7 mW/cm 2 , 120 h) were carried out to exhibit the environmental stability of the films. Self-cleaning behavior was demonstrated in clearing the surfaces of various contaminating powders and aqueous dyes. This facile and flexible method for fabricating highly durable superhydrophobic polymer films points to a promising future for AACVD in their scalable and low-cost production.

  13. Zinc oxide nanostructures by chemical vapour deposition as anodes for Li-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Laurenti, M., E-mail: marco.laurenti@iit.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Garino, N. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Porro, S.; Fontana, M. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Gerbaldi, C., E-mail: claudio.gerbaldi@polito.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy)

    2015-08-15

    Highlights: • ZnO nanostructures are grown by simple chemical vapour deposition. • Polycrystalline nanostructured porous thin film is obtained. • Film exhibits stable specific capacity (∼400 mA h g{sup −1}) after prolonged cycling. • CVD-grown ZnO nanostructures show promising prospects as Li-ion battery anode. - Abstract: ZnO nanostructures are grown by a simple chemical vapour deposition method directly on a stainless steel disc current collector and successfully tested in lithium cells. The structural/morphological characterization points out the presence of well-defined polycrystalline nanostructures having different shapes and a preferential orientation along the c-axis direction. In addition, the high active surface of the ZnO nanostructures, which accounts for a large electrode/electrolyte contact area, and the complete wetting with the electrolyte solution are considered to be responsible for the good electrical transport properties and the adequate electrochemical behaviour, as confirmed by cyclic voltammetry and galvanostatic charge/discharge cycling. Indeed, despite no binder or conducting additives are used, when galvanostatically tested in lithium cells, after an initial decay, the ZnO nanostructures can provide a rather stable specific capacity approaching 70 μA h cm{sup −2} (i.e., around 400 mA h g{sup −1}) after prolonged cycling at 1 C, with very high Coulombic efficiency and an overall capacity retention exceeding 62%.

  14. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  15. Investigations on the synthesis, optical and electrical properties of TiO{sub 2} thin films by Chemical Bath Deposition (CBD) method

    Energy Technology Data Exchange (ETDEWEB)

    Govindasamy, Geetha [Bharathiar University, Coimbatore (India); Murugasen, Priya [Department of Physics, Saveetha Engineering College (India); Sagadevan, Suresh [Department of Physics, AMET University, Chennai (India)

    2016-03-15

    Titanium dioxide (TiO{sub 2} ) thin films were prepared by Chemical Bath Deposition (CBD) method. The X-ray diffraction (XRD) analysis was used to examine the structure and to determine the crystallite size of TiO{sub 2} thin film. The surface morphology of the film was studied using Scanning Electron Microscopy (SEM).The optical properties were studied using the UV-Visible and photoluminescence (PL) spectrum. Optical constants such as band gap, refractive index, extinction coefficient and electric susceptibility were determined. The FTIR spectrum revealed the strong presence of TiO{sub 2} . The dielectric properties of TiO{sub 2} thin films were studied for different frequencies and different temperatures. The AC electrical conductivity test revealed that the conduction depended both on the frequency and the temperature. Photoconductivity study was carried out in order to ascertain the positive photoconductivity of the TiO{sub 2} thin films. (author)

  16. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  17. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  18. Reactive polymer fused deposition manufacturing

    Science.gov (United States)

    Kunc, Vlastimil; Rios, Orlando; Love, Lonnie J.; Duty, Chad E.; Johs, Alexander

    2017-05-16

    Methods and compositions for additive manufacturing that include reactive or thermosetting polymers, such as urethanes and epoxies. The polymers are melted, partially cross-linked prior to the depositing, deposited to form a component object, solidified, and fully cross-linked. These polymers form networks of chemical bonds that span the deposited layers. Application of a directional electromagnetic field can be applied to aromatic polymers after deposition to align the polymers for improved bonding between the deposited layers.

  19. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  20. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  1. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  2. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  3. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Tan, C.W., E-mail: tanchengwen@bit.edu.cn [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Yu, X.D. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Nie, Z.H. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Ma, H.L. [China Astronaut Research and Training Center, Beijing 100094 (China); Cai, H.N. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-12-15

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl{sub 6} as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10{sup 6} to 10{sup 7} (counts/cm{sup 2}) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  4. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    International Nuclear Information System (INIS)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z.; Tan, C.W.; Yu, X.D.; Nie, Z.H.; Ma, H.L.; Cai, H.N.

    2016-01-01

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl 6 as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10 6 to 10 7 (counts/cm 2 ) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  5. Impacts of chemical enhancers on skin permeation and deposition of terbinafine.

    Science.gov (United States)

    Erdal, Meryem Sedef; Peköz, Ayca Yıldız; Aksu, Buket; Araman, Ahmet

    2014-08-01

    The addition of chemical enhancers into formulations is the most commonly employed approach to overcome the skin barrier. The objective of this work was to evaluate the effect of vehicle and chemical enhancers on the skin permeation and accumulation of terbinafine, an allylamine antifungal drug. Terbinafine (1% w/w) was formulated as a Carbopol 934 P gel formulation in presence and absence of three chemical enhancers, nerolidol, dl-limonene and urea. Terbinafine distribution and deposition in stratum corneum (SC) and skin following 8-h ex vivo permeation study was determined using a sequential tape stripping procedure. The conformational order of SC lipids was investigated by ATR-FTIR spectroscopy. Nerolidol containing gel formulation produced significantly higher enhancement in terbinafine permeation through skin and its skin accumulation was increased. ATR-FTIR results showed enhancer induced lipid bilayer disruption in SC. Urea resulted in enhanced permeation of terbinafine across the skin and a balanced distribution to the SC was achieved. But, dl-limonene could not minimize the accumulation of terbinafine in the upper SC. Nerolidol dramatically improved the skin permeation and deposition of terbinafine in the skin that might help to optimize targeting of the drug to the epidermal sites as required for both of superficial and deep cutaneous fungal infections.

  6. Role of the buffer solution in the chemical deposition of CdS films for CIGS solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sooho; Kim, Donguk; Baek, Dohyun; Hong, Byoungyou; Yi, Junsin; Lee, Jaehyeong [Sungkyunkwan University, Suwon (Korea, Republic of); Park, Yongseob [Chosun College of Science and and Technology, Gwangju (Korea, Republic of); Choi, Wonseok [Hanbat National University, Daejeon (Korea, Republic of)

    2014-05-15

    In this work, the effects of NH{sub 4}Ac on the structural and the electro-optical properties of CdS films were investigated. CdS thin films were deposited on soda-lime glass and indium-tin-oxide (ITO) coated glass from a chemical bath containing 0.025 M cadmium acetate, 0 M ∼ 0.2 M ammonium acetate, 0.5 M thiourea, and ammonia. Cadmium acetate was the cadmium source, ammonium acetate served as a buffer, ammonia was the complexing agent, and thiourea was the source of sulfur. A commonly- available chemical bath deposition system was successfully modified to obtain precise control over the pH of the solution at 75 .deg. C during the deposition. Chemically deposited CdS films were studied by using field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), optical transmittance, and electrical resistivity measurements.

  7. Effect of thermal history on the structure of chemically and vapor deposited silver films on glass

    International Nuclear Information System (INIS)

    Shelby, J.E.; Nichols, M.C.; Smith, D.K. Jr.; Vitko, J. Jr.

    1981-01-01

    The observation of silver agglomeration in second surface mirrors used for solar applications has emphasized consideration of the effect of thermal history on the optical properties of mirrors. Thermal history effects may arise from the processing of mirrors, the application of protective coatings, or from outdoor exposure. Mirrors may be subject to elevated temperatures (T less than or equal to 400 0 C) for short periods of time, or to low temperatures (T less than or equal to 60 0 C) for long (less than or equal to 30 years) periods of time. Although a significant amount of work has been done on thermally driven agglomeration of silver films, most of these studies have been restricted to vapor deposited films on vitreous silica. Large area reflectors, such as those used in heliostats, will almost certainly be deposited by commercial chemical methods on substrates of soda-lime-silicate or other glasses which differ considerably from vitreous silica in composition and properties. The present study addresses the effect of this change in deposition technique and substrate on silver agglomeration. These problems were studied by optical and scanning electron microscopy, reflectometry, and x-ray diffraction. The results indicate that both the method used to deposit the silver and the type of glass affect the agglomeration process and the character of the reflective film

  8. Surface characterization of ZnO nanorods grown by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mbulanga, C.M., E-mail: crispin.mbulanga@nmmu.ac.za [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Urgessa, Z.N.; Tankio Djiokap, S.R.; Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Duvenhage, M.M.; Swart, H.C. [Department of Physics, University of the Free State, P.O Box 77000, Bloemfontein ZA9300 (South Africa)

    2016-01-01

    The surface composition of as-grown and annealed ZnO nanorods (ZNs) grown by a two-step chemical bath deposition method is investigated by the following surface-sensitive techniques: Time-of-Flight Secondary Ion Mass Spectroscopy (TOF-SIMS), X-ray Photoelectron Spectroscopy (XPS) and Auger Electron Spectroscopy (AES). The presence of H on the surface and throughout the entire thickness of ZNs is confirmed by TOF-SIMS. Based on TOF-SIMS results, the O2 XPS peak mostly observable at ~531.5 is assigned to O bound to H. Furthermore, it is found that the near surface region of as-grown ZNs is Zn-rich, and annealing at high temperature (~850 °C) removes H-related defects from the surface of ZNs and affect the balance of zinc and oxygen concentrations.

  9. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  10. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  11. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  12. Structural and optical studied of nano structured lead sulfide thin films prepared by the chemical bath deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Al Din, Nasser Saad, E-mail: nsaadaldin@yahoo.com; Hussain, Nabiha, E-mail: nabihahssin@yahoo.com [Damascus University Faculty of Science, Department of physics, Homs (Syrian Arab Republic); Jandow, Nidhal, E-mail: nidhaljandow@yahoo.com [Al –Mustansiriyah University, College of Education, Department of physics, Baghdad (Iraq)

    2016-07-25

    Lead (II) Sulfide PbS thin films were deposited on glass substrates at 25°C by chemical bath deposition (CBD) method. The structural properties of the films were studied as a function of the concentration of Thiourea (CS (NH{sub 2}){sub 2}) as Source of Sulfide and deposition time. The surface morphology of the films was characterized by X-ray diffraction and SEM. The obtained results showed that the as-deposited films Polycrystalline had cubic crystalline phase that belong to S.G: Fm3m. We found that they have preferred orientation [200]. Also the thickness of thin films decrease with deposition time after certain value and, it observed free sulfide had orthorhombic phase. Optical properties showed that the thin films have high transmission at visible range and low transmission at UV, IR range. The films of PbS have direct band gap (I.68 - 2.32 ev) at 300 K the values of band energy decreases with increases thickness of the Lead (II) Sulfide films.

  13. Influence of complexing agent (Na2EDTA on chemical bath deposited Cu4SnS4 thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-08-01

    Full Text Available The quality of thin film is influenced by the presence of complexing agents such as Na2EDTA. The Cu4SnS4 thin films were deposited onto indium tin oxide glass substrate by chemical bath deposition method. The structural, morphological and optical properties of the deposited films have been studied using X-ray diffraction, atomic force microscopy and UV-Vis spectrophotometer, respectively. The XRD data showed that the films have a polycrystalline and orthorhombic structure. It also indicated that the most intense peak at 2 θ = 30.2° which belongs to (221 plane of Cu4 SnS4. The film deposited with 0.05 M Na2 EDTA showed good uniformity, good surface coverage with bigger grains and produced higher absorbance value. The band gap energy varies with the variation of Na2EDTA concentration which ranging from 1.56-1.60 eV. Deposition at concentration of 0.05 M Na2EDTA proved to offer a reasonably good Cu4SnS4 thin film.

  14. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  15. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.

  16. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  17. Structural and optical properties of Ni-doped CdS thin films prepared by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Premarani, R. [Arumugam Pillai SeethaiAmmal College, Thiruppattur-630211 (India); Saravanakumar, S., E-mail: sarophy84@gmail.com; Chandramohan, R. [SreeSevuganAnnamalai College, Devakottai-630303 (India); Mahalingam, T. [Department of Electrical and Computer Engineering, Ajou University, Suwon 443-749 (Korea, Republic of)

    2015-06-24

    The structural and optical behavior of undoped Cadmiun Sulphide (CdS) and Ni-doped CdS thinfilms prepared by Chemical Bath Deposition (CBD) technique is reported. The crystallite sizes of the thinfilms have been characterized by X-ray diffraction pattern (XRD). The particle sizes increase with the increase of Ni content in the CdS thinfilms. Scanning Electron Microscope (SEM) results indicated that CdS thinfilms is made up of aggregate of spherical-like particles. The composition was estimated by Energy Dispersive Analysis of X-ray (EDX) and reported. Spectroscopic studies revealed considerable improvement in transmission and the band gap of the films changes with addition of Ni dopant that is associated with variation in crystallite sizes in the nano regime.

  18. Monatomic chemical-vapor-deposited graphene membranes bridge a half-millimeter-scale gap.

    Science.gov (United States)

    Lee, Choong-Kwang; Hwangbo, Yun; Kim, Sang-Min; Lee, Seoung-Ki; Lee, Seung-Mo; Kim, Seong-Su; Kim, Kwang-Seop; Lee, Hak-Joo; Choi, Byung-Ik; Song, Chang-Kyu; Ahn, Jong-Hyun; Kim, Jae-Hyun

    2014-03-25

    One of the main concerns in nanotechnology is the utilization of nanomaterials in macroscopic applications without losing their extreme properties. In an effort to bridge the gap between the nano- and macroscales, we propose a clever fabrication method, the inverted floating method (IFM), for preparing freestanding chemical-vapor-deposited (CVD) graphene membranes. These freestanding membranes were then successfully suspended over a gap a half-millimeter in diameter. To understand the working principle of IFM, high-speed photography and white light interferometry were used to characterize and analyze the deformation behaviors of the freestanding graphene membranes in contact with a liquid during fabrication. Some nanoscale configurations in the macroscopic graphene membranes were able to be characterized by simple optical microscopy. The proposed IFM is a powerful approach to investigating the macroscopic structures of CVD graphene and enables the exploitation of freestanding CVD graphene for device applications.

  19. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  20. Monolayer MoSe 2 Grown by Chemical Vapor Deposition for Fast Photodetection

    KAUST Repository

    Chang, Yung-Huang

    2014-08-26

    Monolayer molybdenum disulfide (MoS2) has become a promising building block in optoelectronics for its high photosensitivity. However, sulfur vacancies and other defects significantly affect the electrical and optoelectronic properties of monolayer MoS2 devices. Here, highly crystalline molybdenum diselenide (MoSe2) monolayers have been successfully synthesized by the chemical vapor deposition (CVD) method. Low-temperature photoluminescence comparison for MoS2 and MoSe 2 monolayers reveals that the MoSe2 monolayer shows a much weaker bound exciton peak; hence, the phototransistor based on MoSe2 presents a much faster response time (<25 ms) than the corresponding 30 s for the CVD MoS2 monolayer at room temperature in ambient conditions. The images obtained from transmission electron microscopy indicate that the MoSe exhibits fewer defects than MoS2. This work provides the fundamental understanding for the differences in optoelectronic behaviors between MoSe2 and MoS2 and is useful for guiding future designs in 2D material-based optoelectronic devices. © 2014 American Chemical Society.

  1. A Systematic Study of the Relationship among the Morphological, Structural and Photoelectrochemical Properties of ZnO Nanorods Grown Using the Microwave Chemical Bath Deposition Method

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Sungjin; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2017-08-15

    In this study, zinc oxide (ZnO) nanostructures were grown on a ZnO seed layer/fluorine-doped tin oxide (FTO) substrate for different growth durations ranging from 5 to 40 min using the microwave chemical bath deposition method. We studied the effect of growth duration on the morphological, structural, optical and photoelectrochemical properties of the ZnO nanostructures. From this study, we found that the photoelectrochemical properties of the ZnO nanostructures were largely affected by their morphological and structural properties. As a result, we obtained the highest photocurrent density of 0.46 mA/cm{sup 2} (at 1.5 V vs. SCE) from the sample grown for 30 min.

  2. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  3. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  4. A chemical bath deposition route to facet-controlled Ag{sub 3}PO{sub 4} thin films with improved visible light photocatalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Gunjakar, Jayavant L.; Jo, Yun Kyung; Kim, In Young; Lee, Jang Mee; Patil, Sharad B. [Department of Chemistry and Nanoscience, College of Natural Sciences, Ewha Womans University, Seoul 03760 (Korea, Republic of); Pyun, Jae-Chul [Department of Materials Science and Engineering, College of Engineering, Yonsei University, Seoul (Korea, Republic of); Hwang, Seong-Ju, E-mail: hwangsju@ewha.ac.kr [Department of Chemistry and Nanoscience, College of Natural Sciences, Ewha Womans University, Seoul 03760 (Korea, Republic of)

    2016-08-15

    A facile, economic, and reproducible chemical bath deposition (CBD) method is developed for the fabrication of facet-controlled Ag{sub 3}PO{sub 4} thin films with enhanced visible light photocatalytic activity. The fine-control of bath temperature, precursor, complexing agent, substrate, and solution pH is fairly crucial in preparing the facet-selective thin film of Ag{sub 3}PO{sub 4} nanocrystal. The change of precursor from silver nitrate to silver acetate makes possible the tailoring of the crystal shape of Ag{sub 3}PO{sub 4} from cube to rhombic dodecahedron and also the bandgap tuning of the deposited films. The control of [Ag{sup +}]/[phosphate] ratio enables to maximize the loading amount of Ag{sub 3}PO{sub 4} crystals per the unit area of the deposited film. All the fabricated Ag{sub 3}PO{sub 4} thin films show high photocatalytic activity for visible light-induced degradation of organic molecules, which can be optimized by tailoring the crystal shape of the deposited crystals. This CBD method is also useful in preparing the facet-controlled hybrid film of Ag{sub 3}PO{sub 4}–ZnO photocatalyst. The present study clearly demonstrates the usefulness of the present CBD method for fabricating facet-controlled thin films of metal oxosalt and its nanohybrid. - Highlights: • The crystal facet of Ag{sub 3}PO{sub 4} films can be tuned by chemical bath deposition. • The crystal shape of Ag{sub 3}PO{sub 4} is tailorable from cube to rhombic dodecahedron. • Facet-tuned Ag{sub 3}PO{sub 4} film shows enhanced visible light photocatalyst activity.

  5. Evaluation of the structural, optical and electrical properties of AZO thin films prepared by chemical bath deposition for optoelectronics

    Science.gov (United States)

    Kumar, K. Deva Arun; Valanarasu, S.; Rosario, S. Rex; Ganesh, V.; Shkir, Mohd.; Sreelatha, C. J.; AlFaify, S.

    2018-04-01

    Aluminum doped zinc oxide (AZO) thin films for electrode applications were deposited on glass substrates using chemical bath deposition (CBD) method. The influence of deposition time on the structural, morphological, and opto-electrical properties of AZO films were investigated. Structural studies confirmed that all the deposited films were hexagonal wurtzite structure with polycrystalline nature and exhibited (002) preferential orientation. There is no other impurity phases were detected for different deposition time. Surface morphological images shows the spherically shaped grains are uniformly arranged on to the entire film surface. The EDS spectrum confirms the presence of Zn, O and Al elements in deposited AZO film. The observed optical transmittance is high (87%) in the visible region, and the calculated band gap value is 3.27 eV. In this study, the transmittance value is decreased with increasing deposition time. The room temperature PL spectrum exposed that AZO thin film deposited at (60 min) has good optical quality with less defect density. The minimum electrical resistivity and maximum carrier concentration values were observed as 8.53 × 10-3(Ω cm) and 3.53 × 1018 cm-3 for 60 min deposited film, respectively. The obtained figure of merit (ϕ) value 3.05 × 10-3(Ω/sq)- 1 is suggested for an optoelectronic device.

  6. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  7. Raman Spectroscopic Study of Carbon Nanotubes Prepared Using Fe/ZnO-Palm Olein-Chemical Vapour Deposition

    Directory of Open Access Journals (Sweden)

    Syazwan Afif Mohd Zobir

    2012-01-01

    Full Text Available Multiwalled carbon nanotubes (MWCNTs were synthesized using Fe/ZnO catalyst by a dual-furnace thermal chemical vapor deposition (CVD method at 800–1000°C using nitrogen gas with a constant flow rate of 150 sccm/min as a gas carrier. Palm olein (PO, ferrocene in the presence of 0.05 M zinc nitrate, and a p-type silicon wafer were used as carbon source, catalyst precursor, and sample target, respectively. D, G, and G′ bands were observed at 1336–1364, 1559–1680, and 2667–2682 cm-1, respectively. Carbon nanotubes (CNTs with the highest degree of crystallinity were obtained at around 8000°C, and the smallest diameter of about 2 nm was deposited on the silicon substrate at 1000°C.

  8. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  9. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    Science.gov (United States)

    Engelbrecht, Johann P.; Stenchikov, Georgiy; Jish Prakash, P.; Lersch, Traci; Anisimov, Anatolii; Shevchenko, Illia

    2017-09-01

    Mineral dust is the most abundant aerosol, having a profound impact on the global energy budget. This research continues our previous studies performed on surface soils in the Arabian Peninsula, focusing on the mineralogical, physical and chemical composition of dust deposits from the atmosphere at the Arabian Red Sea coast. For this purpose, aerosols deposited from the atmosphere are collected during 2015 at six sites on the campus of the King Abdullah University of Science and Technology (KAUST) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period December 2014 to December 2015. The average deposition rate measured at KAUST for this period was 14 g m-2 per month, with lowest values in winter and increased deposition rates in August to October. The particle size distributions provide assessments of particle size fractions in the dust deposits.X-ray diffraction (XRD) analysis of a subset of samples confirms variable amounts of quartz, feldspars, micas, and halite, with lesser amounts of gypsum, calcite, dolomite, hematite, and amphibole. Freeze-dried samples were re-suspended onto the Teflon® filters for elemental analysis by X-ray fluorescence (XRF), while splits from each sample were analyzed for water-soluble cations and anions by ion chromatography. The dust deposits along the Red Sea coast are considered to be a mixture of dust emissions from local soils and soils imported from distal dust sources. Airborne mineral concentrations are greatest at or close to dust sources, compared to those through medium- and long-range transport. It is not possible to identify the exact origin of deposition samples from the mineralogical and chemical results alone. These aerosol data are the first of their kind from the Red Sea region. They will help assess their potential

  10. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  11. Assessment of the effectiveness of uranium deposit searching methods

    International Nuclear Information System (INIS)

    Suran, J.

    1998-01-01

    The following groups of uranium deposit searching methods are described: radiometric review of foreign work; aerial radiometric survey; automobile radiometric survey; emanation survey up to 1 m; emanation survey up to 2 m; ground radiometric survey; radiometric survey in pits; deep radiometric survey; combination of the above methods; and other methods (drilling survey). For vein-type deposits, the majority of Czech deposits were discovered in 1945-1965 by radiometric review of foreign work, automobile radiometric survey, and emanation survey up to 1 m. The first significant indications of sandstone type uranium deposits were observed in the mid-1960 by aerial radiometric survey and confirmed later by drilling. (P.A.)

  12. Large-scale Fabrication of 2D Materials by Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay

    . This thesis aims to address some of the challenges associated with materials fabrication in order to lay the groundwork for commercial implementation of 2D materials. To improve graphene implementation in electronic applications, copper catalyst foils were engineered to reduce surface roughness, wrinkles...... this vast range of materials - without the lattice mismatch constraints of conventional 3D materials - into atomically engineered, artificial 3D crystals that pave the way for new physics, and subsequently, for new applications. 2D materials are expected to disrupt a number of industries in the future......, such as electronics, displays, energy, and catalysis. The key bottleneck for commercial implementation is in large-scale synthesis and subsequent fabrication of high quality devices. Chemical vapor deposition is considered to be the most economically feasible synthesis method to this end. In the case of graphene...

  13. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  14. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  15. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  16. Ballistic transport in graphene grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K.; Zhu, Shou-En; Janssen, G. C. A. M.; Watanabe, K.; Taniguchi, T.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene

  17. Ballistic transport in graphene grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K., E-mail: l.m.k.vandersypen@tudelft.nl [Kavli Institute of Nanoscience, Delft University of Technology, 2600 GA Delft (Netherlands); Zhu, Shou-En; Janssen, G. C. A. M. [Micro and Nano Engineering Laboratory, Precision and Microsystems Engineering, Delft University of Technology, 2628 CD Delft (Netherlands); Watanabe, K.; Taniguchi, T. [Advanced Materials Laboratory, National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2014-01-13

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.

  18. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  19. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  20. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  1. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  2. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    KAUST Repository

    Engelbrecht, Johann

    2017-09-27

    Mineral dust is the most abundant aerosol, having a profound impact on the global energy budget. This research continues our previous studies performed on surface soils in the Arabian Peninsula, focusing on the mineralogical, physical and chemical composition of dust deposits from the atmosphere at the Arabian Red Sea coast. For this purpose, aerosols deposited from the atmosphere are collected during 2015 at six sites on the campus of the King Abdullah University of Science and Technology (KAUST) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period December 2014 to December 2015. The average deposition rate measured at KAUST for this period was 14 g m−2 per month, with lowest values in winter and increased deposition rates in August to October. The particle size distributions provide assessments of  < 10 and  < 2.5 µm dust deposition rates, and it is suggested that these represent proxies for PM10 (coarse) and PM2. 5 (fine) particle size fractions in the dust deposits. X-ray diffraction (XRD) analysis of a subset of samples confirms variable amounts of quartz, feldspars, micas, and halite, with lesser amounts of gypsum, calcite, dolomite, hematite, and amphibole. Freeze-dried samples were re-suspended onto the Teflon® filters for elemental analysis by X-ray fluorescence (XRF), while splits from each sample were analyzed for water-soluble cations and anions by ion chromatography. The dust deposits along the Red Sea coast are considered to be a mixture of dust emissions from local soils and soils imported from distal dust sources. Airborne mineral concentrations are greatest at or close to dust sources, compared to those through medium- and long-range transport. It is not possible to identify the exact origin of deposition samples from the

  3. Deposit and scale prevention methods in thermal sea water desalination

    International Nuclear Information System (INIS)

    Froehner, K.R.

    1977-01-01

    Introductory remarks deal with the 'fouling factor' and its influence on the overall heat transfer coefficient of msf evaporators. The composition of the matter dissolved in sea water and the thermal and chemical properties lead to formation of alkaline scale or even hard, sulphate scale on the heat exchanger tube walls and can hamper plant operation and economics seriously. Among the scale prevention methods are 1) pH control by acid dosing (decarbonation), 2) 'threshold treatment' by dosing of inhibitors of different kind, 3) mechanical cleaning by sponge rubber balls guided through the heat exchanger tubes, in general combined with methods no. 1 or 2, and 4) application of a scale crystals germ slurry (seeding). Mention is made of several other scale prevention proposals. The problems encountered with marine life (suspension, deposit, growth) in desalination plants are touched. (orig.) [de

  4. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  5. Chemical solution deposition of YBCO thin film by different polymer additives

    International Nuclear Information System (INIS)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y.; Zhang, H.; Yang, Y.; Cheng, C.H.; Zhao, Y.

    2008-01-01

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T c = 90 K as well as high J c (0 T, 77 K) over 3 MA/cm 2

  6. Chemical solution deposition of YBCO thin film by different polymer additives

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Zhang, H. [Department of Physics, Peking University, Beijing 100871 (China); Yang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia); Zhao, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia)], E-mail: yzhao@swjtu.edu.cn

    2008-09-15

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T{sub c} = 90 K as well as high J{sub c} (0 T, 77 K) over 3 MA/cm{sup 2}.

  7. Simple method to transfer graphene from metallic catalytic substrates to flexible surfaces without chemical etching

    International Nuclear Information System (INIS)

    Ko, P J; Takahashi, H; Sakai, H; Thu, T V; Okada, H; Sandhu, A; Koide, S

    2013-01-01

    Graphene shows promise for applications in flexible electronics. Here, we describe our procedure to transfer graphene grown on copper substrates by chemical vapor deposition to polydimethylsiloxane (PDMS) and SiO 2 /Si surfaces. The transfer of graphene was achieved by a simple, etching-free method onto flexible PDMS substrates.

  8. YBa2Cu3O7-x thin films prepared by chemical solution deposition

    International Nuclear Information System (INIS)

    Apetrii, Claudia

    2009-01-01

    The discovery of superconductivity in ceramic materials by Bednorz and Mueller in early 1987, immediately followed by Wu et al., who showed that YBa 2 Cu 3 O 7-x (YBCO) becomes superconducting (92 K) well above the boiling point of nitrogen (77 K) created a great excitement in superconductivity research. Potential applications of high T c -superconductors require large critical currents and high-applied magnetic fields. Effective ways to increase the critical current density at high magnetic fields in YBCO are the introduction of nanoparticles and chemical substitution of yttrium by other rare earth elements. Since low costs and environmental compatibility are essential conditions for the preparation of long length YBCO films, the cost effective chemical solution deposition (CSD) procedure was selected, given that no vacuum technology is required. To reveal the flexibility and the good optimization possibilities of the CSD approach two main processes were chosen for comparison: a fluorine-free method, namely the polymer-metal precursor technique, and a fluorine-based method, the metalorganic deposition (MOD) using the trifluoroacetates (TFA) technique. Sharp transition temperature widths ΔT c of 1.1 K for the polymer metal method, 0.8 K for TFA method and critical current densities J c of ∼3.5 MA/cm 2 shows that high quality YBCO thin films can be produced using both techniques. Especially interesting is the magnetic field dependence of the critical current density J c (B) of the Y(Dy)BCO (80 %) films showing that for the lower magnetic fields the critical current density J c (B) is higher for a standard YBCO film, but at fields higher than 4.5 T the critical current density J c (B) of Y(Dy)BCO is larger than that for the YBCO. Above 8 T, J c (B) of the Y(Dy)BCO film is more than one order of magnitude higher than in pure YBCO film. (orig.)

  9. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  10. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Laser-induced chemical liquid deposition of discontinuous and continuous copper films

    Czech Academy of Sciences Publication Activity Database

    Ouchi, A.; Bastl, Zdeněk; Boháček, Jaroslav; Šubrt, Jan; Pola, Josef

    2007-01-01

    Roč. 201, č. 8 (2007), s. 4728-4733 ISSN 0257-8972 R&D Projects: GA AV ČR 1ET400400413 Institutional research plan: CEZ:AV0Z40400503; CEZ:AV0Z40320502; CEZ:AV0Z40720504 Keywords : copper films * laser photolysis * Cu(II) acetylacetonate * chemical liquid deposition Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 1.678, year: 2007

  13. Ammonia release method for depositing metal oxides

    Energy Technology Data Exchange (ETDEWEB)

    Silver, G.L.; Martin, F.S.

    1993-12-31

    A method of depositing metal oxides on substrates which is indifferent to the electrochemical properties of the substrates and which comprises forming ammine complexes containing metal ions and thereafter effecting removal of ammonia from the ammine complexes so as to permit slow precipitation and deposition of metal oxide on the substrates.

  14. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  15. Improving the photovoltaic parameters in Quantum dot sensitized solar cells through employment of chemically deposited compact titania blocking layer

    Energy Technology Data Exchange (ETDEWEB)

    Rajendra Prasad, M.B., E-mail: rajendraprasadmb75@gmail.com [Advanced Physics Laboratory, Department of Physics, SavitibaiPhule Pune University, Pune, 411007 (India); National Defence Academy, Khadakwasla, Pune, 411023 (India); Kadam, Vishal [Advanced Physics Laboratory, Department of Physics, SavitibaiPhule Pune University, Pune, 411007 (India); Joo, Oh-Shim [Korea Institute of Science and Technology, PO Box No. 131, Chongryang, Seoul, 130-650 (Korea, Republic of); Pathan, Habib M. [Advanced Physics Laboratory, Department of Physics, SavitibaiPhule Pune University, Pune, 411007 (India)

    2017-06-15

    Incorporation of compact blocking layer at the Transparent Conducting Oxide (TCO)/Electrolyte interface is an effective method to improve the device performance in QDSSC through mitigation of electron recombinations at this interface. This paper reports the most facile and cost effective method of depositing a rutile titania Compact Layer (CL) over Fluorine doped Tin Oxide (FTO) substrate and its application in titania based CdS QD sensitized solar cells. The deposited compact layers are characterized to study their structural, optical, morphological and electrochemical properties using X-Ray Diffractometry, UV–Visible spectroscopy, Scanning electron microscopy, Cyclic Voltammetry and Contact Angle measurements. Sandwich solar cells are fabricated using these CL based electrodes and characterized using Electrochemical Impedance Spectroscopy, Open Circuit Voltage Decay and J-V characteristics. The CL incorporated CdS QDSSC showed more than 100% increase in the photoconversion efficiency (1.68%) as compared to its bare FTO counterpart (0.73%) proving the efficacy of employed strategy. - Highlights: • Deposited titania compact layer by a facile room temperature chemical bath method. • Employed this to mitigate back electron transfer at TCO/Electrolyte interface. • Compact layer incorporation has improved the solar cell performance by 130%.

  16. Photoluminescence study of aligned ZnO nanorods grown using chemical bath deposition

    International Nuclear Information System (INIS)

    Urgessa, Z.N.; Oluwafemi, O.S.; Dangbegnon, J.K.; Botha, J.R.

    2012-01-01

    The photoluminescence study of self-assembled ZnO nanorods grown on a pre-treated Si substrate by a simple chemical bath deposition method at a temperature of 80 °C is hereby reported. By annealing in O 2 environment the UV emission is enhanced with diminishing deep level emission suggesting that most of the deep level emission is due to oxygen vacancies. The photoluminescence was investigated from 10 K to room temperature. The low temperature photoluminescence spectrum is dominated by donor-bound exciton. The activation energy and binding energy of shallow donors giving rise to bound exciton emission were calculated to be around 13.2 meV, 46 meV, respectively. Depending on these energy values and nature of growth environment, hydrogen is suggested to be the possible contaminating element acting as a donor.

  17. Prospects of chemically deposited CoS-CU2S coatings for solar ...

    African Journals Online (AJOL)

    The thin films of Cu2S deposited on CoS-precoated glass substrates from chemical baths and annealed at 100oC were found to have desirable solar control characteristics superior to commercial tinted glass and magnetron sputtered multilayer metallic solar control coatings. These include: transmission spectra in the ...

  18. Microstructural and conductivity changes induced by annealing of ZnO:B thin films deposited by chemical vapour deposition

    International Nuclear Information System (INIS)

    David, C; Girardeau, T; Paumier, F; Eyidi, D; Guerin, P; Marteau, M; Lacroix, B; Papathanasiou, N; Tinkham, B P

    2011-01-01

    Zinc oxide (ZnO) thin films have attracted much attention in recent years due to progress in crystal growth for a large variety of technological applications including optoelectronics and transparent electrodes in solar cells. Boron (B)-doped ZnO thin films are deposited by low pressure chemical vapour deposition (LPCVD) on Si(100). These films exhibit a strong (002) texture with a pyramidal grain structure. The ZnO films were annealed after growth; the annealing temperature and the atmosphere appear to strongly impact the layer conductivity. This work will first present the modification of the physical properties (carrier concentration, mobility) extracted from the simulation of layer reflection in the infrared range. At low annealing temperatures the mobility increases slightly before decreasing drastically above a temperature close to 250 deg. C. The chemical and structural evolution (XPS, x-ray diffraction) of the films was also studied to identify the relationship between microstructural modifications and the variations observed in the film conductivity. An in situ XRD study during annealing has been performed under air and low pressure conditions. As observed for electrical properties, the microstructural modifications shift to higher temperatures for vacuum annealing.

  19. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  20. Selenization of CIS and CIGS layers deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Babu, B. J.; Egaas, B.; Velumani, S.

    2018-03-21

    Cu(In1-xGax)Se2 (CIGS) thin films with x=0 (CIS) and x=0.3 (CIGS) were prepared on Mo-coated glass substrate by using chemical spray pyrolysis at a substrate temperature of 350 degrees C, followed by selenization treatment at 550 degrees C in selenium environment under N2 gas flow. X-ray diffraction patterns of as-deposited CIGS layers on Mo showed polycrystalline chalcopyrite phase with an intense (112) plane. Splitting of (204)/(220) and (116)/(312) planes for the film with x=0.3 reveals deviation of tetragonal nature. Field emission scanning electron microscopy cross-sectional images of selenized films showed clear re-crystallization of grains. During the selenization process of the CIGS absorber, a thin interface layer of MoSe2 is formed. Line mapping of Mo/CIGS layer showed more gallium segregation at the interface of back contact resulting in band gap grading. Chemical composition and mapping of the as-deposited and selenized samples were determined by energy dispersive analysis of X-rays. This work leads to fabrication of low cost and large scale Mo/CIGS/CdS/ZnO/ZnO:Al device structure.

  1. Superconducting Dy1-x(Gd,Yb)xBa2Cu3O7-δ thin films made by Chemical Solution Deposition

    DEFF Research Database (Denmark)

    Opata, Yuri Aparecido; Wulff, Anders Christian; Hansen, Jørn Otto Bindslev

    2016-01-01

    Dy1-x(Gd or Yb)xBa2Cu3O7-δ samples were prepared using chemical solution deposition (CSD), based on trifluoroacetate metal-organic decomposition (MOD) methods. X-ray diffraction results demonstrated the formation of the RE123 superconducting phase with a strong in-plane and out-of-plane texture. c...

  2. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  3. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  4. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  5. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  6. Processing method for chemical cleaning liquid on the secondary side of steam generator

    International Nuclear Information System (INIS)

    Nishihara, Yukio; Inagaki, Yuzo.

    1993-01-01

    Upon processing nitrilotriacetate (NTA), Fe liquid wastes mainly comprising Fe and Cu liquid wastes mainly comprising ethylene diamine and Cu generated upon chemical cleaning on the secondary side of a steam generator, pH of the Fe liquid wastes is lowered to deposit and separate NTA. Then, Fe ions in a filtrates are deposited on a cathode by electrolysis, as well as remaining NTA is decomposed by oxidation at an anode by O 2 gas. Cu liquid wastes are reacted with naphthalene disulfate and Ba ions and the reaction products are separated by deposition as sludges. Remaining Cu ions in the filtrates are deposited on the cathode by electrolysis. With such procedures, concentration of COD(NTA), Fe ions and Cu ions can greatly be reduced. Further, since capacity of the device can easily be increased in this method, a great amount of liquid wastes can be processed in a relatively short period of time. (T.M.)

  7. Effect of deposition temperature on the structural and optical properties of CdSe QDs thin films deposited by CBD method

    International Nuclear Information System (INIS)

    Laatar, F.; Harizi, A.; Smida, A.; Hassen, M.; Ezzaouia, H.

    2016-01-01

    Highlights: • Synthesis of CdSe QDs with L-Cysteine capping agent for applications in nanodevices. • The films of CdSe QDs present uniform and good dispersive particles at the surface. • Effect of bath temperature on the structural and optical properties of CdSe QDs thin films. • Investigation of the optical constants and dispersion parameters of CdSe QDs thin films. - Abstract: Cadmium selenide quantum dots (CdSe QDs) thin films were deposited onto glass substrates by a chemical bath deposition (CBD) method at different temperatures from an aqueous solution containing L-Cysteine (L-Cys) as capping agent. The evolution of the surface morphology and elemental composition of the CdSe films were studied by AFM, SEM, and EDX analyses. Structural and optical properties of CdSe thin films were investigated by XRD, UV–vis and PL spectroscopy. The dispersion behavior of the refractive index is described using the single oscillator Wemple-DiDomenico (W-D) model, and the physical dispersion parameters are calculated as a function of deposition temperature. The dispersive optical parameters such as average oscillator energy (E_o), dispersion energy (E_d), and static refractive index (n_o) were found to vary with the deposition temperature. Besides, the electrical free carrier susceptibility (χ_e) and the carrier concentration of the effective mass ratio (N/m*) were evaluated according to the Spitzer-Fan model.

  8. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  9. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  10. Catalyst Design Using Nanoporous Iron for the Chemical Vapor Deposition Synthesis of Single-Walled Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Tarek M. Abdel-Fattah

    2013-01-01

    Full Text Available Single-walled carbon nanotubes (SWNTs have been synthesized via a novel chemical vapor deposition (CVD approach utilizing nanoporous, iron-supported catalysts. Stable aqueous dispersions of the CVD-grown nanotubes using an anionic surfactant were also obtained. The properties of the as-produced SWNTs were characterized through atomic force microscopy and Raman spectroscopy and compared with purified SWNTs produced via the high-pressure CO (HiPCO method as a reference, and the nanotubes were observed with greater lengths than those of similarly processed HiPCO SWNTs.

  11. On the structure, morphology, and optical properties of chemical bath deposited Sb2S3 thin films

    International Nuclear Information System (INIS)

    Krishnan, B.; Arato, A.; Cardenas, E.; Roy, T.K. Das; Castillo, G.A.

    2008-01-01

    In the present paper, we have reported the room temperature growth of antimony sulphide (Sb 2 S 3 ) thin films by chemical bath deposition and detailed characterization of these films. The films were deposited from a chemical bath containing SbCl 3 and Na 2 S 2 O 3 at 27 deg. C. We have analysed the structure, morphology, composition and optical properties of as deposited Sb 2 S 3 films as well as those subjected to annealing in nitrogen atmosphere or in air. As-deposited films are amorphous to X-ray diffraction (XRD). However, the diffused rings in the electron diffraction pattern revealed the existence of nanocrystalline grains in these films. XRD analysis showed that upon annealing in nitrogen atmosphere these films transformed into polycrystalline with orthorhombic structure. Also, we have observed that during heating in air, Sb 2 S 3 first converts into orthorhombic form and then further heating results in the formation of Sb 2 O 3 crystallites. Optical bandgap energy of as deposited and annealed films was evaluated from UV-vis absorption spectra. The values obtained were 2.57 and 1.73 eV for the as-deposited and the annealed films respectively

  12. Deposition and reentrainment of Brownian particles in porous media under unfavorable chemical conditions: some concepts and applications.

    Science.gov (United States)

    Hahn, Melinda W; O'Meliae, Charles R

    2004-01-01

    The deposition and reentrainment of particles in porous media have been examined theoretically and experimentally. A Brownian Dynamics/Monte Carlo (MC/BD) model has been developed that simulates the movement of Brownian particles near a collector under "unfavorable" chemical conditions and allows deposition in primary and secondary minima. A simple Maxwell approach has been used to estimate particle attachment efficiency by assuming deposition in the secondary minimum and calculating the probability of reentrainment. The MC/BD simulations and the Maxwell calculations support an alternative view of the deposition and reentrainment of Brownian particles under unfavorable chemical conditions. These calculations indicate that deposition into and subsequent release from secondary minima can explain reported discrepancies between classic model predictions that assume irreversible deposition in a primary well and experimentally determined deposition efficiencies that are orders of magnitude larger than Interaction Force Boundary Layer (IFBL) predictions. The commonly used IFBL model, for example, is based on the notion of transport over an energy barrier into the primary well and does not address contributions of secondary minimum deposition. A simple Maxwell model based on deposition into and reentrainment from secondary minima is much more accurate in predicting deposition rates for column experiments at low ionic strengths. It also greatly reduces the substantial particle size effects inherent in IFBL models, wherein particle attachment rates are predicted to decrease significantly with increasing particle size. This view is consistent with recent work by others addressing the composition and structure of the first few nanometers at solid-water interfaces including research on modeling water at solid-liquid interfaces, surface speciation, interfacial force measurements, and the rheological properties of concentrated suspensions. It follows that deposition under these

  13. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  14. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  15. Transparent conducting oxide films of group V doped titania prepared by aqueous chemical solution deposition

    International Nuclear Information System (INIS)

    Elen, Ken; Capon, Boris; De Dobbelaere, Christopher; Dewulf, Daan; Peys, Nick; Detavernier, Christophe; Hardy, An; Van Bael, Marlies K.

    2014-01-01

    Transparent conducting oxide (TCO) films of titania doped with vanadium (V), niobium (Nb) and tantalum (Ta) are obtained by aqueous Chemical Solution Deposition (CSD). The effect of the dopant on the crystallization and microstructure of the resulting films is examined by means of X-ray diffraction and electron microscopy. During annealing of the thin films, in-situ characterization of the crystal structure and sheet resistance is carried out. Niobium doped anatase films, obtained after annealing in forming gas, show a resistivity of 0,28 Ohm cm, which is the lowest resistivity reported for a solution deposited anatase-based TCO so far. Here, we demonstrate that aqueous CSD may provide a strategy for scalable TCO production in the future. - Highlights: • Aqueous chemical solution deposition of doped titanium dioxide • Doping delays the phase transition from anatase to rutile • Lowest resistivity after doping with niobium and annealing in Forming Gas • Transparency higher than 80% in the visible range of optical spectrum

  16. Transparent conducting oxide films of group V doped titania prepared by aqueous chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Elen, Ken [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Strategisch Initiatief Materialen (SIM), SoPPoM Program (Belgium); Capon, Boris [Strategisch Initiatief Materialen (SIM), SoPPoM Programm (Belgium); Coating and Contacting of Nanostructures, Ghent University, Krijgslaan 281 S1, B-9000 Ghent (Belgium); De Dobbelaere, Christopher [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Dewulf, Daan [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Peys, Nick [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw, Kapeldreef 75, B-3001 Heverlee (Belgium); Detavernier, Christophe [Coating and Contacting of Nanostructures, Ghent University, Krijgslaan 281 S1, B-9000 Ghent (Belgium); Hardy, An [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Van Bael, Marlies K., E-mail: marlies.vanbael@uhasselt.be [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium)

    2014-03-31

    Transparent conducting oxide (TCO) films of titania doped with vanadium (V), niobium (Nb) and tantalum (Ta) are obtained by aqueous Chemical Solution Deposition (CSD). The effect of the dopant on the crystallization and microstructure of the resulting films is examined by means of X-ray diffraction and electron microscopy. During annealing of the thin films, in-situ characterization of the crystal structure and sheet resistance is carried out. Niobium doped anatase films, obtained after annealing in forming gas, show a resistivity of 0,28 Ohm cm, which is the lowest resistivity reported for a solution deposited anatase-based TCO so far. Here, we demonstrate that aqueous CSD may provide a strategy for scalable TCO production in the future. - Highlights: • Aqueous chemical solution deposition of doped titanium dioxide • Doping delays the phase transition from anatase to rutile • Lowest resistivity after doping with niobium and annealing in Forming Gas • Transparency higher than 80% in the visible range of optical spectrum.

  17. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  18. Influence of Codoping on the Optical Properties of ZnO Thin Films Synthesized on Glass Substrate by Chemical Bath Deposition Method

    Directory of Open Access Journals (Sweden)

    G. Shanmuganathan

    2014-01-01

    Full Text Available Fe and K simultaneously doped ZnO thin films Zn0.99 K0.01 (Fex O (x=1, 2, 3, and 4% were synthesized by chemical bath deposition method. The XRD investigation reveals that all the doped ZnO thin films are in hexagonal wurtzite crystal structure without impurity phases. With increase in Fe concentration, the growth of thin films along c axis is evident from the XRD which indicates the increase in intensity along (002 direction. The same is visible from the surface morphology which shows the formation of hexagonal structure for higher Fe concentration. The topography shows gradual variation with Fe incorporation. The optical energy band gap obtained from the transmittance spectrum decreases from 3.42 to 3.06 eV with increase in Fe concentration indicating the red shift and this trend is consistent with the earlier experimental results. The UV emission is centered around 3.59 eV. The optical constants such as refractive index, extinction coefficient, and absorption coefficient which are essential for the optoelectronic applications were also determined.

  19. The Effect of Alumina and Magnesia Supported Germanium Nanoparticles on the Growth of Carbon Nanotubes in the Chemical Vapor Deposition Method

    Directory of Open Access Journals (Sweden)

    Ghazaleh Allaedini

    2015-01-01

    Full Text Available The effect of alumina and magnesia supported germanium (Ge nanoparticles on the synthesis of carbon nanotubes (CNTs using the chemical vapor deposition (CVD method in atmospheric pressure was investigated. The TEM micrographs confirmed the formation of carbon nanotubes, and the field emission scanning electron microscopy (FESEM analysis suggested a tip-growth mechanism for the grown carbon nanotubes. The X-ray diffraction (XRD pattern indicated a graphitic nature of the carbon nanotubes. The obtained CNTs using Ge nanoparticles supported by MgO resulted in a higher degree of graphitization than the CNTs obtained using Ge nanoparticles supported by Al2O3. Raman spectroscopy analysis of the CNTs confirmed the presence of radial breathing modes (RBM, which verified the formation of CNTs. High frequency Raman analysis demonstrated that the degree of graphitization of the synthesized CNTs using magnesia supported Ge nanoparticles is higher than that of the alumina supported Ge nanoparticles with the values of (ID/IG ratios equal to 0.45 and 0.73, respectively.

  20. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  1. Zinc Sulfide Buffer Layer for CIGS Solar Cells Prepared by Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Rui-Wei You

    2016-11-01

    Full Text Available In this study, ZnS thin films were successfully synthesized by chemical bath deposition (CBD with starting materials of NH2-NH2, SC(NH22, and ZnSO4‧7H2O. ZnS thin films were deposited with different time on glass substrates by CBD at 80oC and pH=9. Based on X-ray diffraction (XRD patterns, it is found that the ZnS thin films exhibit cubic polycrystalline phase. It was found that the optimum deposition time is 90 min for preparing ZnS thin film that is suitable as buffer layer for CuIn1-xGaxSe2 solar cells. The thin film deposited for 90 min has high transmittance up to 80% in the spectra range from 350 nm to 800 nm, and the optical band gap is about 3.59 eV.

  2. Effect of chemical treatment on surface characteristics of sputter deposited Ti-rich NiTi shape memory alloy thin-films

    International Nuclear Information System (INIS)

    Sharma, S.K.; Mohan, S.

    2014-01-01

    Graphical abstract: FTIR spectra recorded for sputter deposited (a) untreated and (b) chemically treated NiTi SMA thin-films. - Highlights: • The effect of chemical treatment on surface properties of NiTi films demonstrated. • Chemically treated films offer strong ability to form protective TiO 2 layer. • TiO 2 layer formation offer great application prospects in biomedical fields. - Abstract: NiTi thin-films were deposited by DC magnetron sputtering from single alloy target (Ni/Ti:45/55 at.%). The rate of deposition and thickness of sputter deposited films were maintained to ∼35 nm min −1 and 4 μm respectively. A set of sputter deposited NiTi films were selected for specific chemical treatment with the solution comprising of de-ionized water, HF and HNO 3 respectively. The influence of chemical treatment on surface characteristics of NiTi films before and after chemical treatment was investigated for their structure, micro-structure and composition using different analytical techniques. Prior to chemical treatment, the composition of NiTi films using energy dispersive X-ray dispersive spectroscopy (EDS), were found to be 51.8 atomic percent of Ti and 48.2 atomic percent of Ni. The structure and morphology of these films were investigated by X-ray diffraction (XRD) and scanning electron microscopy (SEM). XRD investigations, demonstrated the presence of dominant Austenite (1 1 0) phase along with Martensite phase, for untreated NiTi films whereas some additional diffraction peaks viz. (1 0 0), (1 0 1), and (2 0 0) corresponding to Rutile and Anatase phase of Titanium dioxide (TiO 2 ) along with parent Austenite (1 1 0) phase were observed for chemically treated NiTi films. FTIR studies, it can be concluded that chemically treated films have higher tendency to form metal oxide/hydroxide than the untreated NiTi films. XPS investigations, demonstrated the presence of Ni-free surface and formation of a protective metal oxide (TiO 2 ) layer on the surface of

  3. Cr{sub 2}O{sub 3} thin films grown at room temperature by low pressure laser chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, P.M. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal); Silvestre, A.J., E-mail: asilvestre@deq.isel.ipl.p [Instituto Superior de Engenharia de Lisboa and ICEMS, R. Conselheiro Emidio Navarro 1, 1959-007 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal)

    2011-03-31

    Chromia (Cr{sub 2}O{sub 3}) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr{sub 2}O{sub 3} films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr{sub 2}O{sub 3} onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO){sub 6} as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm{sup -2} and a partial pressure ratio of O{sub 2} to Cr(CO){sub 6} of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s{sup -1} and mean particle sizes of 1.85 {mu}m were measured for these films.

  4. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  5. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  6. Raman Spectroscopic Study of Carbon Nano tubes Prepared Using Fe/ZnO-Palm Olein-Chemical Vapour Deposition Syazwan

    International Nuclear Information System (INIS)

    Zobir, A.M.; Abdullah, S.; Rusop, M.; Abdullah, S.; Abu Bakar, S.; Zainal, Z.; Sarijo, S.H.; Rusop, M.

    2012-01-01

    Multi walled carbon nano tubes (MWCNTs) were synthesized using Fe/ZnO catalyst by a dual-furnace thermal chemical vapor deposition (CVD) method at 800-1000 degree C using nitrogen gas with a constant flow rate of 150 sccm/min as a gas carrier. Palm olein (PO), ferrocene in the presence of 0.05 M zinc nitrate, and a p-type silicon wafer were used as carbon source, catalyst precursor, and sample target, respectively. D, G, and G' bands were observed at 1336-1364, 1559-1680, and 2667-2682 cm -1 , respectively. Carbon nano tubes (CNTs) with the highest degree of crystallinity were obtained at around 8000 degree C, and the smallest diameter of about 2 nm was deposited on the silicon substrate at 1000 degree C.

  7. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  8. Strain and Structure Heterogeneity in MoS2 Atomic Layers Grown by Chemical Vapour Deposition

    Science.gov (United States)

    2014-11-18

    cture heterogeneity in MoS2 atomiclayers grown by chemical vapour deposition 6. AUTHORS Zheng Liu, Matin Amani, Sina Najmaei, Quan Xu, Xiaolong Zou...deposition Zheng Liu1•2•3·*, Matin Amani4·*, Sina Najmaei5·*, Quan Xu6•7, Xiaolong Zou5, Wu Zhou8, Ting Yu9, Caiyu Qiu9, A Glen Birdwell4, Frank J. Crowne4

  9. Influence of variation in the concentration of ammonium hydroxide on the size of ZnO crystal obtained by Microwave Chemical Bath Deposition

    International Nuclear Information System (INIS)

    Galeazzi, R; Díaz, T; García, G; Rivera, B L; Rosendo, E; López, R; Morales, N; González, C M

    2013-01-01

    Films of good crystalline quality of ZnO were successfully prepared using the microwave chemical bath deposition method at a temperature of 80 °C. Concentration of the basic precursor was varied systematically in order to obtain different degrees of acidity in the precursor solutions. Increasing the pH causes an increase in yield. This increase is reflected on the thickness of the deposit. The results of atomic force microscopy (AFM) show an increase in particle size with increasing pH in agreement with the results obtained by profilometry.

  10. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  11. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  12. Biocompatibility of Titania Nanotube Coatings Enriched with Silver Nanograins by Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Piotr Piszczek

    2017-09-01

    Full Text Available Bioactivity investigations of titania nanotube (TNT coatings enriched with silver nanograins (TNT/Ag have been carried out. TNT/Ag nanocomposite materials were produced by combining the electrochemical anodization and chemical vapor deposition methods. Fabricated coatings were characterized by scanning electron microscopy (SEM, X-ray photoelectron spectroscopy (XPS, and Raman spectroscopy. The release effect of silver ions from TNT/Ag composites immersed in bodily fluids, has been studied using inductively coupled plasma mass spectrometry (ICP-MS. The metabolic activity assay (MTT was applied to determine the L929 murine fibroblasts adhesion and proliferation on the surface of TNT/Ag coatings. Moreover, the results of immunoassays (using peripheral blood mononuclear cells—PBMCs isolated from rats allowed the estimation of the immunological activity of TNT/Ag surface materials. Antibacterial activity of TNT/Ag coatings with different morphological and structural features was estimated against two Staphylococcus aureus strains (ATCC 29213 and H9. The TNT/Ag nanocomposite layers produced revealed a good biocompatibility promoting the fibroblast adhesion and proliferation. A desirable anti-biofilm activity against the S. aureus reference strain was mainly noticed for these TiO2 nanotube coatings, which contain dispersed Ag nanograins deposited on their surface.

  13. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  14. Bath parameter dependence of chemically deposited Copper Selenide thin film

    International Nuclear Information System (INIS)

    Al-Mamun; Islam, A.B.M.O.

    2004-09-01

    In this article, a low cost chemical bath deposition (CBD) technique has been used for the preparation Of Cu 2-x Se thin films on to glass substrate. Different thin fms (0.2-0.6/μm) were prepared by adjusting the bath parameter like concentration of ammonia, deposition time, temperature of the solution, and the ratios of the mixing composition between copper and selenium in the reaction bath. From these studies, it reveals that at low concentration of ammonia or TEA, the terminal thicknesses of the films are less, which gradually increases with the increase of concentrations and then drop down at still higher concentrations. It has been found that completing the Cu 2+ ions with EA first, and then addition of ammonia yields better results than the reverse process. The film thickness increases with the decrease of value x of Cu 2-x Se. (author)

  15. Microstructural, chemical and textural characterization of ZnO nanorods synthesized by aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sáenz-Trevizo, A.; Amézaga-Madrid, P.; Fuentes-Cobas, L.; Pizá-Ruiz, P.; Antúnez-Flores, W.; Ornelas-Gutiérrez, C. [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico); Pérez-García, S.A. [Centro de Investigación en Materiales Avanzados, S.C., Unidad Monterrey, Apodaca, Nuevo León 66600 (Mexico); Miki-Yoshida, M., E-mail: mario.miki@cimav.edu.mx [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico)

    2014-12-15

    ZnO nanorods were synthesized by aerosol assisted chemical vapor deposition onto TiO{sub 2} covered borosilicate glass substrates. Deposition parameters were optimized and kept constant. Solely the effect of different nozzle velocities on the growth of ZnO nanorods was evaluated in order to develop a dense and uniform structure. The crystalline structure was characterized by conventional X-ray diffraction in grazing incidence and Bragg–Brentano configurations. In addition, two-dimensional grazing incidence synchrotron radiation diffraction was employed to determine the preferred growth direction of the nanorods. Morphology and growth characteristics analyzed by electron microscopy were correlated with diffraction outcomes. Chemical composition was established by X-ray photoelectron spectroscopy. X-ray diffraction results and X-ray photoelectron spectroscopy showed the presence of wurtzite ZnO and anatase TiO{sub 2} phases. Morphological changes noticed when the deposition velocity was lowered to the minimum, indicated the formation of relatively vertically oriented nanorods evenly distributed onto the TiO{sub 2} buffer film. By coupling two-dimensional X-ray diffraction and computational modeling with ANAELU it was proved that a successful texture determination was achieved and confirmed by scanning electron microscopy analysis. Texture analysis led to the conclusion of a preferred growth direction in [001] having a distribution width Ω = 20° ± 2°. - Highlights: • Uniform and pure single-crystal ZnO nanorods were obtained by AACVD technique. • Longitudinal and transversal axis parallel to the [001] and [110] directions, respectively. • Texture was determined by 2D synchrotron diffraction and electron microscopy analysis. • Nanorods have its [001] direction distributed close to the normal of the substrate. • Angular spread about the preferred orientation is 20° ± 2°.

  16. Chemical vapour deposition of vanadium oxide thermochromic thin films

    Science.gov (United States)

    Piccirillo, Clara

    Thermochromic materials change optical properties, such as transmittance or reflectance, with a variation in temperature. An ideal intelligent (smart) material will allow solar radiation in through a window in cold conditions, but reflect that radiation in warmer conditions. The variation in the properties is often associated with a phase change, which takes place at a definite temperature, and is normally reversible. Such materials are usually applied to window glass as thin films. This thesis presents the work on the development of thermochromic vanadium (IV) oxide (VO2) thin films - both undoped and doped with tungsten, niobium and gold nanoparticles - which could be employed as solar control coatings. The films were deposited using Chemical Vapour Deposition (CVD), using improved Atmospheric Pressure (APCVD), novel Aerosol Assisted (AACVD) and novel hybrid AP/AACVD techniques. The effects of dopants on the metalto- semiconductor transition temperature and transmittance/reflectance characteristics were also investigated. This work significantly increased the understanding of the mechanisms behind thermochromic behaviour, and resulted in thermochromic materials based on VO2 with greatly improved properties.

  17. Photoluminescence study of aligned ZnO nanorods grown using chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Urgessa, Z.N. [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa); Oluwafemi, O.S. [Department of Chemistry and Chemical Technology, Walter Sisulu University, Mthatha Campus, Private Bag XI, 5117 (South Africa); Dangbegnon, J.K. [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa); Botha, J.R., E-mail: Reinhardt.Botha@nmmu.ac.za [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa)

    2012-05-15

    The photoluminescence study of self-assembled ZnO nanorods grown on a pre-treated Si substrate by a simple chemical bath deposition method at a temperature of 80 Degree-Sign C is hereby reported. By annealing in O{sub 2} environment the UV emission is enhanced with diminishing deep level emission suggesting that most of the deep level emission is due to oxygen vacancies. The photoluminescence was investigated from 10 K to room temperature. The low temperature photoluminescence spectrum is dominated by donor-bound exciton. The activation energy and binding energy of shallow donors giving rise to bound exciton emission were calculated to be around 13.2 meV, 46 meV, respectively. Depending on these energy values and nature of growth environment, hydrogen is suggested to be the possible contaminating element acting as a donor.

  18. On the physical and chemical details of alumina atomic layer deposition: A combined experimental and numerical approach

    International Nuclear Information System (INIS)

    Pan, Dongqing; Ma, Lulu; Xie, Yuanyuan; Yuan, Chris; Jen, Tien Chien

    2015-01-01

    Alumina thin film is typically studied as a model atomic layer deposition (ALD) process due to its high dielectric constant, high thermal stability, and good adhesion on various wafer surfaces. Despite extensive applications of alumina ALD in microelectronics industries, details on the physical and chemical processes are not yet well understood. ALD experiments are not able to shed adequate light on the detailed information regarding the transient ALD process. Most of current numerical approaches lack detailed surface reaction mechanisms, and their results are not well correlated with experimental observations. In this paper, the authors present a combined experimental and numerical study on the details of flow and surface reactions in alumina ALD using trimethylaluminum and water as precursors. Results obtained from experiments and simulations are compared and correlated. By experiments, growth rate on five samples under different deposition conditions is characterized. The deposition rate from numerical simulation agrees well with the experimental results. Details of precursor distributions in a full cycle of ALD are studied numerically to bridge between experimental observations and simulations. The 3D transient numerical model adopts surface reaction kinetics and mechanisms based on atomic-level studies to investigate the surface deposition process. Surface deposition is shown as a strictly self-limited process in our numerical studies. ALD is a complex strong-coupled fluid, thermal and chemical process, which is not only heavily dependent on the chemical kinetics and surface conditions but also on the flow and material distributions

  19. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  20. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  1. Effects of boron addition on a-Si90Ge10:H films obtained by low frequency plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Perez, Arllene M; Renero, Francisco J; Zuniga, Carlos; Torres, Alfonso; Santiago, Cesar

    2005-01-01

    Optical, structural and electric properties of (a-(Si 90 Ge 10 ) 1-y B y :H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10 -3 to 10 1 Ω -1 cm -1 when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV

  2. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    Science.gov (United States)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  3. Synthesis and photosensor study of as-grown CuZnO thin film by facile chemical bath deposition

    Science.gov (United States)

    Gubari, Ghamdan M. M.; Ibrahim Mohammed S., M.; Huse, Nanasaheb P.; Dive, Avinash S.; Sharma, Ramphal

    2018-05-01

    We have successfully deposited CuZnO thin film on a glass substrate by facile chemical bath deposition method at 85 °C for 1 hr. Structural, topographical, Optical and Electrical properties of the prepared Thin Films were investigated by XRD, Raman spectrum, AFM, UV-Visible Spectrophotometer and I-V Measurement System respectively. The X-ray diffraction (XRD) pattern confirmed the formation of the CuZnO composition when compared with standard JCPDS card (JCPDF # 75-0576 & # 36-1451). The Raman analysis shows a major peak at 458 cm-1 with E2 (High) vibrational mode. AFM images revealed uniform deposition over an entire glass substrate with 66.2 nm average roughness of the film. From the optical absorption spectrum, clear band edge around ˜407 nm was observed which results in a wide energy band gap of ˜3.04 eV. The electrical properties were measured at room temperature in the voltage range ±5 V, showed a drastic enhancement in current under light illumination with the highest photosensitivity of ˜99.9 % for 260 W.

  4. Green electroluminescence from ZnO/n-InP heterostructure fabricated by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Zhu Huichao; Zhang Baolin; Li Xiangping; Dong Xin; Li Wancheng; Guan Hesong; Cui Yongguo; Xia Xiaochuan; Yang Tianpeng; Chang Yuchun; Du Guotong

    2007-01-01

    Vertically aligned ZnO films were deposited on n-InP by metalorganic chemical vapour deposition. X-ray diffraction, field emission scanning electron microscopy and photoluminescence measurements demonstrated that the ZnO films had good quality. By evaporating AuZn electrodes on both ZnO and InP surfaces, a ZnO-based light emitting device was fabricated. Under forward voltage, weak green emissions can be observed in darkness

  5. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  6. Nano sized bismuth oxy chloride by metal organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jagdale, Pravin, E-mail: pravin.jagdale@polito.it [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy); Castellino, Micaela [Center for Space Human Robotics, Istituto Italiano di Tecnologia, Corso Trento 21, 10129 Torino (Italy); Marrec, Françoise [Laboratory of Condensed Matter Physics, University of Picardie Jules Verne (UPJV), Amiens 80039 (France); Rodil, Sandra E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexicom (UNAM), Mexico D.F. 04510 (Mexico); Tagliaferro, Alberto [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy)

    2014-06-01

    Metal organic chemical vapour deposition (MOCVD) method was used to prepare thin films of bismuth based nano particles starting from bismuth salts. Nano sized bismuth oxy chloride (BiOCl) crystals were synthesized from solution containing bismuth chloride (BiCl{sub 3}) in acetone (CH{sub 3}-CO-CH{sub 3}). Self-assembly of nano sized BiOCl crystals were observed on the surface of silicon, fused silica, copper, carbon nanotubes and aluminium substrates. Various synthesis parameters and their significant impact onto the formation of self-assembled nano-crystalline BiOCl were investigated. BiOCl nano particles were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, field emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and Micro-Raman spectroscopy. These analyses confirm that bismuth nanometer-sized crystal structures showing a single tetragonal phase were indeed bismuth oxy chloride (BiOCl) square platelets 18–250 nm thick and a few micrometres wide.

  7. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  8. Accessing the Impact of Sea-Salt Emissions on Aerosol Chemical Formation and Deposition Over Pearl River Delta, China

    Science.gov (United States)

    Fan, Q.; Wang, X.; Liu, Y.; Wu, D.; Chan, P. W.; Fan, S.; Feng, Y.

    2015-12-01

    Sea-salt aerosol (SSA) emissions have a significant impact on aerosol pollution and haze formation in the coastal areas. In this study, Models-3/CMAQ modeling system was utilized to access the impact of SSA emissions on aerosol chemical formation and deposition over Pearl River Delta (PRD), China in July 2006. More SSAs were transported inland from the open-ocean under the southeast wind in summertime. Two experiments (with and without SSA emissions in the CMAQ model) were set up to compare the modeling results with each other. The results showed that the increase of sulfate concentrations were more attributable to the primary emissions of coarse SO42- particles in SSA, while the increase of nitrate concentrations were more attributable to secondary chemical formations, known as the mechanisms of chloride depletion in SSA. In the coastal areas, 17.62 % of SO42-, 26.6% of NO3- and 38.2% of PM10 were attributed to SSA emissions, while those portions were less than 1% in the inland areas. The increases of PM10 and its components due to SSA emissions resulted in higher deposition fluxes over PRD, particularly in the coastal areas, except for the wet deposition of nitrate. Nitrate was more sensitive to SSA emissions in chemical formations than sulfate and dry deposition of aerosol was also more sensitive than that for wet deposition. Process analysis of sulfate and nitrate was applied to find out the difference of physical and chemical mechanisms between Guangzhou (the inland areas) and Zhuhai (the coastal areas). The negative contributions of dry deposition process to both sulfate and nitrate concentrations increased if SSA emissions were taken into account in the model, especially for Zhuhai. The negative contributions of cloud process also increased due to cloud scavenging and wet deposition process. In the coastal area, the gas-to-particle conversions became more active with high contributions of aerosol process to nitrate concentrations.

  9. Chemical, mechanical, and tribological properties of pulsed-laser-deposited titanium carbide and vanadium carbide

    International Nuclear Information System (INIS)

    Krzanowski, J.E.; Leuchtner, R.E.

    1997-01-01

    The chemical, mechanical, and tribological properties of pulsed-laser-deposited TiC and VC films are reported in this paper. Films were deposited by ablating carbide targets using a KrF (λ = 248 nm) laser. Chemical analysis of the films by XPS revealed oxygen was the major impurity; the lowest oxygen concentration obtained in a film was 5 atom%. Oxygen was located primarily on the carbon sublattice of the TiC structure. The films were always substoichiometric, as expected, and the carbon in the films was identified primarily as carbidic carbon. Nanoindentation hardness tests gave values of 39 GPa for TiC and 26 GPa for VC. The friction coefficient for the TiC films was 0.22, while the VC film exhibited rapid material transfer from the steel ball to the substrate resulting in steel-on-steel tribological behavior

  10. Surface engineering of artificial heart valve disks using nanostructured thin films deposited by chemical vapour deposition and sol-gel methods.

    Science.gov (United States)

    Jackson, M J; Robinson, G M; Ali, N; Kousar, Y; Mei, S; Gracio, J; Taylor, H; Ahmed, W

    2006-01-01

    Pyrolytic carbon (PyC) is widely used in manufacturing commercial artificial heart valve disks (HVD). Although PyC is commonly used in HVD, it is not the best material for this application since its blood compatibility is not ideal for prolonged clinical use. As a result thrombosis often occurs and the patients are required to take anti-coagulation drugs on a regular basis in order to minimize the formation of thrombosis. However, anti-coagulation therapy gives rise to some detrimental side effects in patients. Therefore, it is extremely urgent that newer and more technically advanced materials with better surface and bulk properties are developed. In this paper, we report the mechanical properties of PyC-HVD, i.e. strength, wear resistance and coefficient of friction. The strength of the material was assessed using Brinell indentation tests. Furthermore, wear resistance and coefficient of friction values were obtained from pin-on-disk testing. The micro-structural properties of PyC were characterized using XRD, Raman spectroscopy and SEM analysis. Also in this paper we report the preparation of freestanding nanocrystalline diamond films (FSND) using the time-modulated chemical vapour deposition (TMCVD) process. Furthermore, the sol-gel technique was used to uniformly coat PyC-HVD with dense, nanocrystalline-titanium oxide (nc-TiO2) coatings. The as-grown nc-TiO2 coatings were characterized for microstructure using SEM and XRD analysis.

  11. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  12. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  13. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  14. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  15. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  16. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  17. Effect of deposition temperature on the structural and optical properties of CdSe QDs thin films deposited by CBD method

    Energy Technology Data Exchange (ETDEWEB)

    Laatar, F., E-mail: fakher8laatar@gmail.com [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Harizi, A. [Photovoltaic and Semiconductor Materials Laboratory, Engineering Industrial Department, ENIT, Tunis El Manar University, BP 37, Le Belvédère, 1002 Tunis (Tunisia); Smida, A. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Hassen, M. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Applied Science and Technology of Sousse, City Taffala (Ibn Khaldun), 4003 Sousse (Tunisia); Ezzaouia, H. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-06-15

    Highlights: • Synthesis of CdSe QDs with L-Cysteine capping agent for applications in nanodevices. • The films of CdSe QDs present uniform and good dispersive particles at the surface. • Effect of bath temperature on the structural and optical properties of CdSe QDs thin films. • Investigation of the optical constants and dispersion parameters of CdSe QDs thin films. - Abstract: Cadmium selenide quantum dots (CdSe QDs) thin films were deposited onto glass substrates by a chemical bath deposition (CBD) method at different temperatures from an aqueous solution containing L-Cysteine (L-Cys) as capping agent. The evolution of the surface morphology and elemental composition of the CdSe films were studied by AFM, SEM, and EDX analyses. Structural and optical properties of CdSe thin films were investigated by XRD, UV–vis and PL spectroscopy. The dispersion behavior of the refractive index is described using the single oscillator Wemple-DiDomenico (W-D) model, and the physical dispersion parameters are calculated as a function of deposition temperature. The dispersive optical parameters such as average oscillator energy (E{sub o}), dispersion energy (E{sub d}), and static refractive index (n{sub o}) were found to vary with the deposition temperature. Besides, the electrical free carrier susceptibility (χ{sub e}) and the carrier concentration of the effective mass ratio (N/m*) were evaluated according to the Spitzer-Fan model.

  18. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  19. Application of the Taguchi analytical method for optimization of effective parameters of the chemical vapor deposition process controlling the production of nanotubes/nanobeads.

    Science.gov (United States)

    Sharon, Maheshwar; Apte, P R; Purandare, S C; Zacharia, Renju

    2005-02-01

    Seven variable parameters of the chemical vapor deposition system have been optimized with the help of the Taguchi analytical method for getting a desired product, e.g., carbon nanotubes or carbon nanobeads. It is observed that almost all selected parameters influence the growth of carbon nanotubes. However, among them, the nature of precursor (racemic, R or Technical grade camphor) and the carrier gas (hydrogen, argon and mixture of argon/hydrogen) seem to be more important parameters affecting the growth of carbon nanotubes. Whereas, for the growth of nanobeads, out of seven parameters, only two, i.e., catalyst (powder of iron, cobalt, and nickel) and temperature (1023 K, 1123 K, and 1273 K), are the most influential parameters. Systematic defects or islands on the substrate surface enhance nucleation of novel carbon materials. Quantitative contributions of process parameters as well as optimum factor levels are obtained by performing analysis of variance (ANOVA) and analysis of mean (ANOM), respectively.

  20. Acid Deposition Phenomena

    International Nuclear Information System (INIS)

    Ramadan, A.E.K.

    2004-01-01

    Acid deposition, commonly known as acid rain, occurs when emissions from the combustion of fossil fuels and other industrial processes undergo complex chemical reactions in the atmosphere and fall to the earth as wet deposition (rain, snow, cloud, fog) or dry deposition (dry particles, gas). Rain and snow are already naturally acidic, but are only considered problematic when less than a ph of 5.0 The main chemical precursors leading to acidic conditions are atmospheric concentrations of sulfur dioxide (SO 2 ) and nitrogen oxides (NO x ). When these two compounds react with water, oxygen, and sunlight in the atmosphere, the result is sulfuric (H 2 SO 4 ) and nitric acids (HNO 3 ), the primary agents of acid deposition which mainly produced from the combustion of fossil fuel and from petroleum refinery. Airborne chemicals can travel long distances from their sources and can therefore affect ecosystems over broad regional scales and in locations far from the sources of emissions. According to the concern of petroleum ministry with the environment and occupational health, in this paper we will discussed the acid deposition phenomena through the following: Types of acidic deposition and its components in the atmosphere Natural and man-made sources of compounds causing the acidic deposition. Chemical reactions causing the acidic deposition phenomenon in the atmosphere. Factors affecting level of acidic deposition in the atmosphere. Impact of acid deposition. Procedures for acidic deposition control in petroleum industry

  1. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  2. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  3. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  4. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  5. Review on mechanism of directly fabricating wafer-scale graphene on dielectric substrates by chemical vapor deposition

    Science.gov (United States)

    Ning, Jing; Wang, Dong; Chai, Yang; Feng, Xin; Mu, Meishan; Guo, Lixin; Zhang, Jincheng; Hao, Yue

    2017-07-01

    To date, chemical vapor deposition on transition metal catalysts is a potential way to achieve low cost, high quality and uniform wafer-scale graphene. However, the removal and transfer process of the annoying catalytic metals underneath can bring large amounts of uncertain factors causing the performance deterioration of graphene, such as the pollution of surface polymeric residues, unmentioned doping and structural damages. Thus, to develop a technique of directly fabricating graphene on dielectric substrates is quite meaningful. In this review, we will present specific methods of catalyst- or transfer-free techniques for graphene growth and discuss the diversity of growth mechanisms.

  6. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  7. Constructing deposition chronologies for peat deposits using radiocarbon dating

    Directory of Open Access Journals (Sweden)

    N. Piotrowska

    2011-06-01

    Full Text Available Radiocarbon dating is one of the main methods used to establish peat chronologies. This article reviews the basis of the method and its application to dating of peat deposits. Important steps in the radiocarbon dating procedure are described, including selection and extraction of material (and fractions for dating, chemical and physical preparation of media suitable for measurements, measurements of 14C activity or concentration, calculations, calibration of results and age-depth modelling.

  8. Optimization of chemical displacement deposition of copper on porous silicon.

    Science.gov (United States)

    Bandarenka, Hanna; Redko, Sergey; Nenzi, Paolo; Balucani, Marco; Bondarenko, Vitaly

    2012-11-01

    Copper (II) sulfate was used as a source of copper to achieve uniform distribution of Cu particles deposited on porous silicon. Layers of the porous silicon were formed by electrochemical anodization of Si wafers in a mixture of HF, C3H7OH and deionized water. The well-known chemical displacement technique was modified to grow the copper particles of specific sizes. SEM and XRD analysis revealed that the outer surface of the porous silicon was covered with copper particles of the crystal orientation inherited from the planes of porous silicon skeleton. The copper crystals were found to have the cubic face centering elementary cell. In addition, the traces of Cu2O cubic primitive crystalline phases were identified. The dimensions of Cu particles were determined by the Feret's analysis of the SEM images. The sizes of the particles varied widely from a few to hundreds of nanometers. A phenomenological model of copper deposition was proposed.

  9. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  10. Processing of CuInSe{sub 2}-based solar cells: Characterization of deposition processes in terms of chemical reaction analyses. Phase 2 Annual Report, 6 May 1996--5 May 1997

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, T.

    1999-10-20

    This report describes research performed by the University of Florida during Phase 2 of this subcontract. First, to study CIGS, researchers adapted a contactless, nondestructive technique previously developed for measuring photogenerated excess carrier lifetimes in SOI wafers. This dual-beam optical modulation (DBOM) technique was used to investigate the differences between three alternative methods of depositing CdS (conventional chemical-bath deposition [CBD], metal-organic chemical vapor deposition [MOCVD], and sputtering). Second, a critical assessment of the Cu-In-Se thermochemical and phase diagram data using standard CALPHAD procedures is being performed. The outcome of this research will produce useful information on equilibrium vapor compositions (required annealing ambients, Sex fluxes from effusion cells), phase diagrams (conditions for melt-assisted growth), chemical potentials (driving forces for diffusion and chemical reactions), and consistent solution models (extents of solid solutions and extending phase diagrams). Third, an integrated facility to fabricate CIS PV devices was established that includes migration-enhanced epitaxy (MEE) for deposition of CIS, a rapid thermal processing furnace for absorber film formation, sputtering of ZnO, CBD or MOCVD of CdS, metallization, and pattern definition.

  11. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  12. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  13. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Hanearl [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Kim, Doyoung [School of Electrical and Electronic Engineering, Ulsan College, 57 Daehak-ro, Nam-gu, Ulsan 680-749 (Korea, Republic of); Kim, Hyungjun, E-mail: hyungjun@yonsei.ac.kr [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2014-04-01

    Highlights: • Undoped and Ga doped ZnO thin films were deposited using DEZ and TMGa. • Effects of Ga doping using TMGa in Ga doped ZnO were investigated. • Degraded properties from excessive doping were analyzed using chemical bondings. - Abstract: The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O{sub 2} gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O{sub 2} ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O{sub 2} from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10{sup −3} Ω cm for undoped ZnO to 2.05 × 10{sup −3} Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  14. Absence of morphotropic phase boundary effects in BiFeO3-PbTiO3 thin films grown via a chemical multilayer deposition method

    Science.gov (United States)

    Gupta, Shashaank; Bhattacharjee, Shuvrajyoti; Pandey, Dhananjai; Bansal, Vipul; Bhargava, Suresh K.; Peng, Ju Lin; Garg, Ashish

    2011-07-01

    We report an unusual behavior observed in (BiFeO3)1- x -(PbTiO3) x (BF- xPT) thin films prepared using a multilayer chemical solution deposition method. Films of different compositions were grown by depositing several bilayers of BF and PT precursors of varying BF and PT layer thicknesses followed by heat treatment in air. X-ray diffraction showed that samples of all compositions show mixing of two compounds resulting in a single-phase mixture, also confirmed by transmission electron microscopy. In contrast to bulk compositions, samples show a monoclinic (MA-type) structure suggesting disappearance of the morphotropic phase boundary (MPB) at x=0.30 as observed in the bulk. This is accompanied by the lack of any enhancement of the remanent polarization at the MPB, as shown by the ferroelectric measurements. Magnetic measurements showed an increase in the magnetization of the samples with increasing BF content. Significant magnetization in the samples indicates melting of spin spirals in the BF- xPT films, arising from a random distribution of iron atoms. Absence of Fe2+ ions was corroborated by X-ray photoelectron spectroscopy measurements. The results illustrate that thin film processing methodology significantly changes the structural evolution, in contrast to predictions from the equilibrium phase diagram, besides modifying the functional characteristics of the BP- xPT system dramatically.

  15. A comparative study of CdS thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pérez-Hernández, G., E-mail: german.perez@ujat.mx [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Pantoja-Enríquez, J. [Centro de Investigación y Desarrollo Tecnológico en Energías Renovables, UNICACH, Libramiento Norte No 1150, Tuxtla Gutiérrez, Chiapas 29039 (Mexico); Escobar-Morales, B. [Instituto Tecnológico de Cancún, Avenida Kábah Km 3, Cancún, Quintana Roo 77500 (Mexico); Martinez-Hernández, D.; Díaz-Flores, L.L.; Ricardez-Jiménez, C. [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Mathews, N.R.; Mathew, X. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico)

    2013-05-01

    Cadmium sulfide thin-films were deposited on glass slides and SnO{sub 2}:F coated glass substrates by chemical bath deposition, sputtering and close-spaced sublimation techniques. The films were studied for the structural and opto-electronic properties after annealing in an ambient identical to that employed in the fabrication of CdTe/CdS devices. Quantum efficiency of the CdTe/CdS solar cells fabricated with CdS buffer films prepared by the three methods were investigated to understand the role of CdS film preparation method on the blue response of the devices. The higher blue response observed for the devices fabricated with chemical bath deposited CdS film is discussed. - Highlights: ► CdS films were prepared by different techniques. ► Role of CdS on the blue response of device was studied. ► Structural and optical properties of CdS were analyzed. ► Chemically deposited CdS has high blue transmittance. ► CdS deposition method influences diffusion of S and Te.

  16. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  17. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  18. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  19. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  20. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  1. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  2. Graphene synthesis by laser-assisted chemical vapor deposition on Ni plate and the effect of process parameters on uniform graphene growth

    International Nuclear Information System (INIS)

    Jiang, Juan; Lin, Zhe; Ye, Xiaohui; Zhong, Minlin; Huang, Ting; Zhu, Hongwei

    2014-01-01

    A fast, simple technique was developed to fabricate few-layer graphene films at ambient pressure and room temperature by laser-assisted chemical vapor deposition on polycrystalline Ni plates. Laser scanning speed was found as the most important factor in the production of few-layer graphene. The quality of graphene films was controlled by varying the laser power. Uniform graphene ribbons with a width of 1.5 mm and a length of 16 mm were obtained at a scanning speed of 1.3 mm/s and a laser power of 600 W. The developed technique provided a promising application of a high-power laser system to fabricate a graphene film. - Highlights: • Uniform few-layer graphene was fabricated at room temperature and ambient conditions. • Laser-assisted chemical vapor deposition was used to grow the layers in a few seconds. • The effect of process parameters on graphene growth was discussed. • This cost effective method could facilitate the integration of graphene in electronic devices

  3. Effects of boron addition on a-Si(90)Ge(10):H films obtained by low frequency plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Pérez, Arllene M; Renero, Francisco J; Zúñiga, Carlos; Torres, Alfonso; Santiago, César

    2005-06-29

    Optical, structural and electric properties of (a-(Si(90)Ge(10))(1-y)B(y):H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10(-3) to 10(1) Ω(-1) cm(-1) when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV.

  4. Atomic force microscopy indentation of fluorocarbon thin films fabricated by plasma enhanced chemical deposition at low radio frequency power

    International Nuclear Information System (INIS)

    Sirghi, L.; Ruiz, A.; Colpo, P.; Rossi, F.

    2009-01-01

    Atomic force microscopy (AFM) indentation technique is used for characterization of mechanical properties of fluorocarbon (CF x ) thin films obtained from C 4 F 8 gas by plasma enhanced chemical vapour deposition at low r.f. power (5-30 W) and d.c. bias potential (10-80 V). This particular deposition method renders films with good hydrophobic property and high plastic compliance. Commercially available AFM probes with stiff cantilevers (10-20 N/m) and silicon sharpened tips (tip radius < 10 nm) are used for indentations and imaging of the resulted indentation imprints. Force depth curves and imprint characteristics are used for determination of film hardness, elasticity modulus and plasticity index. The measurements show that the decrease of the discharge power results in deposition of films with decreased hardness and stiffness and increased plasticity index. Nanolithography based on AFM indentation is demonstrated on thin films (thickness of 40 nm) with good plastic compliance.

  5. ArF Laser -Induced Chemical Vapour Deposition of Polythiene Films from Carbon Disulfide

    Czech Academy of Sciences Publication Activity Database

    Tomovska, R.; Bastl, Zdeněk; Vorlíček, Vladimír; Vacek, Karel; Šubrt, Jan; Plzák, Zbyněk; Pola, Josef

    2003-01-01

    Roč. 107, č. 36 (2003), s. 9793-9801 ISSN 1089-5647 R&D Projects: GA MŠk ME 612 Institutional research plan: CEZ:AV0Z4032918; CEZ:AV0Z4040901 Keywords : laser photolysis * ArF * chemical vapour deposition Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 3.679, year: 2003

  6. Metalorganic chemical vapor deposition of iron disulfide and its use for solar energy conversion

    Science.gov (United States)

    Ennaoui, Ahmed; Fiechter, Sebastian; Vogel, Ralf; Giersig, M.; Weller, Horst; Tributsch, Helmut

    1992-12-01

    Thin polycrystalline films of iron disulfide have been grown on different substrates by chemical vapour deposition. The films were characterized using optical absorption and TEM. RBS and EDAX analysis has been used to explore the chemical stoichiometry. XRD and FTIR allowed the identification of both FeS2 phases pyrite and marcasite. A novel method for sensitization of highly porous Ti02 elecrodes with ultra thin (10-20 nm) polycrystalline films of FeS2 (pyrite) is presented. Photoelectrochemical solar cell using the above electrode generated high photovoltage of up to 600mV compared with single crystalline electrode (200 mV). In this device the semiconductor with a small band gap and high absorption coefficient (FeS2 pyrite; EG = 0.9 eV; a = 6 x 105 cm-1) absorbs the light and injects electrons into the conduction band the wide band gap semiconductor (Ti02 anatase; EG = 3.2 eV). Regeneration of holes is taking place by electron transfer from redox system in the electrolyte.

  7. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  8. Paraffin/expanded graphite phase change composites with enhanced thermal conductivity prepared by implanted β-SiC nanowires with chemical vapor deposition method

    Science.gov (United States)

    Yin, Zhaoyu; Zhang, Xiaoguang; Huang, Zhaohui; Liu, Silin; Zhang, Weiyi; Liu, Yan'gai; Wu, Xiaowen; Fang, Minghao; Min, Xin

    2018-02-01

    Expanded graphite/β-SiC nanowires composites (ESNC) were prepared through chemical vapor deposition, and paraffin/expanded graphite/β-SiC nanowires composites (PESNC) were made through vacuum impregnation to overcome liquid leakage during phase transition and enhance the thermal conductivity of paraffin. Fourier transform infrared spectroscopy showed no chemical interactions between the paraffin and ESNC. Differential scanning calorimetry estimated the temperature and latent heat of PESNC during melting to 45.73 °C and 124.31 J g-1, respectively. The respective values of these quantities during freezing were recorded as 48.93 °C and 124.14 J g-1. The thermal conductivity of PESNC was estimated to 0.75 W mK-1, which was 3.26-folds that of pure paraffin (0.23 W mK-1). PESNC perfectly maintained its phase transition after 200 melting-freezing cycles. The resulting ideal thermal conductivity, good chemical stability, thermal properties and thermal reliability of PESNC are promising for use in energy efficient buildings and solar energy systems.

  9. Importance of deposit information in the design and execution of steam generator chemical cleaning

    International Nuclear Information System (INIS)

    Flores, O.; Remark, J.

    1997-01-01

    During the planning stages of the chemical cleaning of the San Onofre Nuclear Generating Station (SONGS) units 2 and 3 steam generators, it was determined that an understanding of the steam generator deposit loading and composition was essential to the design and success of the project. It was also determined that qualification testing, preferably with actual deposits from the SONGS steam generators, was also essential. SONGS units 2 and 3 have Combustion Engineering (CE)-designed pressurized water reactors. Each unit has two CE model 3410 steam generators. Each steam generator has 9350 alloy 600 tubes with 1.9-cm (3/4 in.) outside diameter. Unit 2 began commercial operation in 1983, and unit 3, in 1984. The purpose of this technical paper is to explain the effort and methodology for deposit composition, characterization, and quantification. In addition, the deposit qualification testing and design of the cleaning are discussed

  10. Study of the Morphological, Structural, Optical and Photoelectrochemical Properties of Zinc Oxide Nanorods Grown Using a Microwave Chemical Bath Deposition Method

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Sungjin; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2017-04-15

    In this study, zinc oxide (ZnO) nanostructures were grown on a ZnO-buffered fluorine-doped tin oxide (FTO) substrate using a microwave chemical bath deposition method with different zinc oxide precursor concentrations from 0.01 to 0.5 M. We investigated the effects of the zinc oxide precursor concentration on the morphological, structural, optical and photoelectrochemical properties of the ZnO nanostructures. From this work, we found that ZnO one-dimensional structures mainly grew along the (002) plane, and the nanorod length, diameter, surface area and photoelectrochemical properties were largely dependent on the precursor concentration. That is, the photoelectrochemical properties were affected by the morphological and structural properties of the ZnO. The morphological, structural, optical and photoelectrochemical properties of the ZnO nanostructure were investigated by field emission scanning electron microscopy (FE-SEM) and atomic force microscope (AFM), X-ray diffraction (XRD), UV-visible spectroscopy and 3-electrode potentiostat. We obtained the highest photocurrent density of 0.37 mA/cm{sup 2} (at 1.1 V vs. SCE) from the precursor concentration of 0.07 M, which resulted in ZnO nanostructures with proper length and diameter, large surface area and good structural properties.

  11. In situ deposition of hydroxyapatite on graphene nanosheets

    International Nuclear Information System (INIS)

    Neelgund, Gururaj M.; Oki, Aderemi; Luo, Zhiping

    2013-01-01

    Graphical abstract: A facile chemical precipitation method is reported for effective in situ deposition of hydroxyapatite on graphene nanosheets. Prior to grafting of hydroxyapatite, chemically modified graphene nanosheets were obtained by the reduction of graphene oxide in presence of ethylenediamine. Display Omitted Highlights: ► It is a facile and effective method for deposition of HA on GR nanosheets. ► It avoids the use of harmful reducing agents like hydrazine, NaBH 4 etc. ► GR nanosheets were produced using bio-compatible, ethylenediamine. ► The graphitic structure of synthesized GR nanosheets was high ordered. ► The ratio of Ca to P in HA was 1.64, which is close to ratio in natural bone. -- Abstract: Graphene nanosheets were effectively functionalized by in situ deposition of hydroxyapatite through a facile chemical precipitation method. Prior to grafting of hydroxyapatite, chemically modified graphene nanosheets were obtained by the reduction of graphene oxide in presence of ethylenediamine. The resulting hydroxyapatite functionalized graphene nanosheets were characterized by attenuated total reflection IR spectroscopy, X-ray diffraction, field emission scanning electron microscopy, transmission electron microscopy, X-ray energy dispersive spectroscopy, Raman spectroscopy and thermogravimetric analysis. These characterization techniques revealed the successful grafting of hydroxyapatite over well exfoliated graphene nanosheets without destroying their structure.

  12. In situ deposition of hydroxyapatite on graphene nanosheets

    Energy Technology Data Exchange (ETDEWEB)

    Neelgund, Gururaj M. [Department of Chemistry, Prairie View A and M University, Prairie View, TX 77446 (United States); Oki, Aderemi, E-mail: aroki@pvamu.edu [Department of Chemistry, Prairie View A and M University, Prairie View, TX 77446 (United States); Luo, Zhiping [Microscopy and Imaging Center and Materials Science and Engineering Program, Texas A and M University, College Station, TX 77843 (United States)

    2013-02-15

    Graphical abstract: A facile chemical precipitation method is reported for effective in situ deposition of hydroxyapatite on graphene nanosheets. Prior to grafting of hydroxyapatite, chemically modified graphene nanosheets were obtained by the reduction of graphene oxide in presence of ethylenediamine. Display Omitted Highlights: ► It is a facile and effective method for deposition of HA on GR nanosheets. ► It avoids the use of harmful reducing agents like hydrazine, NaBH{sub 4} etc. ► GR nanosheets were produced using bio-compatible, ethylenediamine. ► The graphitic structure of synthesized GR nanosheets was high ordered. ► The ratio of Ca to P in HA was 1.64, which is close to ratio in natural bone. -- Abstract: Graphene nanosheets were effectively functionalized by in situ deposition of hydroxyapatite through a facile chemical precipitation method. Prior to grafting of hydroxyapatite, chemically modified graphene nanosheets were obtained by the reduction of graphene oxide in presence of ethylenediamine. The resulting hydroxyapatite functionalized graphene nanosheets were characterized by attenuated total reflection IR spectroscopy, X-ray diffraction, field emission scanning electron microscopy, transmission electron microscopy, X-ray energy dispersive spectroscopy, Raman spectroscopy and thermogravimetric analysis. These characterization techniques revealed the successful grafting of hydroxyapatite over well exfoliated graphene nanosheets without destroying their structure.

  13. NASA evaluation of Type 2 chemical depositions. [effects of deicer deposition on aircraft tire friction performance

    Science.gov (United States)

    Yager, Thomas J.; Stubbs, Sandy M.; Howell, W. Edward; Webb, Granville L.

    1993-01-01

    Recent findings from NASA Langley tests to define effects of aircraft Type 2 chemical deicer depositions on aircraft tire friction performance are summarized. The Aircraft Landing Dynamics Facility (ALDF) is described together with the scope of the tire cornering and braking friction tests conducted up to 160 knots ground speed. Some lower speed 32 - 96 km/hr (20 - 60 mph) test run data obtained using an Instrumented Tire Test Vehicle (ITTV) to determine effects of tire bearing pressure and transverse grooving on cornering friction performance are also discussed. Recommendations are made concerning which parameters should be evaluated in future testing.

  14. Deposition of titanium coating on SiC fiber by chemical vapor deposition with Ti-I{sub 2} system

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2017-06-01

    Highlights: • The transformation paths of (Ti + I{sub 2}) powder to Ti coating is: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}) → Ti. • Uniform coating was obtained on SiC fiber, but it contained Si and C elements. • Deposition rate of the coating increased with the increase of temperature. • Deposition thickness increased with time and achieved the maximum at 90 min. - Abstract: Titanium coating was prepared on SiC fiber using titanium-iodine (Ti-I{sub 2}) mixture by hot-wall chemical vapor deposition. Thermodynamic analysis and experimental observation were carried out in this work. The thermodynamic analysis of the reactions in the Ti-I{sub 2} system indicates that Ti and I{sub 2} raw powder materials transform to titanium coating as follows: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}), and (TiI{sub 2}, TiI{sub 3}) → Ti. In theory, the conversions of TiI{sub 3} and TiI{sub 2} reach the maximum when Ti:I{sub 2} is 1:1.5, while in actual experiment that reached the maximum when Ti:I{sub 2} was 1:2, as there existed the waste of I{sub 2} due to sublimation. Typical deposited coating is relatively flat and uniform. However, as SiC is prone to react with Ti at high temperatures, the obtained coating contained some Si and C elements except for Ti. So the coating was not a pure Ti coating but contained some carbides and silicides. Deposition rate of the coating increased with the increase of temperature. The deposited thickness increased with the increase of heat preservation time, and achieved the maximum thickness at 90 min.

  15. The Chemistry of Inorganic Precursors during the Chemical Deposition of Films on Solid Surfaces.

    Science.gov (United States)

    Barry, Seán T; Teplyakov, Andrew V; Zaera, Francisco

    2018-03-20

    The deposition of thin solid films is central to many industrial applications, and chemical vapor deposition (CVD) methods are particularly useful for this task. For one, the isotropic nature of the adsorption of chemical species affords even coverages on surfaces with rough topographies, an increasingly common requirement in microelectronics. Furthermore, by splitting the overall film-depositing reactions into two or more complementary and self-limiting steps, as it is done in atomic layer depositions (ALD), film thicknesses can be controlled down to the sub-monolayer level. Thanks to the availability of a vast array of inorganic and metalorganic precursors, CVD and ALD are quite versatile and can be engineered to deposit virtually any type of solid material. On the negative side, the surface chemistry that takes place in these processes is often complex, and can include undesirable side reactions leading to the incorporation of impurities in the growing films. Appropriate precursors and deposition conditions need to be chosen to minimize these problems, and that requires a proper understanding of the underlying surface chemistry. The precursors for CVD and ALD are often designed and chosen based on their known thermal chemistry from inorganic chemistry studies, taking advantage of the vast knowledge developed in that field over the years. Although a good first approximation, however, this approach can lead to wrong choices, because the reactions of these precursors at gas-solid interfaces can be quite different from what is seen in solution. For one, solvents often aid in the displacement of ligands in metalorganic compounds, providing the right dielectric environment, temporarily coordinating to the metal, or facilitating multiple ligand-complex interactions to increase reaction probabilities; these options are not available in the gas-solid reactions associated with CVD and ALD. Moreover, solid surfaces act as unique "ligands", if these reactions are to be

  16. Chemical solution deposition of CaCu3Ti4O12 thin film

    Indian Academy of Sciences (India)

    Administrator

    CaCu3Ti4O12; thin film; chemical solution deposition; dielectric properties. 1. Introduction. The CaCu3Ti4O12. (CCTO) compound has recently attracted considerable ... and Kelvin probe force microscopy (Chung et al 2004). Intrinsic .... SEM images of CCTO thin films as a function of sintering temperature. silicon based ...

  17. Preparation of nanocrystalline ZnS by a new chemical bath deposition route

    Energy Technology Data Exchange (ETDEWEB)

    Sartale, S.D. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany); Sankapal, B.R. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany); Lux-Steiner, M. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany); Ennaoui, A. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany)]. E-mail: ennaoui@hmi.de

    2005-06-01

    We report a new chemical bath deposition route for the preparation of dense, compact and uniform nanocrystalline ZnS thin films, where thiourea acts as a complexing agent as well as a source of sulfide ions. The structural and morphological characterizations suggest that the film and the residual powder in the bath are formed by the aggregation of clusters of ZnS, namely cluster-by-cluster growth mechanism. X-ray diffraction (XRD) and HRTEM analyses indicate that the film and powder formed in the bath have cubic zinkblende structure. The films have high transmittance of about 75% in the visible region. Post-deposition annealing in Ar slightly improves the crystallinity and decreases the optical bandgap with increasing the annealing temperature.

  18. Preparation of nanocrystalline ZnS by a new chemical bath deposition route

    International Nuclear Information System (INIS)

    Sartale, S.D.; Sankapal, B.R.; Lux-Steiner, M.; Ennaoui, A.

    2005-01-01

    We report a new chemical bath deposition route for the preparation of dense, compact and uniform nanocrystalline ZnS thin films, where thiourea acts as a complexing agent as well as a source of sulfide ions. The structural and morphological characterizations suggest that the film and the residual powder in the bath are formed by the aggregation of clusters of ZnS, namely cluster-by-cluster growth mechanism. X-ray diffraction (XRD) and HRTEM analyses indicate that the film and powder formed in the bath have cubic zinkblende structure. The films have high transmittance of about 75% in the visible region. Post-deposition annealing in Ar slightly improves the crystallinity and decreases the optical bandgap with increasing the annealing temperature

  19. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  1. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  2. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2006-01-01

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film

  3. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay (India) and Samtel Centre for Display Technologies, Indian Institute of Technology Kanpur, India, Kanpur 208016 (India)]. E-mail: bibhup@iitb.ac.in

    2006-12-15

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film.

  4. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  5. Microstructural characterization of chemical bath deposited and sputtered Zn(O,S) buffer layers

    International Nuclear Information System (INIS)

    Gautron, E.; Buffière, M.; Harel, S.; Assmann, L.; Arzel, L.; Brohan, L.; Kessler, J.; Barreau, N.

    2013-01-01

    The present work aims at investigating the microstructure of Zn(O,S) buffer layers relative to their deposition route, namely either chemical bath deposition (CBD) or RF co-sputtering process (PVD) under pure Ar. The core of the study consists of cross-sectional transmission electron microscopy (TEM) characterization of the differently grown Zn(O,S) thin films on co-evaporated Cu(In,Ga)Se 2 (CIGSe) absorbers. It shows that the morphology of Zn(O,S) layer deposited on CIGSe using CBD process is made of a thin layer of well oriented ZnS sphalerite-(111) and/or ZnS wurtzite-(0002) planes parallel to CIGSe chalcopyrite-(112) planes at the interface with CIGSe followed by misoriented nanometer-sized ZnS crystallites in an amorphous phase. As far as (PVD)Zn(O,S) is concerned, the TEM analyses reveal two different microstructures depending on the S-content in the films: for [S] / ([O] + [S]) = 0.6, the buffer layer is made of ZnO zincite and ZnS wurtzite crystallites grown nearly coherently to each other, with (0002) planes nearly parallel with CIGSe-(112) planes, while for [S] / ([O] + [S]) = 0.3, it is made of ZnO zincite type crystals with O atoms substituted by S atoms, with (0002) planes perfectly aligned with CIGSe-(112) planes. Such microstructural differences can explain why photovoltaic performances are dependent on the Zn(O,S) buffer layer deposition route. - Highlights: ► Zn(O,S) layers were grown by chemical bath (CBD) or physical vapor (PVD) deposition. ► For CBD, a 3 nm ZnS layer is followed by ZnS nano-crystallites in an amorphous phase. ► For PVD with [S] / ([O] + [S]) = 0.3, the layer has a Zn(O,S) zincite structure. ► For PVD with [S] / ([O] + [S]) = 0.6, ZnS wurtzite and ZnO zincite phases are mixed

  6. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  7. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  8. Characterization for rbs of layers de grown cdznse for chemical deposition (cbd)

    International Nuclear Information System (INIS)

    Pedrero, E.; Larramendi, E.; Calzadilla, O.

    1999-01-01

    Depth and composition of CdZnSe thin films grown by Chemical Bath Deposition were characterized by Rutherford Backscattering Spectrometry. Depth and the relative cuantitity of Se, both decrease when annealed in air. The films have a non-stoichiometric Cd:Zn:Se composition constant from the substrate to the surface , with vacancies of Se. After annealing all the films come up to a similar composition: Cd( Zn 0.2 )Se

  9. Chemically and size-resolved particulate matter dry deposition on stone and surrogate surfaces inside and outside the low emission zone of Milan: application of a newly developed "Deposition Box".

    Science.gov (United States)

    Ferrero, Luca; Casati, Marco; Nobili, Lara; D'Angelo, Luca; Rovelli, Grazia; Sangiorgi, Giorgia; Rizzi, Cristiana; Perrone, Maria Grazia; Sansonetti, Antonio; Conti, Claudia; Bolzacchini, Ezio; Bernardi, Elena; Vassura, Ivano

    2018-04-01

    The collection of atmospheric particles on not-filtering substrates via dry deposition, and the subsequent study of the particle-induced material decay, is trivial due to the high number of variables simultaneously acting on the investigated surface. This work reports seasonally resolved data of chemical composition and size distribution of particulate matter deposed on stone and surrogate surfaces obtained using a new method, especially developed at this purpose. A "Deposition Box" was designed allowing the particulate matter dry deposition to occur selectively removing, at the same time, variables that can mask the effect of airborne particles on material decay. A pitched roof avoided rainfall and wind variability; a standardised gentle air exchange rate ensured a continuous "sampling" of ambient air leaving unchanged the sampled particle size distribution and, at the same time, leaving quite calm condition inside the box, allowing the deposition to occur. Thus, the "Deposition Box" represents an affordable tool that can be used complementary to traditional exposure systems. With this system, several exposure campaigns, involving investigated stone materials (ISMs) (Carrara Marble, Botticino limestone, Noto calcarenite and Granite) and surrogate (Quartz, PTFE, and Aluminium) substrates, have been performed in two different sites placed in Milan (Italy) inside and outside the low emission zone. Deposition rates (30-90 μg cm -2  month -1 ) showed significant differences between sites and seasons, becoming less evident considering long-period exposures due to a positive feedback on the deposition induced by the deposited particles. Similarly, different stone substrates influenced the deposition rates too. The collected deposits have been observed with optical and scanning electron microscopes and analysed by ion chromatography. Ion deposition rates were similar in the two sites during winter, whereas it was greater outside the low emission zone during summer and

  10. Fibrous hydroxyapatite–carbon nanotube composites by chemical vapor deposition: In situ fabrication, structural and morphological characterization

    International Nuclear Information System (INIS)

    Kosma, Vassiliki; Tsoufis, Theodoros; Koliou, Theodora; Kazantzis, Antonios; Beltsios, Konstantinos; De Hosson, Jeff Th. M.; Gournis, Dimitrios

    2013-01-01

    Highlights: ► CNTs synthesized on fibrous HA surfaces supporting Fe–Co bi- metallic catalysts by CVD. ► CNTs are rooted on HA distinct needle-like monocrystals and needle spherulitic aggregates. ► Reaction temperature and metal loading are critical parameters for CNT production. -- Abstract: Fibrous hydroxyapatite (HA)–carbon nanotube composites were synthesized by the catalytic decomposition of acetylene over Fe–Co bimetallic catalysts supported on the fibrous HA. Two forms of fibrous HA (distinct needle-like monocrystals and spherulitic aggregates of needles) were synthesized using a simple precipitation method and loaded with bimetallic catalysts (from 2 up to 20 wt%) by a wet chemical impregnation method. The HA supported catalysts were evaluated for the in situ growth of carbon nanotubes using the catalytic chemical vapor deposition method. The effect of reaction temperature and metal loading on the yield, structural perfection and morphology of the carbon products were investigated using a combination of X-ray diffraction, thermal analysis, Raman spectroscopy and scanning and transmission electron microscopies. The results revealed that both the selection of the growing conditions and the metal loading determine the yield and overall quality of the synthesized carbon nanotubes, which exhibit high graphitization degree when synthesized in high yields

  11. Fibrous hydroxyapatite–carbon nanotube composites by chemical vapor deposition: In situ fabrication, structural and morphological characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kosma, Vassiliki; Tsoufis, Theodoros; Koliou, Theodora [Department of Materials Science and Engineering, University of Ioannina, GR-45110 Ioannina (Greece); Kazantzis, Antonios [Department of Applied Physics, Zernike Institute for Advanced Materials, University of Groningen, Nijenborgh 4, NL-9747AG Groningen (Netherlands); Beltsios, Konstantinos [Department of Materials Science and Engineering, University of Ioannina, GR-45110 Ioannina (Greece); De Hosson, Jeff Th. M. [Department of Applied Physics, Zernike Institute for Advanced Materials, University of Groningen, Nijenborgh 4, NL-9747AG Groningen (Netherlands); Gournis, Dimitrios, E-mail: dgourni@cc.uoi.gr [Department of Materials Science and Engineering, University of Ioannina, GR-45110 Ioannina (Greece)

    2013-04-20

    Highlights: ► CNTs synthesized on fibrous HA surfaces supporting Fe–Co bi- metallic catalysts by CVD. ► CNTs are rooted on HA distinct needle-like monocrystals and needle spherulitic aggregates. ► Reaction temperature and metal loading are critical parameters for CNT production. -- Abstract: Fibrous hydroxyapatite (HA)–carbon nanotube composites were synthesized by the catalytic decomposition of acetylene over Fe–Co bimetallic catalysts supported on the fibrous HA. Two forms of fibrous HA (distinct needle-like monocrystals and spherulitic aggregates of needles) were synthesized using a simple precipitation method and loaded with bimetallic catalysts (from 2 up to 20 wt%) by a wet chemical impregnation method. The HA supported catalysts were evaluated for the in situ growth of carbon nanotubes using the catalytic chemical vapor deposition method. The effect of reaction temperature and metal loading on the yield, structural perfection and morphology of the carbon products were investigated using a combination of X-ray diffraction, thermal analysis, Raman spectroscopy and scanning and transmission electron microscopies. The results revealed that both the selection of the growing conditions and the metal loading determine the yield and overall quality of the synthesized carbon nanotubes, which exhibit high graphitization degree when synthesized in high yields.

  12. Formation of a ZnS/Zn(S,O) bilayer buffer on CuInS2 thin film solar cell absorbers by chemical bath deposition

    Science.gov (United States)

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; Sáez-Araoz, R.; Allsop, N.; Lauermann, I.; Schock, H.-W.; Lux-Steiner, M. C.

    2006-06-01

    The application of Zn compounds as buffer layers was recently extended to wide-gap CuInS2 (CIS) based thin film solar cells. Using an alternative chemical deposition route for the buffer preparation aiming at the deposition of a single-layer, nominal ZnS buffer without the need for any toxic reactants such as hydrazine has helped us to achieve a similar efficiency as respective CdS-buffered reference devices. In order to shed light on the differences of other Zn-compound buffers deposited in conventional chemical baths [chemical bath deposition (CBD)] compared to the buffer layers deposited by this alternative CBD process, the composition of the deposited buffers was investigated by x-ray excited Auger electron and x-ray photoelectron spectroscopy to potentially clarify their superiority in terms of device performance. We have found that in the early stages of this alternative CBD process a thin ZnS layer is formed on the CIS, whereas in the second half of the CBD the growth rate is greatly increased and Zn(S,O) with a ZnS/(ZnS+ZnO) ratio of ~80% is deposited. Thus, a ZnS/Zn(S,O) bilayer buffer is deposited on the CIS thin film solar cell absorbers by the alternative chemical deposition route used in this investigation. No major changes of these findings after a postannealing of the buffer/CIS sample series and recharacterization could be identified.

  13. Chemically deposited Sb2S3 thin films for optical recording

    International Nuclear Information System (INIS)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B; O'Brien, J J; Liu, J

    2010-01-01

    Laser induced changes in the properties of Sb 2 S 3 thin films prepared by chemical bath deposition are described in this paper. Sb 2 S 3 thin films of thickness 550 nm were deposited from a solution containing SbCl 3 and Na 2 S 2 O 3 at 27 0 C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  14. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  15. Synthesis of thick diamond films by direct current hot-cathode plasma chemical vapour deposition

    CERN Document Server

    Jin Zeng Sun; Bai Yi Zhen; Lu Xian Yi

    2002-01-01

    The method of direct current hot-cathode plasma chemical vapour deposition has been established. A long-time stable glow discharge at large discharge current and high gas pressure has been achieved by using a hot cathode in the temperature range from 1100 degree C to 1500 degree C and non-symmetrical configuration of the poles, in which the diameter of the cathode is larger than that of anode. High-quality thick diamond films, with a diameter of 40-50 mm and thickness of 0.5-4.2 mm, have been synthesized by this method. Transparent thick diamond films were grown over a range of growth rates between 5-10 mu m/h. Most of the thick diamond films have thermal conductivities of 10-12 W/K centre dot cm. The thick diamond films with high thermal conductivity can be used as a heat sink of semiconducting laser diode array and as a heat spreading and isolation substrate of multichip modules. The performance can be obviously improved

  16. Uniformly Distributed Graphene Domain Grows on Standing Copper via Low-Pressure Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shih-Hao Chan

    2013-01-01

    Full Text Available Uniformly distributed graphene domains were synthesized on standing copper foil by a low-pressure chemical vapor deposition system. This method improved the distribution of the graphene domains at different positions on the same piece of copper foil along the forward direction of the gas flow. Scanning electron microscopy (SEM showed the average size of the graphene domains to be about ~20 m. This results show that the sheet resistance of monolayer graphene on a polyethylene terephthalate (PET substrate is about ~359 /□ whereas that of the four-layer graphene films is about ~178 /□, with a transmittance value of 88.86% at the 550 nm wavelength. Furthermore, the sheet resistance can be reduced with the addition of HNO3 resulting in a value of 84 /□. These values meet the absolute standard for touch sensor applications, so we believe that this method can be a candidate for some transparent conductive electrode applications.

  17. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  18. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  19. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  20. TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition

    International Nuclear Information System (INIS)

    Tristao, Juliana Cristina; Moura, Flavia Cristina Camilo; Lago, Rochel Montero; Sapag, Karim

    2010-01-01

    In this work, a TPR (Temperature Programmed Reduction) system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition), The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al 2 O 3 and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields. (author)

  1. Method of research and study of uranium deposits

    International Nuclear Information System (INIS)

    Lenoble, A.

    1955-01-01

    In a first part, the author gives a fast retrospective of the evaluations of the uranium deposits in the French Union. The author established a method of prospecting and studying, modifiable at all times following the experiences and the results, permitting to make the general inventory of uranium resources on the territory. The method is based on: 1 - the determination of geological guides in order to mark the most promising deposits, 2 - the definition of a methodology adapted to every steps of the research, 3 - the choice of the material adapted for each of the steps. This method, originally established for the prospecting in crystalline massifs, is adaptable to the prospecting of the sedimentary formations. (M.B.) [fr

  2. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  3. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  4. Uniform deposition of uranium hexafluoride (UF6): Standardized mass deposits and controlled isotopic ratios using a thermal fluorination method.

    Science.gov (United States)

    McNamara, Bruce K; O'Hara, Matthew J; Casella, Andrew M; Carter, Jennifer C; Addleman, R Shane; MacFarlan, Paul J

    2016-07-01

    We report a convenient method for the generation of volatile uranium hexafluoride (UF6) from solid uranium oxides and other U compounds, followed by uniform deposition of low levels of UF6 onto sampling coupons. Under laminar flow conditions, UF6 is shown to interact with surfaces within a fixed reactor geometry to a highly predictable degree. We demonstrate the preparation of U deposits that range between approximately 0.01 and 500ngcm(-2). The data suggest the method can be extended to creating depositions at the sub-picogramcm(-2) level. The isotopic composition of the deposits can be customized by selection of the U source materials and we demonstrate a layering technique whereby two U solids, each with a different isotopic composition, are employed to form successive layers of UF6 on a surface. The result is an ultra-thin deposit that bears an isotopic signature that is a composite of the two U sources. The reported deposition method has direct application to the development of unique analytical standards for nuclear safeguards and forensics. Further, the method allows access to very low atomic or molecular coverages of surfaces. Copyright © 2016 Elsevier B.V. All rights reserved.

  5. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  7. Laser Photolysis and Thermolysis of Organic Selenides and Tellurides for Chemical Gas-phase Deposition of Nanostructured Materials

    Directory of Open Access Journals (Sweden)

    Josef Pola

    2009-03-01

    Full Text Available Laser radiation-induced decomposition of gaseous organic selenides and tellurides resulting in chemical deposition of nanostructured materials on cold surfaces is reviewed with regard to the mechanism of the gas-phase decomposition and properties of the deposited materials. The laser photolysis and laser thermolysis of the Se and Te precursors leading to chalcogen deposition can also serve as a useful approach to nanostructured chalcogen composites and IVA group (Si, Ge, Sn element chalcogenides provided that it is carried out simultaneously with laser photolysis or thermolysis of polymer and IVA group element precursor.

  8. Fabrication of CdS films with superhydrophobicity by the microwave assisted chemical bath deposition.

    Science.gov (United States)

    Liu, Y; Tan, T; Wang, B; Zhai, R; Song, X; Li, E; Wang, H; Yan, H

    2008-04-15

    A simple method of microwave assisted chemical bath deposition (MA-CBD) was adopted to fabricate cadmium sulfide (CdS) thin films. The superhydrophobic surface with a water contact angle (CA) of 151 degrees was obtained. Via a scanning electron microscopy (SEM) observation, the film was proved having a porous micro/nano-binary structure which can change the property of the surface and highly enhance the hydrophobicity of the film. A possible mechanism was suggested to describe the growth of the porous structure, in which the microwave heating takes an important role in the formation of two distinct characteristic dimensions of CdS precipitates, the growth of CdS sheets in micro-scale and sphere particles in nano-scale. The superhydrophobic films may provide novel platforms for photovoltaic, sensor, microfluidic and other device applications.

  9. Chemical cleaning - essential for optimal steam generator asset management

    International Nuclear Information System (INIS)

    Ammann, Franz

    2009-01-01

    Accumulation of deposits in Steam Generator is intrinsic during the operation of Pressurized Water Reactors. Such depositions lead to reduction of thermal performance, loss of component integrity and, in some cases, to power restrictions. Accordingly, removal of such deposits is an essential part of the asset management program of Steam Generators. Every plant has specific conditions, history and constraints which must be considered when planning and performing a chemical cleaning. Typical points are: -Constitution of the deposits or sludge - Sludge load - Sludge distribution in the steam generator - Existing or expected corrosion problems - Amount and tendency of fouling for waste treatment The strategy for chemical cleaning is developed from these points. The range of chemical cleaning treatments starts with very soft cleanings which can remove approximately 100kg per steam generator and ends with full scale, i.e., hard, cleanings which can remove several thousand kilograms of deposits from a steam generator. Dependent upon the desired goal for the operating plant and the steam generator material condition, the correct cleaning method can be selected. This requires flexible cleaning methods that can be adapted to the individual needs of a plant. Such customizing of chemical cleaning methods is a crucial factor for an optimized asset management program of steam generators in a nuclear power plant

  10. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  11. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  12. Deposition of Y-Sm Oxide on Metallic Substrates for the YBCO Coated Conductor by MOCVD Method

    International Nuclear Information System (INIS)

    Choi, Jun Kyu; Kim, Min Woo; Jun, Byung Hyuk; Kim, Chan Joong; Lee, Hee Gyoun; Hong, Gye Won

    2005-01-01

    Complex single buffer composed of yttrium and samarium oxide was deposited on the metallic substrates by MOCVD (metal organic chemical vapor deposition) method using single liquid source. Two different types of the substrates with in-plane textures of about 8 - 10 degree of Ni and 3at.%W-Ni alloy were used. Y(tmhd: 2,2,6,6-tetramethyl-3,5-heptane dionate) 3 :Sm(tmhd) 3 of liquid source was adjusted to 0.4:0.6 to minimize the lattice mismatch between the complex single buffer and the YBCO. The epitaxial growth of (Y x Sm 1-x ) 2 O 3 was achieved at the temperature higher than 500 degree C in O 2 atmosphere. However, it was found that the formation of NiO accelerated with increasing deposition temperature. By supplying H 2 O vapor, this oxidation of the substrate could be suppressed throughout the deposition temperatures. We could get the epitaxial growth on pure Ni substrate without the formation of NiO. The competitive (222) and (400) growths were observed at the deposition temperatures of 650 - 750 degree C, but the (400) growth became dominant above 800 degree. The (Y x Sm 1-x ) 2 O 3 -buffered metallic substrates can be used as the buffer for YBCO coated conductor.

  13. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  14. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  15. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  16. Evaluation of niobium dimethylamino-ethoxide for chemical vapour deposition of niobium oxide thin films

    International Nuclear Information System (INIS)

    Dabirian, Ali; Kuzminykh, Yury; Wagner, Estelle; Benvenuti, Giacomo; Rushworth, Simon; Hoffmann, Patrik

    2014-01-01

    Chemical vapour deposition (CVD) processes depend on the availability of suitable precursors. Precursors that deliver a stable vapour pressure are favourable in classical CVD processes, as they ensure process reproducibility. In high vacuum CVD (HV-CVD) process vapour pressure stability of the precursor is of particular importance, since no carrier gas assisted transport can be used. The dimeric Nb 2 (OEt) 10 does not fulfil this requirement since it partially dissociates upon heating. Dimethylamino functionalization of an ethoxy ligand of Nb(OEt) 5 acts as an octahedral field completing entity and leads to Nb(OEt) 4 (dmae). We show that Nb(OEt) 4 (dmae) evaporates as monomeric molecule and ensures a stable vapour pressure and, consequently, stable flow. A set of HV-CVD experiments were conducted using this precursor by projecting a graded molecular beam of the precursor onto the substrate at deposition temperatures from 320 °C to 650 °C. Film growth rates ranging from 8 nm·h −1 to values larger than 400 nm·h −1 can be obtained in this system illustrating the high level of control available over the film growth process. Classical CVD limiting conditions along with the recently reported adsorption–reaction limited conditions are observed and the chemical composition, and microstructural and optical properties of the films are related to the corresponding growth regime. Nb(OEt) 4 (dmae) provides a large process window of deposition temperatures and precursor fluxes over which carbon-free and polycrystalline niobium oxide films with growth rates proportional to precursor flux are obtained. This feature makes Nb(OEt) 4 (dmae) an attractive precursor for combinatorial CVD of niobium containing complex oxide films that are finding an increasing interest in photonics and photoelectrochemical water splitting applications. The adsorption–reaction limited conditions provide extremely small growth rates comparable to an atomic layer deposition (ALD) process

  17. Deposition of carbon nanotubes onto aramid fibers using as-received and chemically modified fibers

    International Nuclear Information System (INIS)

    Rodríguez-Uicab, O.; Avilés, F.; Gonzalez-Chi, P.I; Canché-Escamilla, G.; Duarte-Aranda, S.; Yazdani-Pedram, M.; Toro, P.; Gamboa, F.; Mazo, M.A.; Nistal, A.; Rubio, J.

    2016-01-01

    Highlights: • The surface of aramid fibers was functionalized by two acid treatments. • The treatment based on HNO_3/H_2SO_4 reduced the mechanical properties of the fibers. • CNTs were deposited on the aramid fibers, reaching electrical conductivity. • Homogeneous CNT distribution was achieved by using pristine fibers or chlorosulfonic acid. - Abstract: Multiwall carbon nanotubes (MWCNTs) oxidized by an acid treatment were deposited on the surface of as-received commercial aramid fibers containing a surface coating (“sizing”), and fibers modified by either a chlorosulfonic treatment or a mixture of nitric and sulfuric acids. The surface of the aramid fiber activated by the chemical treatments presents increasing density of CO, COOH and OH functional groups. However, these chemical treatments reduced the tensile mechanical properties of the fibers, especially when the nitric and sulfuric acid mixture was used. Characterization of the MWCNTs deposited on the fiber surface was conducted by scanning electron microscopy, Raman spectroscopy mapping and X-ray photoelectron spectroscopy. These characterizations showed higher areal concentration and more homogeneous distribution of MWCNTs over the aramid fibers for as-received fibers and for those modified with chlorosulfonic acid, suggesting the existence of interaction between the oxidized MWCNTs and the fiber coating. The electrical resistance of the MWCNT-modified aramid yarns comprising ∼1000 individual fibers was in the order of MΩ/cm, which renders multifunctional properties.

  18. Comparison of radiation detector performance for different metal contacts on CdZnTe deposited by electroless deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Q.; Dierre, F.; Crocco, J.; Bensalah, H.; Dieguez, E. [Crystal Growth Laboratory, Department of Materials Physics, Universidad Autonoma de Madrid, 28049 Madrid (Spain); Ayoub, M. [Durham Scientific Crystals Laboratory, Netpark, Thomas Wright Way, Sedgefield, TS21, 3FD (United Kingdom); Corregidor, V.; Alves, E. [Unidade de Fisica e Aceleradores, LFI, ITN, E.N.10, 2686-953, Sacavem (Portugal); Fernandez-Ruiz, R. [Servicio Interdepartamental de Investigacion. Laboratorio de TXRF/Laue-XRD. Facultad de Ciencias, Universidad Autonoma de Madrid, 28049 Madrid (Spain); Perez, J.M. [CIEMAT, Edificio 22, Avda Complutense 22, 28040 Madrid (Spain)

    2011-11-15

    A comparative study of four different metals gold (Au), platinum (Pt), ruthenium (Ru) and rhodium (Rh) deposited on CdZnTe(CZT) by the electroless deposition method has been carried out. Two of these materials, Ru and Rh, have been deposited for the first time by this method. In contrast to the Pt deposition, the deposition of Ru and Rh were not carried out under the optimal conditions. The metals deposited on the samples were identified by Total reflection X-ray Fluorescence (TXRF). Rutherford Backscattering Spectrometry (RBS) analyses show that Au forms the thickest layer ({proportional_to}160 nm) for the experimental conditions of this work. Current-voltage measurements show that Pt forms a more linear ohmic contact with the lowest leakage current. A {sup 57}Co gamma ray spectrum gave a better detector performance with a FWHM 11 keV at 122 keV. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  20. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  1. Uniform deposition of uranium hexafluoride (UF6): Standardized mass deposits and controlled isotopic ratios using a thermal fluorination method

    Energy Technology Data Exchange (ETDEWEB)

    McNamara, Bruce K.; O’Hara, Matthew J.; Casella, Andrew M.; Carter, Jennifer C.; Addleman, R. Shane; MacFarlan, Paul J.

    2016-07-01

    Abstract: We report a convenient method for the generation of volatile uranium hexafluoride (UF6) from solid uranium oxides and other uranium compounds, followed by uniform deposition of low levels of UF6 onto sampling coupons. Under laminar flow conditions, UF6 is shown to interact with surfaces within the chamber to a highly predictable degree. We demonstrate the preparation of uranium deposits that range between ~0.01 and 470±34 ng∙cm-2. The data suggest the method can be extended to creating depositions at the sub-picogram∙cm-2 level. Additionally, the isotopic composition of the deposits can be customized by selection of the uranium source materials. We demonstrate a layering technique whereby two uranium solids, each with a different isotopic composition, are employed to form successive layers of UF6 on a surface. The result is an ultra-thin deposit of UF6 that bears an isotopic signature that is a composite of the two uranium sources. The reported deposition method has direct application to the development of unique analytical standards for nuclear safeguards and forensics.

  2. Chemical bath deposition of Cu{sub 3}BiS{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Deshmukh, S.G., E-mail: deshmukhpradyumn@gmail.com; Vipul, Kheraj, E-mail: vipulkheraj@gmail.com [Department of Applied Physics, Sardar Vallabhbhai National Institute of Technology, Ichchhanath, Surat (India); Panchal, A.K. [Department of Electrical Engineering, Sardar Vallabhbhai National Institute of Technology, Ichchhanath, Surat (India)

    2016-05-06

    First time, copper bismuth sulfide (Cu{sub 3}BiS{sub 3}) thin films were synthesized on the glass substrate using simple, low-cost chemical bath deposition (CBD) technique. The synthesized parameters such as temperature of bath, pH and concentration of precursors were optimized for the deposition of uniform, well adherent Cu{sub 3}BiS{sub 3} thin films. The optical, surface morphology and structural properties of the Cu{sub 3}BiS{sub 3} thin films were studied using UV-VIS-NIR spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD). The as- synthesized Cu{sub 3}BiS{sub 3} film exhibits a direct band gap 1.56 to 1.58 eV having absorption coefficient of the order of 10{sup 5} cm{sup −1}. The XRD declares the amorphous nature of the films. SEM images shows films were composed of close-packed fine spherical nanoparticles of 70-80 nm in diameter. The chemical composition of the film was almost stoichiometric. The optical study indicates that the Cu{sub 3}BiS{sub 3} films can be applied as an absorber layer for thin film solar cells.

  3. In Situ Coupling of Ultrasound to Electro- and Photo-Deposition Methods for Materials Synthesis

    Directory of Open Access Journals (Sweden)

    Agnieszka Magdziarz

    2017-01-01

    Full Text Available This short review provides the current state-of-the-art of in situ coupling of ultrasound to chemical deposition methods. A synergetic action of the ultrasound and light radiation or electrical fields may result in new powerful methodologies, and these include sonophotodeposition and sonoelectrodeposition processes. The effect of ultrasound is explained on the base of different physical mechanisms emerging from cavitation phenomenon. Some possible mechanisms of the interactions between ultrasound and photochemical and electrochemical processes are discussed here. The application of sonophotodeposition and sonoelectrodeposition as green energy sources in the syntheses of different nanomaterials is also reviewed.

  4. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rongzheng; Liu, Malin, E-mail: liumalin@tsinghua.edu.cn; Chang, Jiaxing [Tsinghua University, Institute of Nuclear and New Energy Technology, Collaborative Innovation Center of Advanced Nuclear Energy Technology (China)

    2017-02-15

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H{sub 2} system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  5. Oriented growth of Sr n+1Ti n O3n+1 Ruddlesden-Popper phases in chemical solution deposited thin films

    International Nuclear Information System (INIS)

    Gutmann, Emanuel; Levin, Alexandr A.; Reibold, Marianne; Mueller, Jan; Paufler, Peter; Meyer, Dirk C.

    2006-01-01

    Oriented thin films of perovskite-related Sr n +1 Ti n O 3 n +1 Ruddlesden-Popper phases (n=1, 2, 3) were grown on (001) single-crystalline SrTiO 3 substrates. Preparation of the films was carried out by wet chemical deposition from metalorganic Sr-Ti solutions (rich in Sr) and subsequent conversion into the crystalline state by thermal treatment in air atmosphere at a maximum temperature of 700 deg. C. Solutions were prepared by a modified Pechini method. The films were investigated by wide-angle X-ray scattering and high-resolution transmission electron microscopy. The phase content of powders prepared from the dried solutions and annealed under similar conditions differed from that present in the films, i.e. only polycrystalline SrTiO 3 was detected together with oxides of Ti and Sr. - Graphical abstract: Cross-sectional image of an oriented chemical solution deposited thin film obtained by high-resolution transmission electron microscopy. Periodical spacings corresponding to SrTiO 3 substrate (right) and Sr 2 TiO 4 Ruddlesden-Popper phase (n=1) film region (left) are marked

  6. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu, Rongzheng; Liu, Malin; Chang, Jiaxing

    2017-01-01

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H_2 system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  7. Fast vertical growth of ZnO nanorods using a modified chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Tae-hyun [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, Hyukhyun, E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Lee, Won-Jae [Department of Materials and Components Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of)

    2014-06-01

    Highlights: • We grew vertical ZnO nanorods by a modified CBD process with a fast growth rate. • We studied the effects of the CBD process by varying growth temperature, time, and concentration. • The ZnO nanorods grown by the modified CBD showed good morphological and structural properties. - Abstract: In this study, we grew vertical ZnO nanorods on seeded Si (1 0 0) substrates using a modified chemical bath deposition (CBD). We investigated the effects of the growth temperature, growth time and concentration on the morphological and structural properties of the ZnO nanorods using field emission gun scanning electron microscopy (FEG-SEM) and X-ray diffraction. This modified CBD method shows improved results over conventional CBD. ZnO nanorods with good structural XRD properties were grown with a very fast growth rate in a wide range of growth conditions and did not require post-growth annealing.

  8. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  9. Chemically evolving systems for oil recovery enhancement in heavy oil deposits

    Science.gov (United States)

    Altunina, L. K.; Kuvshinov, I. V.; Kuvshinov, V. A.; Stasyeva, L. A.

    2017-12-01

    This work presents the results of laboratory studies and field tests of new physicochemical technologies for enhanced oil recovery of heavy oil fields under natural development conditions and with thermal-steam stimulation using oil-displacing "smart" systems. The systems are based on surfactants and buffer systems. Their rheological and acid-base properties can be regulated by their chemical evolution directly in the formation. Field tests of the technologies carried out on high-viscosity oil deposit in the Usinskoye oilfield have shown that the EOR technologies are environmentally friendly and technologically effective.

  10. Comparative study of ZnSe thin films deposited from modified chemical bath solutions with ammonia-containing and ammonia-free precursors

    International Nuclear Information System (INIS)

    Chen Liangyan; Zhang Daoli; Zhai Guangmei; Zhang Jianbing

    2010-01-01

    Ammonia is one of the complexing agents which are the most commonly used in the precursors of ZnSe thin films by chemical bath deposition, but its high volatility may be harmful to human beings and environments. In our experiments, ZnSe films were obtained from modified chemical solutions with ammonia-containing and ammonia-free precursors. X-ray diffraction, field-emission scanning electron microscope (FSEM), and absorption spectrum were applied to investigate the microstructure, morphology and optical properties of the samples obtained from both growth conditions, which were investigated in this work. The ammonia-free chemical bath deposited ZnSe films showed comparable properties with the ammonia-containing ones, indicating that ZnSe films from ammonia-free chemical solution may be preferred buffer layer in thin film solar cells with less environmental contamination.

  11. Superhydrophobic Cu{sub 2}S@Cu{sub 2}O film on copper surface fabricated by a facile chemical bath deposition method and its application in oil-water separation

    Energy Technology Data Exchange (ETDEWEB)

    Pi, Pihui, E-mail: phpi@scut.edu.cn; Hou, Kun; Zhou, Cailong; Li, Guidong; Wen, Xiufang; Xu, Shouping; Cheng, Jiang; Wang, Shuangfeng

    2017-02-28

    Highlights: • A superhydrophobic film with macro/nano structure was fabricated on copper surface. • The as-prepared film shows outstanding water repellency and long-term storage stability. • The same method was used to fabricate superhydrophobic/superoleophilic copper mesh. • The obtained mesh could realize separation of various oily sewages with separation efficiency above 94%. - Abstract: Cu{sub 2}S and Cu{sub 2}O composite (Cu{sub 2}S@Cu{sub 2}O) film with micro/nano binary structure was created on copper surface using the mixing solution of sodium thiosulphate and copper sulfate by a facile chemical bath deposition method. After modification with low-cost polydimethylsioxane (PDMS), the superhydrophobic Cu{sub 2}S@Cu{sub 2}O film was obtained. The as-prepared film shows outstanding water repellency with a water contact angle larger than 150° and long-term storage stability. The geometric morphology and chemical composition of the film were characterized by scanning electron microscope (SEM), energy dispersive spectrometer (EDS) and attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), respectively. Moreover, the same method was used to fabricate superhydrophobic/superoleophilic copper mesh, and it could realize separation of various oily sewages with separation efficiency above 94%. This strategy has potential to fabricate the practical superhydrophobic Cu{sub 2}S@Cu{sub 2}O film on copper surface on a large scale due to its simplicity and low cost.

  12. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  13. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  14. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  15. Investigation on structural and optical properties of ZnO film prepared by simple wet chemical method

    Science.gov (United States)

    Sholehah, Amalia; Mulyadi, Rendi; Haryono, Didied; Muttakin, Imamul; Rusbana, Tb Bahtiar; Mardiyanto

    2018-04-01

    ZnO thin layer has a broad potential application in electronic and optoelectronic devices. In this study, vertically align ZnO layers were deposited on ITO glass using wet chemistry method. The seed layers were prepared using electrodeposition technique at 3°C. The growing process was carried out using chemical bath deposition at 90°C. To improve the structural properties, two different hydrothermal treatment variations were applied separately. From the experiment, it is shown that the hydrothermal process using N2 gas has given the best result, with average diameter, crystallite size, and band-gap energy of 68.83 nm; 56.37 nm; and 3.16 eV, respectively.

  16. In situ Spectroscopy of Solid-State Chemical Reaction in PbBr2-Deposited CsBr Crystals

    Science.gov (United States)

    Kondo, Shin-ichi; Matsunaga, Toshihiro; Saito, Tadaaki; Asada, Hiroshi

    2003-09-01

    It is possible to measure the fundamental optical absorption spectra of CsPbBr3 and Cs4PbBr6, whose stability is predicted by the study of phase diagram in the binary system CsBr-PbBr2, by means of in situ optical absorption and reflection spectroscopy of thermally induced solid-state chemical reaction in PbBr2-deposited CsBr crystals. On heavy annealing of the crystals, the Pb2+ ions are uniformly dispersed in the crystal matrix. The present experiment provides a novel method for measuring intrinsic optical absorption of ternary metal halides and also for in situ monitoring of doping metal halide crystal with impurities (metal ions or halogen ions).

  17. Wet chemical deposition of single crystalline epitaxial manganite thin films with atomically flat surface

    International Nuclear Information System (INIS)

    Mishra, Amita; Dutta, Anirban; Samaddar, Sayanti; Gupta, Anjan K.

    2013-01-01

    We report the wet chemical deposition of single crystalline epitaxial thin films of the colossal magneto-resistive manganite La 0.67 Sr 0.33 MnO 3 on the lattice-matched (001)-face of a La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 substrate. Topographic images of these films taken with a scanning tunneling microscope show atomically flat terraces separated by steps of monatomic height. The resistivity of these films shows an insulator-metal transition at 310 K, nearly coincident with the Curie temperature of 340 K, found from magnetization measurements. The films show a magnetoresistance of 7% at 300 K and 1.2 T. Their saturation magnetization value at low temperatures is consistent with that of the bulk. - Highlights: ► Wet chemical deposition of La 0.67 Sr 0.33 MnO 3 (LSMO) on a lattice-matched substrate. ► Single crystalline epitaxial LSMO films obtained. ► Flat terraces separated by monatomic steps observed by scanning tunneling microscope

  18. YBa{sub 2}Cu{sub 3}O{sub 7-x} thin films prepared by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Apetrii, Claudia

    2009-11-25

    The discovery of superconductivity in ceramic materials by Bednorz and Mueller in early 1987, immediately followed by Wu et al., who showed that YBa{sub 2}Cu{sub 3}O{sub 7-x} (YBCO) becomes superconducting (92 K) well above the boiling point of nitrogen (77 K) created a great excitement in superconductivity research. Potential applications of high T{sub c}-superconductors require large critical currents and high-applied magnetic fields. Effective ways to increase the critical current density at high magnetic fields in YBCO are the introduction of nanoparticles and chemical substitution of yttrium by other rare earth elements. Since low costs and environmental compatibility are essential conditions for the preparation of long length YBCO films, the cost effective chemical solution deposition (CSD) procedure was selected, given that no vacuum technology is required. To reveal the flexibility and the good optimization possibilities of the CSD approach two main processes were chosen for comparison: a fluorine-free method, namely the polymer-metal precursor technique, and a fluorine-based method, the metalorganic deposition (MOD) using the trifluoroacetates (TFA) technique. Sharp transition temperature widths {delta}T{sub c} of 1.1 K for the polymer metal method, 0.8 K for TFA method and critical current densities J{sub c} of {approx}3.5 MA/cm{sup 2} shows that high quality YBCO thin films can be produced using both techniques. Especially interesting is the magnetic field dependence of the critical current density J{sub c}(B) of the Y(Dy)BCO (80 %) films showing that for the lower magnetic fields the critical current density J{sub c}(B) is higher for a standard YBCO film, but at fields higher than 4.5 T the critical current density J{sub c}(B) of Y(Dy)BCO is larger than that for the YBCO. Above 8 T, J{sub c}(B) of the Y(Dy)BCO film is more than one order of magnitude higher than in pure YBCO film. (orig.)

  19. Fe3−δO4/MgO/Co magnetic tunnel junctions synthesized by full in situ atomic layer and chemical vapour deposition

    International Nuclear Information System (INIS)

    Mantovan, R; Vangelista, S; Kutrzeba-Kotowska, B; Lamperti, A; Fanciulli, M; Manca, N; Pellegrino, L

    2014-01-01

    Fe 3−δ O 4 /MgO/Co magnetic tunnel junctions (MTJs) are synthesized on top of ∼1 inch Si/SiO 2 substrates by conducting a full in situ chemical vapour and atomic layer deposition process with no vacuum break. Tunnel magnetoresistance up to 6% is measured at room temperature, increasing to 12.5% at 120 K. Our results demonstrate the possibility of using full-chemical processes to synthesize functional MTJs, and this could provide a path towards the use of cost-effective methods to produce magnetic devices on a large scale. (fast track communication)

  20. Hardness Enhancement of STS304 Deposited with Yttria Stabilized Zirconia by Aerosol Deposition Method

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Il-Ho; Park, Chun-Kil; Kim, Hyung Sun; Jeong, Dea-Yong [Inha University, Incheon (Korea, Republic of); Lee, Yong-Seok [Sodoyeon Co., Yeoju (Korea, Republic of); Kong, Young-Min [University of Ulsan, Ulsan (Korea, Republic of); Kang, Kweon Ho [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2016-03-15

    To improve the surface hardness of the STS304, Yttria stabilized zirconia (YSZ) films with nano-sized grain were deposited by an aerosol-deposition (AD) method. Coating layers showed dense structure and had -5µm thickness. When 3 mol% YSZ powders with tetragonal phase were deposited on STS304 substrate, tetragonal structure was transformed to cubic structure due to the high impact energy during the AD process. At the same time, strong impact by YSZ particles allowed the austenite phase in STS304 to be transformed into martensite phase. Surface hardness measured with nano indentor showed that YSZ coated film had 11.5 GPa, which is larger value than 7 GPa of STS304.