WorldWideScience

Sample records for carbide films deposited

  1. Chromium carbide thin films deposited by ultra-short pulse laser deposition

    International Nuclear Information System (INIS)

    Teghil, R.; Santagata, A.; De Bonis, A.; Galasso, A.; Villani, P.

    2009-01-01

    Pulsed laser deposition performed by a laser with a pulse duration of 250 fs has been used to deposit films from a Cr 3 C 2 target. Due to the different processes involved in the laser ablation when it is performed by an ultra-short pulse source instead of a conventional short pulse one, it has been possible to obtain in vacuum films containing only one type of carbide, Cr 3 C 2 , as shown by X-ray photoelectron spectroscopy. On the other hand, Cr 3 C 2 is not the only component of the films, since a large amount of amorphous carbon is also present. The films, deposited at room temperature, are amorphous and seem to be formed by the coalescence of a large number of particles with nanometric size. The film composition can be explained in terms of thermal evaporation from particles ejected from the target.

  2. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  3. Atomic layer deposition of cobalt carbide films and their magnetic properties using propanol as a reducing agent

    Energy Technology Data Exchange (ETDEWEB)

    Sarr, Mouhamadou, E-mail: sarrtapha44@yahoo.fr [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg); Bahlawane, Naoufal; Arl, Didier [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg); Dossot, Manuel [Laboratory of Physical Chemistry and Microbiology for the Environment, UMR 7564 CNRS-Université de Lorraine, 405 rue de Vandoeuvre, 54601 Villers-lès-Nancy (France); McRae, Edward [Institut Jean Lamour, UMR 7198CNRS-Université de Lorraine, FST, BP 70239, 54506 Vandoeuvre-lès-Nancy (France); Lenoble, Damien, E-mail: damien.lenoble@list.lu [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg)

    2016-08-30

    Highlights: • Conformal carbon-Co-carbide thin films. • Chemically growth carbone-Co-carbide composite. • Tuneable magnetic properties. - Abstract: The investigation of highly conformal thin films using Atomic Layer Deposition (ALD) is driven by a variety of applications in modern technologies. In particular, the emergence of 3D memory device architectures requires conformal materials with tuneable magnetic properties. Here, nanocomposites of carbon, cobalt and cobalt carbide are deposited by ALD using cobalt acetylacetonate with propanol as a reducing agent. Films were grown by varying the ALD deposition parameters including deposition temperature and propanol exposure time. The morphology, the chemical composition and the crystalline structure of the cobalt carbide film were investigated. Vibrating Sample Magnetometer (VSM) measurements revealed magnetic hysteresis loops with a coercivity reaching 500 Oe and a maximal saturation magnetization of 0.9 T with a grain size less than 15 nm. Magnetic properties are shown to be tuneable by adjusting the deposition parameters that significantly affect the microstructure and the composition of the deposited films.

  4. One step deposition of highly adhesive diamond films on cemented carbide substrates via diamond/β-SiC composite interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Tao; Zhuang, Hao; Jiang, Xin, E-mail: xin.jiang@uni-siegen.de

    2015-12-30

    Graphical abstract: - Highlights: • Novel diamond/beta-silicon carbide composite gradient interlayers were synthesized. • The interlayer features a cross-sectional gradient with increasing diamond content. • Diamond top layers and the interlayers were deposited in one single process. • The adhesion of the diamond film is drastically improved by employing the interlayer. • The stress was suppressed by manipulating the distribution of diamond and silicon carbide. - Abstract: Deposition of adherent diamond films on cobalt-cemented tungsten carbide substrates has been realized by application of diamond/beta-silicon carbide composite interlayers. Diamond top layers and the interlayers were deposited in one single process by hot filament chemical vapor deposition technique. Two different kinds of interlayers have been employed, namely, gradient interlayer and interlayer with constant composition. The distribution of diamond and beta-silicon carbide phases was precisely controlled by manipulating the gas phase composition. X-ray diffraction and Raman spectroscopy were employed to determine the existence of diamond, beta-silicon carbide and cobalt silicides (Co{sub 2}Si, CoSi) phases, as well as the quality of diamond crystal and the residual stress in the films. Rockwell-C indentation tests were carried out to evaluate the film adhesion. It is revealed that the adhesion of the diamond film is drastically improved by employing the interlayer. This is mainly influenced by the residual stress in the diamond top layer, which is induced by the different thermal expansion coefficient of the film and the substrate. It is even possible to further suppress the stress by manipulating the distribution of diamond and beta-silicon carbide in the interlayer. The most adhesive diamond film on cemented carbide is thus obtained by employing a gradient composite interlayer.

  5. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  6. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  7. X-ray absorption study of silicon carbide thin film deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Monaco, G.; Suman, M.; Garoli, D.; Pelizzo, M.G.; Nicolosi, P.

    2011-01-01

    Silicon carbide (SiC) is an important material for several applications ranging from electronics to Extreme UltraViolet (EUV) space optics. Crystalline cubic SiC (3C-SiC) has a wide band gap (near 2.4 eV) and it is a promising material to be used in high frequency and high energetic electronic devices. We have deposited, by means of pulsed laser deposition (PLD), different SiC films on sapphire and silicon substrates both at mild (650 o C) and at room temperature. The resulted films have different structures such as: highly oriented polycrystalline, polycrystalline and amorphous which have been studied by means of X-ray absorption spectroscopy (XAS) near the Si L 2,3 edge and the C K edge using PES (photoemission spectroscopy) for the analysis of the valence bands structure and film composition. The samples obtained by PLD have shown different spectra among the grown films, some of them showing typical 3C-SiC absorption structure, but also the presence of some Si-Si and graphitic bonds.

  8. Chemical, mechanical, and tribological properties of pulsed-laser-deposited titanium carbide and vanadium carbide

    International Nuclear Information System (INIS)

    Krzanowski, J.E.; Leuchtner, R.E.

    1997-01-01

    The chemical, mechanical, and tribological properties of pulsed-laser-deposited TiC and VC films are reported in this paper. Films were deposited by ablating carbide targets using a KrF (λ = 248 nm) laser. Chemical analysis of the films by XPS revealed oxygen was the major impurity; the lowest oxygen concentration obtained in a film was 5 atom%. Oxygen was located primarily on the carbon sublattice of the TiC structure. The films were always substoichiometric, as expected, and the carbon in the films was identified primarily as carbidic carbon. Nanoindentation hardness tests gave values of 39 GPa for TiC and 26 GPa for VC. The friction coefficient for the TiC films was 0.22, while the VC film exhibited rapid material transfer from the steel ball to the substrate resulting in steel-on-steel tribological behavior

  9. The effect of substrate bias on titanium carbide/amorphous carbon nanocomposite films deposited by filtered cathodic vacuum arc

    International Nuclear Information System (INIS)

    Zhang, Xu; Liang, Hong; Wu, Zhenglong; Wu, Xiangying; Zhang, Huixing

    2013-01-01

    The titanium carbide/amorphous carbon nanocomposite films have been deposited on silicon substrate by filtered cathodic vacuum arc (FCVA) technology, the effects of substrate bias on composition, structures and mechanical properties of the films are studied by scanning electron spectroscopy, X-ray diffraction and X-ray photoelectron spectroscopy and nano-indentation. The results show that the Ti content, deposition rate and hardness at first increase and then decrease with increasing the substrate bias. Maximum hardness of the titanium carbide/amorphous carbon nanocomposite film is 51 Gpa prepared at −400 V. The hardness enhancement may be attributed to the compressive stress and the fraction of crystalline TiC phase due to ion bombardment

  10. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  11. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  12. Seebeck effect of some thin film carbides

    International Nuclear Information System (INIS)

    Beensh-Marchwicka, G.; Prociow, E.

    2002-01-01

    Several materials have been investigated for high-temperature thin film thermocouple applications. These include silicon carbide with boron (Si-C-B), ternary composition based on Si-C-Mn, fourfold composition based on Si-C-Zr-B and tantalum carbide (TaC). All materials were deposited on quartz or glass substrates using the pulse sputter deposition technique. Electrical conduction and thermoelectric power were measured for various compositions at 300-550 K. It has been found, that the efficiency of thermoelectric power of films containing Si-C base composition was varied from 0.0015-0.034 μW/cmK 2 . However for TaC the value about 0.093 μW/cmK 2 was obtained. (author)

  13. Pulsed laser ablation and deposition of niobium carbide

    International Nuclear Information System (INIS)

    Sansone, M.; De Bonis, A.; Santagata, A.; Rau, J.V.; Galasso, A.; Teghil, R.

    2016-01-01

    Highlights: • We have deposited in vacuum niobium carbide films by fs and ns PLD. • We have compared PLD performed by ultra-short and short laser pulses. • The films deposited by fs PLD of NbC are formed by nanoparticles. • The structure of the films produced by fs PLD at 500 °C corresponds to NbC. - Abstract: NbC crystalline films have been deposited in vacuum by ultra-short pulsed laser deposition technique. The films have been characterized by transmission and scanning electron microscopies and by X-ray diffraction. To clarify the ablation–deposition mechanism, the plasma produced by the ablation process has been characterized by optical emission spectroscopy and fast imaging. A comparison of the results with those obtained by ns pulsed deposition of the same target has been carried out.

  14. Composition and microstructure of beryllium carbide films prepared by thermal MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    He, Yu-dan; Luo, Jiang-shan; Li, Jia; Meng, Ling-biao; Luo, Bing-chi; Zhang, Ji-qiang; Zeng, Yong; Wu, Wei-dong, E-mail: wuweidongding@163.com

    2016-02-15

    Highlights: • Non-columnar-crystal Be{sub 2}C films were firstly prepared by thermal MOCVD. • Beryllium carbide was always the dominant phase in the films. • α-Be and carbon existed in films deposited below and beyond 400 °C, respectively. • Morphology evolved with temperatures and no columnar grains were characterized. • The preferred substrate temperature for depositing high quality Be{sub 2}C films was 400 °C. - Abstract: Beryllium carbide films without columnar-crystal microstructures were prepared on the Si (1 0 0) substrate by thermal metal organic chemical vapor deposition using diethylberyllium as precursor. The influence of the substrate temperature on composition and microstructure of beryllium carbide films was systematically studied. Crystalline beryllium carbide is always the dominant phase according to XRD analysis. Meanwhile, a small amount of α-Be phase exists in films when the substrate temperature is below 400 °C, and hydrocarbon or amorphous carbon exists when the temperature is beyond 400 °C. Surfaces morphology shows transition from domes to cylinders, to humps, and to tetraquetrous crystalline needles with the increase of substrate temperature. No columnar grains are characterized throughout the thickness as revealed from the cross-section views. The average densities of these films are determined to be 2.04–2.17 g/cm{sup 3}. The findings indicate the substrate temperature has great influences on the composition and microstructure of the Be{sub 2}C films grown by thermal MOCVD.

  15. Effects of phosphorus on the electrical characteristics of plasma deposited hydrogenated amorphous silicon carbide thin films

    Science.gov (United States)

    Alcinkaya, Burak; Sel, Kivanc

    2018-01-01

    The properties of phosphorus doped hydrogenated amorphous silicon carbide (a-SiCx:H) thin films, that were deposited by plasma enhanced chemical vapor deposition technique with four different carbon contents (x), were analyzed and compared with those of the intrinsic a-SiCx:H thin films. The carbon contents of the films were determined by X-ray photoelectron spectroscopy. The thickness and optical energies, such as Tauc, E04 and Urbach energies, of the thin films were determined by UV-Visible transmittance spectroscopy. The electrical properties of the films, such as conductivities and activation energies were analyzed by temperature dependent current-voltage measurements. Finally, the conduction mechanisms of the films were investigated by numerical analysis, in which the standard transport mechanism in the extended states and the nearest neighbor hopping mechanism in the band tail states were taken into consideration. It was determined that, by the effect of phosphorus doping the dominant conduction mechanism was the standard transport mechanism for all carbon contents.

  16. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  17. Low-temperature synthesis of homogeneous nanocrystalline cubic silicon carbide films

    International Nuclear Information System (INIS)

    Cheng Qijin; Xu, S.

    2007-01-01

    Silicon carbide films are fabricated by inductively coupled plasma chemical vapor deposition from feedstock gases silane and methane heavily diluted with hydrogen at a low substrate temperature of 300 deg. C. Fourier transform infrared absorption spectroscopy, Raman spectroscopy, x-ray photoelectron spectroscopy, and high-resolution transmission electron microscopy analyses show that homogeneous nanocrystalline cubic silicon carbide (3C-SiC) films can be synthesized at an appropriate silane fraction X[100%xsilane flow(SCCM)/silane+methane flow(SCCM)] in the gas mixture. The achievement of homogeneous nanocrystalline 3C-SiC films at a low substrate temperature of 300 deg. C is a synergy of a low deposition pressure (22 mTorr), high inductive rf power (2000 W), heavy dilution of feedstock gases silane and methane with hydrogen, and appropriate silane fractions X (X≤33%) in the gas mixture employed in our experiments

  18. Structures of sub-monolayered silicon carbide films

    International Nuclear Information System (INIS)

    Baba, Y.; Sekiguchi, T.; Shimoyama, I.; Nath, Krishna G.

    2004-01-01

    The electronic and geometrical structures of silicon carbide thin films are presented. The films were deposited on graphite by ion-beam deposition using tetramethylsilane (TMS) as an ion source. In the Si K-edge near-edge X-ray absorption fine structure (NEXAFS) spectra for sub-monolayered film, sharp peaks due to the resonance from Si 1s to π*-like orbitals were observed, suggesting the existence of Si=C double bonds. On the basis of the polarization dependencies of the Si 1s → π* peak intensities, it is elucidated that the direction of the π*-like orbitals is just perpendicular to the surface. We conclude that the sub-monolayered SiC x film has a flat-lying hexagonal structure of which configuration is analogous to the single sheet of graphite

  19. Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jun Beom; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering, Yeungnam University, Gyeongsan-si 712-749 (Korea, Republic of); Han, Won Seok [UP Chemical 576, Chilgoedong, Pyeongtaek-si, Gyeonggi-do 459-050 (Korea, Republic of); Lee, Do-Joong [School of Engineering, Brown University, Providence, Rhode Island 02912 (United States)

    2016-07-15

    Tungsten carbides (WC{sub x}) thin films were deposited on thermally grown SiO{sub 2} substrates by atomic layer deposition (ALD) using a fluorine- and nitrogen-free W metallorganic precursor, tungsten tris(3-hexyne) carbonyl [W(CO)(CH{sub 3}CH{sub 2}C ≡ CCH{sub 2}CH{sub 3}){sub 3}], and N{sub 2} + H{sub 2} plasma as the reactant at deposition temperatures between 150 and 350 °C. The present ALD-WC{sub x} system showed an ALD temperature window between 200 and 250 °C, where the growth rate was independent of the deposition temperature. Typical ALD characteristics, such as self-limited film growth and a linear dependency of the film grown on the number of ALD cycles, were observed, with a growth rate of 0.052 nm/cycle at a deposition temperature of 250 °C. The ALD-WC{sub x} films formed a nanocrystalline structure with grains, ∼2 nm in size, which consisted of hexagonal W{sub 2}C, WC, and nonstoichiometric cubic β-WC{sub 1−x} phase. Under typical deposition conditions at 250 °C, an ALD-WC{sub x} film with a resistivity of ∼510 μΩ cm was deposited and the resistivity of the ALD-WC{sub x} film could be reduced even further to ∼285 μΩ cm by further optimizing the reactant pulsing conditions, such as the plasma power. The step coverage of ALD-WC{sub x} film was ∼80% on very small sized and dual trenched structures (bottom width of 15 nm and aspect ratio of ∼6.3). From ultraviolet photoelectron spectroscopy, the work function of the ALD-WC{sub x} film was determined to be 4.63 eV. Finally, the ultrathin (∼5 nm) ALD-WC{sub x} film blocked the diffusion of Cu, even up to 600 °C, which makes it a promising a diffusion barrier material for Cu interconnects.

  20. Ultra low nanowear in novel chromium/amorphous chromium carbide nanocomposite films

    Science.gov (United States)

    Yate, Luis; Martínez-de-Olcoz, Leyre; Esteve, Joan; Lousa, Arturo

    2017-10-01

    In this work, we report the first observation of novel nanocomposite thin films consisting of nanocrystalline chromium embedded in an amorphous chromium carbide matrix (nc-Cr/a-CrC) with relatively high hardness (∼22,3 GPa) and ultra low nanowear. The films were deposited onto silicon substrates using a magnetic filtered cathodic arc deposition system at various negative bias voltages, from 50 to 450 V. X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS) suggested the co-existence of chromium and chromium carbide phases, while high resolution transmission electron microscopy (HRTEM) confirmed the presence of the nc-Cr/a-CrC structure. The friction coefficient measured with the ball-on disk technique and the nanowear results showed a strong correlation between the macro and nano-tribological properties of the samples. These novel nanocomposite films show promising properties as solid lubricant and wear resistant coatings with relatively high hardness, low friction coefficient and ultra low nanowear.

  1. Electronic structures of ultra-thin silicon carbides deposited on graphite

    International Nuclear Information System (INIS)

    Baba, Y.; Sekiguchi, T.; Shimoyama, I.; Nath, Krishna G.

    2004-01-01

    Electronic structures of ultra-thin silicon carbide films have been investigated by X-ray photoelectron spectroscopy (XPS) and Si K-edge X-ray absorption near edge structure (XANES) using linearly polarized synchrotron soft X-rays. Silicon carbide films were deposited on the surface of highly oriented pyrolytic graphite (HOPG) by ion beam deposition method. Tetramethylsilane (Si(CH 3 ) 4 ) was used as a discharge gas. The XPS and XANES features for the thick layers were similar to those for the bulk SiC. For sub-monolayered films, the Si 1s binding energy in XPS was higher by 2.5 eV than that for bulk SiC. This suggests the existence of low-dimensional SiC x where the silicon atoms are more positively charged than those in bulk SiC. After annealing the sub-monolayered film at 850 deg. C, a new peak appeared around 1840 eV in the XANES spectrum. The energy of this new peak was lower than those for any other silicon compounds. The low-energy feature of the XANES peak suggests the existence of π*-like orbitals around the silicon atom. On the basis of the polarization dependencies of the XANES spectra, it was revealed that the direction of the π*-like orbitals are nearly perpendicular to the surface. We conclude that sub-monolayered SiC x film exhibits flat-lying structure of which configuration is similar to a single sheet of graphite

  2. Role of intericosahedral chains on the hardness of sputtered boron carbide films

    International Nuclear Information System (INIS)

    Jacobsohn, L.G.; Averitt, R.D.; Wetteland, C.J.; Schulze, R.K.; Nastasi, M.; Daemen, L.L.; Jenei, Z.; Asoka-Kumar, P.

    2004-01-01

    The relationship between the structure and mechanical properties of sputter-deposited boron carbide films was investigated. Changes in the structure induced by annealing were characterized in terms of chemical composition, chemical bonding, and concentrations of defects and trapped impurities. The creation of intericosahedral chains for higher annealing temperatures was revealed by infrared and Raman measurements, and the intensity of the infrared band at 1500 cm-1 was found to be related to the hardness. The presence of residual trapped Ar atoms and of open-volume defects is insensitive to relatively high annealing temperatures and does not influence the recovery of the hardness. Our results suggest postdeposition annealing as a pathway to enhance the mechanical properties of boron carbide films

  3. Tribological performance of polycrystalline tantalum-carbide-incorporated diamond films on silicon substrates

    Science.gov (United States)

    Ullah, Mahtab; Rana, Anwar Manzoor; Ahmed, E.; Malik, Abdul Sattar; Shah, Z. A.; Ahmad, Naseeb; Mehtab, Ujala; Raza, Rizwan

    2018-05-01

    Polycrystalline tantalum-carbide-incorporated diamond coatings have been made on unpolished side of Si (100) wafer by hot filament chemical vapor deposition process. Morphology of the coatings has been found to vary from (111) triangular-facetted to predominantly (111) square-faceted by increasing the concentration of tantalum carbide. The results have been compared to those of a diamond reference coating with no tantalum content. An increase in roughness has been observed with the increase of tantalum carbide (TaC) due to change in morphology of the diamond films. It is noticed that roughness of the coatings increases as grains become more square-faceted. It is found that diamond coatings involving tantalum carbide are not as resistant as diamond films with no TaC content and the coefficient of friction for such coatings with microcrystalline grains can be manipulated to 0·33 under high vacuum of 10-7 Torr. Such a low friction coefficient value enhances tribological behavior of unpolished Si substrates and can possibly be used in sliding applications.

  4. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  5. Tribology of carbide derived carbon films synthesized on tungsten carbide

    Science.gov (United States)

    Tlustochowicz, Marcin

    Tribologically advantageous films of carbide derived carbon (CDC) have been successfully synthesized on binderless tungsten carbide manufactured using the plasma pressure compaction (P2CRTM) technology. In order to produce the CDC films, tungsten carbide samples were reacted with chlorine containing gas mixtures at temperatures ranging from 800°C to 1000°C in a sealed tube furnace. Some of the treated samples were later dechlorinated by an 800°C hydrogenation treatment. Detailed mechanical and structural characterizations of the CDC films and sliding contact surfaces were done using a series of analytical techniques and their results were correlated with the friction and wear behavior of the CDC films in various tribosystems, including CDC-steel, CDC-WC, CDC-Si3N4 and CDC-CDC. Optimum synthesis and treatment conditions were determined for use in two specific environments: moderately humid air and dry nitrogen. It was found that CDC films first synthesized at 1000°C and then hydrogen post-treated at 800°C performed best in air with friction coefficient values as low as 0.11. However, for dry nitrogen applications, no dechlorination was necessary and both hydrogenated and as-synthesized CDC films exhibited friction coefficients of approximately 0.03. A model of tribological behavior of CDC has been proposed that takes into consideration the tribo-oxidation of counterface material, the capillary forces from adsorbed water vapor, the carbon-based tribofilm formation, and the lubrication effect of both chlorine and hydrogen.

  6. Room temperature photoluminescence spectrum modeling of hydrogenated amorphous silicon carbide thin films by a joint density of tail states approach and its application to plasma deposited hydrogenated amorphous silicon carbide thin films

    International Nuclear Information System (INIS)

    Sel, Kıvanç; Güneş, İbrahim

    2012-01-01

    Room temperature photoluminescence (PL) spectrum of hydrogenated amorphous silicon carbide (a-SiC x :H) thin films was modeled by a joint density of tail states approach. In the frame of these analyses, the density of tail states was defined in terms of empirical Gaussian functions for conduction and valance bands. The PL spectrum was represented in terms of an integral of joint density of states functions and Fermi distribution function. The analyses were performed for various values of energy band gap, Fermi energy and disorder parameter, which is a parameter that represents the width of the energy band tails. Finally, the model was applied to the measured room temperature PL spectra of a-SiC x :H thin films deposited by plasma enhanced chemical vapor deposition system, with various carbon contents, which were determined by X-ray photoelectron spectroscopy measurements. The energy band gap and disorder parameters of the conduction and valance band tails were determined and compared with the optical energies and Urbach energies, obtained by UV–Visible transmittance measurements. As a result of the analyses, it was observed that the proposed model sufficiently represents the room temperature PL spectra of a-SiC x :H thin films. - Highlights: ► Photoluminescence spectra (PL) of the films were modeled. ► In the model, joint density of tail states and Fermi distribution function are used. ► Various values of energy band gap, Fermi energy and disorder parameter are applied. ► The model was applied to the measured PL of the films. ► The proposed model represented the room temperature PL spectrum of the films.

  7. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  8. Micro-supercapacitors from carbide derived carbon (CDC) films on silicon chips

    Science.gov (United States)

    Huang, Peihua; Heon, Min; Pech, David; Brunet, Magali; Taberna, Pierre-Louis; Gogotsi, Yury; Lofland, Samuel; Hettinger, Jeffrey D.; Simon, Patrice

    2013-03-01

    Interdigitated on-chip micro-supercapacitors based on Carbide Derived Carbon (CDC) films were fabricated and tested. A titanium carbide (TiC) film was patterned and treated with chlorine to obtain a TiC derived carbon (TiC-CDC) film, followed by the deposition of two types of current collectors (Ti/Au and Al) using standard micro-fabrication processes. CDC based micro-supercapacitors were electrochemically characterized by cyclic voltammetry and impedance spectroscopy using a 1 M tetraethylammonium tetrafluoroborate, NEt4BF4, in propylene carbonate (PC) electrolyte. A capacitance of 0.78 mF for the device and 1.5 mF cm-2 as the specific capacitance for the footprint of the device was measured for a 2 V potential range at 100 mV s-1. A specific energy of 3.0 mJ cm-2 and a specific power of 84 mW cm-2 were calculated for the devices. These devices provide a pathway for fabricating pure carbon-based micro-supercapacitors by micro-fabrication, and can be used for powering micro-electromechanical systems (MEMS) and electronic devices.

  9. Deposition of titanium carbide films from mixed carbon and titanium plasma streams

    International Nuclear Information System (INIS)

    Delplancke-Ogletree, M.; Monteiro, O.R.

    1997-01-01

    Dual source metal plasma immersion ion implantation and deposition was used to deposit Ti x C y films over a wide range of Ti:C composition. This technique is well adapted for this purpose and allows one to tailor the microstructure and properties of the films. We investigated the variation of the composition, bonding states, and structure as functions of the deposition conditions. Excess carbon and contamination oxygen are incorporated in the TiC lattice interstitially and substitutionally, respectively. The wear mechanism of a stoichiometric TiC film was investigated and compared to that of a diamondlike carbon film. TiC fails by wear and microcrack propagation. copyright 1997 American Vacuum Society

  10. Structure and properties of TiC, VC, and TiC/VC thin films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Krzanowski, J.E.; Leuchtner, R.E.

    1996-01-01

    A study has been conducted on the mechanical, tribological and chemical properties of pulsed laser deposited (PLD) TiC, VC and TiC/VC thin films. The TiC films were deposited at 375 C and 5 mTorr Ar, while the TiC/VC films were deposited from a composite target at 475 C at pressures of base vacuum and 50 mTorr Ar. XRD analysis revealed the films had the expected B1 structure, although XPS analysis showed a significant oxygen content. Tribological studies were conducted using a ball-on-disk test, and the wear behavior depended on the surface condition and film composition. One TiC/VC film exhibited little wear but caused significant ball wear, indicating mixed carbide films are promising candidates for wear-resistant coatings

  11. Effect of ion beam bombardment on the carbide in M2 steel modified by ion-beam-assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.Y.; Wang, F.J.; Wang, Y.K. (Dept. of Materials Engineering, Dalian Univ. of Technology (China)); Ma, T.C. (National Lab. of Materials Modification by Beam Three, Dalian (China))

    1991-10-30

    Transmission electron microscopy was used to study the effect of nitrogen ion bombardment with different doses on the carbides in M2 high speed steel as the nitrogen ions penetrated into the nitride films during ion-beam-assisted deposition. With different doses of nitrogen, alterations in the morphological characteristics of the carbide M6C at the interface were observed. With lower doses, knitting-like contrast within the carbide showed subboundary structure defects in M6C. With increasing dose, the substructure defects were broken up into small fragments owing to heavy bombardment. The microstructures of carbides at the interface damaged by nitrogen ions are discussed in detail. (orig.).

  12. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  13. Formation of patterned arrays of Au nanoparticles on SiC surface by template confined dewetting of normal and oblique deposited nanoscale films

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, F., E-mail: francesco.ruffino@ct.infn.it; Grimaldi, M.G.

    2013-06-01

    We report on the formation of patterned arrays of Au nanoparticles (NPs) on 6H SiC surface. To this end, we exploit the thermal-induced dewetting properties of a template confined deposited nanoscale Au film. In this approach, the Au surface pattern order, on the SiC substrate, is established by a template confined deposition using a micrometric template. Then, a dewetting process of the patterned Au film is induced by thermal processes. We compare the results, about the patterns formation, obtained for normal and oblique deposited Au films. We show that the normal and oblique depositions, through the same template, originate different patterns of the Au film. As a consequence of these different starting patterns, after the thermal processes, different patterns for the arrays of NPs originating from the dewetting mechanisms are obtained. For each fixed deposition angle α, the pattern evolution is analyzed, by scanning electron microscopy, as a function of the annealing time at 1173 K (900 °C). From these analyses, quantitative evaluations on the NPs size evolution are drawn. - Highlights: • Micrometric template-confined nanoscale gold films are deposited on silicon carbide. • The dewetting process of template-confined gold films on silicon carbide is studied. • Comparison of dewetting process of normal and oblique deposited gold films is drawn. • Patterned arrays of gold nanoparticles on silicon carbide surface are produced.

  14. Formation of patterned arrays of Au nanoparticles on SiC surface by template confined dewetting of normal and oblique deposited nanoscale films

    International Nuclear Information System (INIS)

    Ruffino, F.; Grimaldi, M.G.

    2013-01-01

    We report on the formation of patterned arrays of Au nanoparticles (NPs) on 6H SiC surface. To this end, we exploit the thermal-induced dewetting properties of a template confined deposited nanoscale Au film. In this approach, the Au surface pattern order, on the SiC substrate, is established by a template confined deposition using a micrometric template. Then, a dewetting process of the patterned Au film is induced by thermal processes. We compare the results, about the patterns formation, obtained for normal and oblique deposited Au films. We show that the normal and oblique depositions, through the same template, originate different patterns of the Au film. As a consequence of these different starting patterns, after the thermal processes, different patterns for the arrays of NPs originating from the dewetting mechanisms are obtained. For each fixed deposition angle α, the pattern evolution is analyzed, by scanning electron microscopy, as a function of the annealing time at 1173 K (900 °C). From these analyses, quantitative evaluations on the NPs size evolution are drawn. - Highlights: • Micrometric template-confined nanoscale gold films are deposited on silicon carbide. • The dewetting process of template-confined gold films on silicon carbide is studied. • Comparison of dewetting process of normal and oblique deposited gold films is drawn. • Patterned arrays of gold nanoparticles on silicon carbide surface are produced

  15. Electronic properties of intrinsic and doped amorphous silicon carbide films

    International Nuclear Information System (INIS)

    Vetter, M.; Voz, C.; Ferre, R.; Martin, I.; Orpella, A.; Puigdollers, J.; Andreu, J.; Alcubilla, R.

    2006-01-01

    Hydrogenated amorphous silicon carbide (a-SiC x : H) films have shown excellent surface passivation of crystalline silicon. With the aim of large area deposition of these films the influence of the rf plasma power was investigated. It is found that homogenous deposition with effective surface recombination velocity lower than 100 cms -1 is possible up to 6'' diameter in a simple parallel plate reactor by optimizing deposition parameters. For application in solar cell processes the conductivity of these a-SiC x : H films might become of importance since good surface passivation results from field-effect passivation which needs an insulating dielectric layer. Therefore, the temperature dependence of the dark dc conductivity of these films was investigated in the temperature range from - 20 to 260 deg. C. Two transition temperatures, T s ∼80 deg. C and T s ∼170 deg. C, were found where conductivity increases, resp. decreases over-exponential. From Arrhenius plots activation energy (E a ) and conductivity pre-factor (σ 0 ) were calculated for a large number of samples with different composition. A correlation between E a and σ 0 was found giving a Meyer-Neldel relation with a slope of 59 mV, corresponding to a material characteristic temperature T m = 400 deg. C, and an intercept at σ 00 = 0.1 Ω -1 cm -1

  16. Formation and characterization of titanium nitride and titanium carbide films prepared by reactive sputtering

    International Nuclear Information System (INIS)

    Sundgren, J.-E.

    1982-01-01

    Titanium has been reactively r.f. sputtered in mixed Ar-N 2 and Ar-CH 4 discharges on to substrates held at 775 K. The films obtained have been characterized by scanning electron microscopy, X-ray diffraction and by measurements of hardness and electrical resistivity. The compositions of the films have been determined using Auger electron spectroscopy. The processes occurring both on substrates and target surfaces have been studied and it is shown that the latter is of great importance for the composition and structure of deposited films. Titanium nitride films of full density and with electrical resistivity and hardness values close to those of bulk TiN were only obtained in a narrow range close to the stoichiometric composition. Titanium carbide films grown on non-biased substrates were found to have an open structure and thus a low density. A bias applied to the substrate, however, improved the quality of the films. It is also shown that the heat of formation of the compounds plays an important role in the formation of carbides and nitrides. A large value promotes the development of large grains and dense structures. (Auth.)

  17. Nanostructured diamond film deposition on curved surfaces of metallic temporomandibular joint implant

    Energy Technology Data Exchange (ETDEWEB)

    Fries, Marc D; Vohra, Yogesh K [Department of Physics, University of Alabama at Birmingham (UAB), Birmingham, AL (United States)

    2002-10-21

    Microwave plasma chemical vapour deposition of nanostructured diamond films was carried out on curved surfaces of Ti-6Al-4V alloy machined to simulate the shape of a temporomandibular joint (TMJ) dental implant. Raman spectroscopy shows that the deposited films are uniform in chemical composition along the radius of curvature of the TMJ condyle. Thin film x-ray diffraction reveals an interfacial carbide layer and nanocrystalline diamond grains in this coating. Nanoindentation hardness measurements show an ultra-hard coating with a hardness value of 60{+-}5 GPa averaged over three samples. (rapid communication)

  18. Strain-induced ordered structure of titanium carbide during depositing diamond on Ti alloy substrate

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.J., E-mail: lixj@alum.imr.ac.cn [College of Material Science and Engineering, Key Laboratory of Advanced Structural Materials, Ministry of Education, Changchun University of Technology, Changchun, 130012 (China); He, L.L., E-mail: llhe@imr.ac.cn [Shenyang National Lab of Materials Science, Institute of Metal Research, Chinese Academy of Sciences, Shenyang 110016 (China); Li, Y.S. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon S7N 5A9, SK (Canada); Plasma Physics Laboratory, University of Saskatchewan, Saskatoon, SK S7N 5E2 (Canada); Yang, Q. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon S7N 5A9, SK (Canada); Hirose, A. [Plasma Physics Laboratory, University of Saskatchewan, Saskatoon, SK S7N 5E2 (Canada)

    2017-01-15

    During the deposition of diamond films on Ti alloy substrates, titanium carbide is a common precipitated phase, preferentially formed at the interfacial region. However, in this case, the precipitation of an ordered structure of titanium carbide has not been reported. In our work, a long periodic ordered structure of TiC has been observed at the deposited diamond film/Ti alloy interface by high resolution transmission electron microscopy (HRTEM). The long periodic ordered structure is identified as 6H-type. The formation mechanism is revealed by comparative studies on the different structures of TiC precipitated under different diamond deposition conditions in terms of deposition time, atmosphere and temperature. A large number of carbon vacancies in the interfacial precipitated TiC phase are verified through electron energy loss spectroscopy (EELS) quantification analysis. However, an ordered arrangement of these carbon vacancies occurs only when the interfacial stress is large enough to induce the precipitation of 6H-type TiC. The supplementary analysis by X-ray diffraction (XRD) further confirms that additional diffraction peaks presented in the XRD patterns are corresponding to the precipitation of 6H-type TiC. - Highlights: •Different structures of TiC are observed during deposited diamond on Ti alloy. •One is common NaCl structure, the other is periodic structure. •The periodic structure is identified as 6H-type by HRTEM. •Carbon vacancies are verified to always exist in the TiC phase. •The precipitation of 6H-type TiC is mainly affected by interfacial stress.

  19. Strain-induced ordered structure of titanium carbide during depositing diamond on Ti alloy substrate

    International Nuclear Information System (INIS)

    Li, X.J.; He, L.L.; Li, Y.S.; Yang, Q.; Hirose, A.

    2017-01-01

    During the deposition of diamond films on Ti alloy substrates, titanium carbide is a common precipitated phase, preferentially formed at the interfacial region. However, in this case, the precipitation of an ordered structure of titanium carbide has not been reported. In our work, a long periodic ordered structure of TiC has been observed at the deposited diamond film/Ti alloy interface by high resolution transmission electron microscopy (HRTEM). The long periodic ordered structure is identified as 6H-type. The formation mechanism is revealed by comparative studies on the different structures of TiC precipitated under different diamond deposition conditions in terms of deposition time, atmosphere and temperature. A large number of carbon vacancies in the interfacial precipitated TiC phase are verified through electron energy loss spectroscopy (EELS) quantification analysis. However, an ordered arrangement of these carbon vacancies occurs only when the interfacial stress is large enough to induce the precipitation of 6H-type TiC. The supplementary analysis by X-ray diffraction (XRD) further confirms that additional diffraction peaks presented in the XRD patterns are corresponding to the precipitation of 6H-type TiC. - Highlights: •Different structures of TiC are observed during deposited diamond on Ti alloy. •One is common NaCl structure, the other is periodic structure. •The periodic structure is identified as 6H-type by HRTEM. •Carbon vacancies are verified to always exist in the TiC phase. •The precipitation of 6H-type TiC is mainly affected by interfacial stress.

  20. PVD Silicon Carbide as a Thin Film Packaging Technology for Antennas on LCP Substrates for Harsh Environments

    Science.gov (United States)

    Scardelletti, Maximilian C.; Stanton, John W.; Ponchak, George E.; Jordan, Jennifer L.; Zorman, Christian A.

    2010-01-01

    This paper describes an effort to develop a thin film packaging technology for microfabricated planar antennas on polymeric substrates based on silicon carbide (SiC) films deposited by physical vapor deposition (PVD). The antennas are coplanar waveguide fed dual frequency folded slot antennas fabricated on liquid crystal polymer (LCP) substrates. The PVD SiC thin films were deposited directly onto the antennas by RF sputtering at room temperature at a chamber pressure of 30 mTorr and a power level of 300 W. The SiC film thickness is 450 nm. The return loss and radiation patterns were measured before and after the SiC-coated antennas were submerged into perchloric acid for 1 hour. No degradation in RF performance or physical integrity of the antenna was observed.

  1. Large-area homogeneous periodic surface structures generated on the surface of sputtered boron carbide thin films by femtosecond laser processing

    Energy Technology Data Exchange (ETDEWEB)

    Serra, R., E-mail: ricardo.serra@dem.uc.pt [SEG-CEMUC, Mechanical Engineering Department, University of Coimbra, Rua Luís Reis Santos, 3030-788 Coimbra (Portugal); Oliveira, V. [ICEMS-Instituto de Ciência e Engenharia de Materiais e Superfícies, Avenida Rovisco Pais no 1, 1049-001 Lisbon (Portugal); Instituto Superior de Engenharia de Lisboa, Avenida Conselheiro Emídio Navarro no 1, 1959-007 Lisbon (Portugal); Oliveira, J.C. [SEG-CEMUC, Mechanical Engineering Department, University of Coimbra, Rua Luís Reis Santos, 3030-788 Coimbra (Portugal); Kubart, T. [The Ångström Laboratory, Solid State Electronics, P.O. Box 534, SE-751 21 Uppsala (Sweden); Vilar, R. [Instituto Superior de Engenharia de Lisboa, Avenida Conselheiro Emídio Navarro no 1, 1959-007 Lisbon (Portugal); Instituto Superior Técnico, Avenida Rovisco Pais no 1, 1049-001 Lisbon (Portugal); Cavaleiro, A. [SEG-CEMUC, Mechanical Engineering Department, University of Coimbra, Rua Luís Reis Santos, 3030-788 Coimbra (Portugal)

    2015-03-15

    Highlights: • Large-area LIPSS were formed by femtosecond laser processing B-C films surface. • The LIPSS spatial period increases with laser fluence (140–200 nm). • Stress-related sinusoidal-like undulations were formed on the B-C films surface. • The undulations amplitude (down to a few nanometres) increases with laser fluence. • Laser radiation absorption increases with surface roughness. - Abstract: Amorphous and crystalline sputtered boron carbide thin films have a very high hardness even surpassing that of bulk crystalline boron carbide (≈41 GPa). However, magnetron sputtered B-C films have high friction coefficients (C.o.F) which limit their industrial application. Nanopatterning of materials surfaces has been proposed as a solution to decrease the C.o.F. The contact area of the nanopatterned surfaces is decreased due to the nanometre size of the asperities which results in a significant reduction of adhesion and friction. In the present work, the surface of amorphous and polycrystalline B-C thin films deposited by magnetron sputtering was nanopatterned using infrared femtosecond laser radiation. Successive parallel laser tracks 10 μm apart were overlapped in order to obtain a processed area of about 3 mm{sup 2}. Sinusoidal-like undulations with the same spatial period as the laser tracks were formed on the surface of the amorphous boron carbide films after laser processing. The undulations amplitude increases with increasing laser fluence. The formation of undulations with a 10 μm period was also observed on the surface of the crystalline boron carbide film processed with a pulse energy of 72 μJ. The amplitude of the undulations is about 10 times higher than in the amorphous films processed at the same pulse energy due to the higher roughness of the films and consequent increase in laser radiation absorption. LIPSS formation on the surface of the films was achieved for the three B-C films under study. However, LIPSS are formed under

  2. Large-area homogeneous periodic surface structures generated on the surface of sputtered boron carbide thin films by femtosecond laser processing

    International Nuclear Information System (INIS)

    Serra, R.; Oliveira, V.; Oliveira, J.C.; Kubart, T.; Vilar, R.; Cavaleiro, A.

    2015-01-01

    Highlights: • Large-area LIPSS were formed by femtosecond laser processing B-C films surface. • The LIPSS spatial period increases with laser fluence (140–200 nm). • Stress-related sinusoidal-like undulations were formed on the B-C films surface. • The undulations amplitude (down to a few nanometres) increases with laser fluence. • Laser radiation absorption increases with surface roughness. - Abstract: Amorphous and crystalline sputtered boron carbide thin films have a very high hardness even surpassing that of bulk crystalline boron carbide (≈41 GPa). However, magnetron sputtered B-C films have high friction coefficients (C.o.F) which limit their industrial application. Nanopatterning of materials surfaces has been proposed as a solution to decrease the C.o.F. The contact area of the nanopatterned surfaces is decreased due to the nanometre size of the asperities which results in a significant reduction of adhesion and friction. In the present work, the surface of amorphous and polycrystalline B-C thin films deposited by magnetron sputtering was nanopatterned using infrared femtosecond laser radiation. Successive parallel laser tracks 10 μm apart were overlapped in order to obtain a processed area of about 3 mm 2 . Sinusoidal-like undulations with the same spatial period as the laser tracks were formed on the surface of the amorphous boron carbide films after laser processing. The undulations amplitude increases with increasing laser fluence. The formation of undulations with a 10 μm period was also observed on the surface of the crystalline boron carbide film processed with a pulse energy of 72 μJ. The amplitude of the undulations is about 10 times higher than in the amorphous films processed at the same pulse energy due to the higher roughness of the films and consequent increase in laser radiation absorption. LIPSS formation on the surface of the films was achieved for the three B-C films under study. However, LIPSS are formed under different

  3. Effective optimization of surface passivation on porous silicon carbide using atomic layer deposited Al2O3

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2017-01-01

    Porous silicon carbide (B–N co-doped SiC) produced by anodic oxidation showed strong photoluminescence (PL) at around 520 nm excited by a 375 nm laser. The porous SiC samples were passivated by atomic layer deposited (ALD) aluminum oxide (Al2O3) films, resulting in a significant enhancement...

  4. The annealing effect on work function variation of WN{sub x}C{sub y} films deposited by remote plasma atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunjung; Shin, Changhee; Lim, Heewoo; Kim, Manseok [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Jang, Woochool; Lee, Kunyoung [Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of); Yuh, Junhan [Division of Steel Solution, POSCO, Seoul (Korea, Republic of); Jeon, Hyeongtag [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of)

    2017-07-15

    Tungsten-nitrogen-carbide (WN{sub x}C{sub y}) thin films were investigated as the metal gate of complementary metal-oxide-semiconductor (CMOS) devices. WN{sub x}C{sub y} thin films were deposited by employing the remote plasma atomic layer deposition (RPALD) using a bis(tert-butylimido) bis (dimethylamido) tungsten (BTBMW) precursor and hydrogen plasma as a reactant. The growth rate of the WN{sub x}C{sub y} films was about 0.12 nm/cycle. X-ray diffraction (XRD) analysis indicated that the films consisted of a mixture of tungsten carbide and tungsten nitride phases. The atomic force microscope (AFM) analysis further confirmed that the WN{sub x}C{sub y} film surfaces deposited by RPALD were smooth. In addition, the chemical bonding state analysis showed that the WN{sub x}C{sub y} films consisted of WN, WC, and WO phases. To measure the work function of the WN{sub x}C{sub y} film, a MOSCAP (metal oxide semiconductor capacitor) stack was fabricated and the flat band voltage was measured by current-voltage (C-V) measurements. A WN{sub x}C{sub y} work function value of 4.91 eV was suitable for p-MOS and the work function of the WN{sub x}C{sub y} films varied depending on the annealing treatment, and was higher than the work function of the as-deposited WN{sub x}C{sub y} film. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Carbide-reinforced metal matrix composite by direct metal deposition

    Science.gov (United States)

    Novichenko, D.; Thivillon, L.; Bertrand, Ph.; Smurov, I.

    Direct metal deposition (DMD) is an automated 3D laser cladding technology with co-axial powder injection for industrial applications. The actual objective is to demonstrate the possibility to produce metal matrix composite objects in a single-step process. Powders of Fe-based alloy (16NCD13) and titanium carbide (TiC) are premixed before cladding. Volume content of the carbide-reinforced phase is varied. Relationships between the main laser cladding parameters and the geometry of the built-up objects (single track, 2D coating) are discussed. On the base of parametric study, a laser cladding process map for the deposition of individual tracks was established. Microstructure and composition of the laser-fabricated metal matrix composite objects are examined. Two different types of structures: (a) with the presence of undissolved and (b) precipitated titanium carbides are observed. Mechanism of formation of diverse precipitated titanium carbides is studied.

  6. Mechanical properties of TiN films deposited by changed-pressure r.f. sputtering

    International Nuclear Information System (INIS)

    Kubo, Y.; Hashimoto, M.

    1991-01-01

    TiN was deposited onto glass, stainless steel and cemented carbide by r.f. magnetron sputtering. The mechanical properties of TiN such as hardness, internal stress and adhesion were assessed by the Vickers microhardness test, the bending method and the modified scratch test. It was found that the operating pressure during sputtering deposition strongly affects these mechanical properties. As the operating pressure is increased beyond 0.6-0.7 Pa, the adhesion of TiN films onto the substrate increases enormously, but the hardness decreases owing to the release of the high compressive stress in the film. Therefore changing the pressure from high to low during deposition could be a good way of optimizing both hardness and adhesion. The effectiveness of this changed-pressure process was experimentally verified by cutting tests using TiN-coated cemented carbide tools. This process will be applicable to any other hard coating materials having high compressive stresses. (orig.)

  7. Nucleation and adhesion of diamond films on Co cemented tungsten carbide

    Energy Technology Data Exchange (ETDEWEB)

    Polini, R.; Santarelli, M.; Traversa, E.

    1999-12-01

    Diamond deposits were grown using hot filament chemical vapor deposition (CVD) on pretreated Co cemented tungsten carbide (WC-Co) substrates with an average grain size of 6 {micro}m. Depositions were performed with 0.5 or 1.0% methane concentration and with substrate temperatures ranging from 750 to 1,000 C. Diamond nucleation densities were measured by scanning electron microscopy. Scratched and bias-enhanced nucleation pretreated substrates showed the larger nucleation densities. Etching of the WC performed by Murakami's reagent, followed by surface-Co dissolution (MP pretreatment), led to a roughened but scarcely nucleating surface. The performance of a scratching prior to the MP pretreatment allowed one to increase the nucleation density, due scratching-induced defects, confined in the outermost layer of WC grains, which act as nucleation sites. Smaller nucleation densities were observed with increasing the substrate temperature and reducing the methane concentration, confirming that diamond nucleates via a heterogeneous process. The adhesion of continuous films was evaluated by the reciprocal of the slope of crack radius-indentation load functions. The substrate pretreatments mainly affected the film adhesion, while the influence of CVD process conditions was minor. The two main factors that improve the diamond film adhesion are the coating-substrate contact area and the surface-Co removal.

  8. Effects of ion beam bombardment of carbon thin films deposited onto tungsten carbide and tool steels

    Energy Technology Data Exchange (ETDEWEB)

    Awazu, Kaoru; Yoshida, Hiroyuki [Industrial Research Inst. of Ishikawa (Japan); Watanabe, Hiroshi [Gakushuin Univ., Tokyo (Japan); Iwaki, Masaya; Guzman, L [RIKEN, Saitama (Japan)

    1992-04-15

    A study was made of the effects of argon ion bombardment of carbon thin films deposited onto WC and tool steels. Carbon thin film deposition was performed at various temperatures ranging from 200degC to 350degC, using C{sub 6}H{sub 6} gas. Argon ion beam bombardment of the films was carried out at an energy of 150 keV with a dose of 1x10{sup 16} ions cm{sup -2}. The hardness and adhesion of the films were measured by means of Knoop hardness and scratch tests respectively. The structure of the carbon films was estimated by laser Raman spectroscopy, and the relations were investigated between the mechanical properties and the structure of the films. The hardness of carbon thin films increases as their deposition temperature decreases; this tendency corresponds to the increase in amorphous structure estimated by Raman spectra. Argon ion bombardment results in constant hardness and fraction of amorphous structure. Argon ion beam bombardment of films prior to additional carbon deposition may cause the adhesion of the subsequently deposited films to improve. It is concluded that argon ion beam bombardment is useful for improving the properties of carbon films deposited onto WC and tool steels. (orig.).

  9. Effects of ion beam bombardment of carbon thin films deposited onto tungsten carbide and tool steels

    International Nuclear Information System (INIS)

    Awazu, Kaoru; Yoshida, Hiroyuki; Watanabe, Hiroshi; Iwaki, Masaya; Guzman, L.

    1992-01-01

    A study was made of the effects of argon ion bombardment of carbon thin films deposited onto WC and tool steels. Carbon thin film deposition was performed at various temperatures ranging from 200degC to 350degC, using C 6 H 6 gas. Argon ion beam bombardment of the films was carried out at an energy of 150 keV with a dose of 1x10 16 ions cm -2 . The hardness and adhesion of the films were measured by means of Knoop hardness and scratch tests respectively. The structure of the carbon films was estimated by laser Raman spectroscopy, and the relations were investigated between the mechanical properties and the structure of the films. The hardness of carbon thin films increases as their deposition temperature decreases; this tendency corresponds to the increase in amorphous structure estimated by Raman spectra. Argon ion bombardment results in constant hardness and fraction of amorphous structure. Argon ion beam bombardment of films prior to additional carbon deposition may cause the adhesion of the subsequently deposited films to improve. It is concluded that argon ion beam bombardment is useful for improving the properties of carbon films deposited onto WC and tool steels. (orig.)

  10. Hafnium carbide formation in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rodenbücher, C. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); Hildebrandt, E.; Sharath, S. U.; Kurian, J.; Komissinskiy, P.; Alff, L. [Technische Universität Darmstadt, Institute of Materials Science, 64287 Darmstadt (Germany); Szot, K. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); University of Silesia, A. Chełkowski Institute of Physics, 40-007 Katowice (Poland); Breuer, U. [Forschungszentrum Jülich GmbH, Central Institute for Engineering, Electronics and Analytics (ZEA-3), 52425 Jülich (Germany); Waser, R. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); RWTH Aachen, Institute of Electronic Materials (IWE 2), 52056 Aachen (Germany)

    2016-06-20

    On highly oxygen deficient thin films of hafnium oxide (hafnia, HfO{sub 2−x}) contaminated with adsorbates of carbon oxides, the formation of hafnium carbide (HfC{sub x}) at the surface during vacuum annealing at temperatures as low as 600 °C is reported. Using X-ray photoelectron spectroscopy the evolution of the HfC{sub x} surface layer related to a transformation from insulating into metallic state is monitored in situ. In contrast, for fully stoichiometric HfO{sub 2} thin films prepared and measured under identical conditions, the formation of HfC{sub x} was not detectable suggesting that the enhanced adsorption of carbon oxides on oxygen deficient films provides a carbon source for the carbide formation. This shows that a high concentration of oxygen vacancies in carbon contaminated hafnia lowers considerably the formation energy of hafnium carbide. Thus, the presence of a sufficient amount of residual carbon in resistive random access memory devices might lead to a similar carbide formation within the conducting filaments due to Joule heating.

  11. Nanoscale multilayered and porous carbide interphases prepared by pressure-pulsed reactive chemical vapor deposition for ceramic matrix composites

    International Nuclear Information System (INIS)

    Jacques, S.; Jouanny, I.; Ledain, O.; Maillé, L.; Weisbecker, P.

    2013-01-01

    In Ceramic Matrix Composites (CMCs) reinforced by continuous fibers, a good toughness is achieved by adding a thin film called “interphase” between the fiber and the brittle matrix, which acts as a mechanical fuse by deflecting the matrix cracks. Pyrocarbon (PyC), with or without carbide sub-layers, is typically the material of choice to fulfill this role. The aim of this work was to study PyC-free nanoscale multilayered carbide coatings as interphases for CMCs. Nanoscale multilayered (SiC–TiC) n interphases were deposited by pressure-Pulsed Chemical Vapor Deposition (P-CVD) on single filament Hi-Nicalon fibers and embedded in a SiC matrix sheath. The thicknesses of the carbide interphase sub-layers could be made as low as a few nanometers as evidenced by scanning and transmission electron microscopy. By using the P-ReactiveCVD method (P-RCVD), in which the TiC growth involves consumption of SiC, it was not only possible to obtain multilayered (SiC–TiC) n films but also TiC films with a porous multilayered microstructure as a result of the Kirkendall effect. The porosity in the TiC sequences was found to be enhanced when some PyC was added to SiC prior to total RCVD consumption. Because the porosity volume fraction was still not high enough, the role of mechanical fuse of the interphases could not be evidenced from the tensile curves, which remained fully linear even when chemical attack of the fiber surface was avoided.

  12. Nanoscale multilayered and porous carbide interphases prepared by pressure-pulsed reactive chemical vapor deposition for ceramic matrix composites

    Science.gov (United States)

    Jacques, S.; Jouanny, I.; Ledain, O.; Maillé, L.; Weisbecker, P.

    2013-06-01

    In Ceramic Matrix Composites (CMCs) reinforced by continuous fibers, a good toughness is achieved by adding a thin film called "interphase" between the fiber and the brittle matrix, which acts as a mechanical fuse by deflecting the matrix cracks. Pyrocarbon (PyC), with or without carbide sub-layers, is typically the material of choice to fulfill this role. The aim of this work was to study PyC-free nanoscale multilayered carbide coatings as interphases for CMCs. Nanoscale multilayered (SiC-TiC)n interphases were deposited by pressure-Pulsed Chemical Vapor Deposition (P-CVD) on single filament Hi-Nicalon fibers and embedded in a SiC matrix sheath. The thicknesses of the carbide interphase sub-layers could be made as low as a few nanometers as evidenced by scanning and transmission electron microscopy. By using the P-ReactiveCVD method (P-RCVD), in which the TiC growth involves consumption of SiC, it was not only possible to obtain multilayered (SiC-TiC)n films but also TiC films with a porous multilayered microstructure as a result of the Kirkendall effect. The porosity in the TiC sequences was found to be enhanced when some PyC was added to SiC prior to total RCVD consumption. Because the porosity volume fraction was still not high enough, the role of mechanical fuse of the interphases could not be evidenced from the tensile curves, which remained fully linear even when chemical attack of the fiber surface was avoided.

  13. New Icosahedral Boron Carbide Semiconductors

    Science.gov (United States)

    Echeverria Mora, Elena Maria

    Novel semiconductor boron carbide films and boron carbide films doped with aromatic compounds have been investigated and characterized. Most of these semiconductors were formed by plasma enhanced chemical vapor deposition. The aromatic compound additives used, in this thesis, were pyridine (Py), aniline, and diaminobenzene (DAB). As one of the key parameters for semiconducting device functionality is the metal contact and, therefore, the chemical interactions or band bending that may occur at the metal/semiconductor interface, X-ray photoemission spectroscopy has been used to investigate the interaction of gold (Au) with these novel boron carbide-based semiconductors. Both n- and p-type films have been tested and pure boron carbide devices are compared to those containing aromatic compounds. The results show that boron carbide seems to behave differently from other semiconductors, opening a way for new analysis and approaches in device's functionality. By studying the electrical and optical properties of these films, it has been found that samples containing the aromatic compound exhibit an improvement in the electron-hole separation and charge extraction, as well as a decrease in the band gap. The hole carrier lifetimes for each sample were extracted from the capacitance-voltage, C(V), and current-voltage, I(V), curves. Additionally, devices, with boron carbide with the addition of pyridine, exhibited better collection of neutron capture generated pulses at ZERO applied bias, compared to the pure boron carbide samples. This is consistent with the longer carrier lifetimes estimated for these films. The I-V curves, as a function of external magnetic field, of the pure boron carbide films and films containing DAB demonstrate that significant room temperature negative magneto-resistance (> 100% for pure samples, and > 50% for samples containing DAB) is possible in the resulting dielectric thin films. Inclusion of DAB is not essential for significant negative magneto

  14. Biocompatibility of Hydrogen-Diluted Amorphous Silicon Carbide Thin Films for Artificial Heart Valve Coating

    Science.gov (United States)

    Rizal, Umesh; Swain, Bhabani S.; Rameshbabu, N.; Swain, Bibhu P.

    2018-01-01

    Amorphous silicon carbide (a-SiC:H) thin films were synthesized using trichloromethylsilane by a hot wire chemical vapor deposition process. The deposited films were characterized by Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, x-ray diffraction and x-ray photoelectron spectroscopy to confirm its chemical bonding, structural network and composition of the a-SiC:H films. The optical microscopy images reveal that hydrogen dilution increased the surface roughness and pore density of a-SiC:H thin film. The Raman spectroscopy and FTIR spectra reveal chemical network consisting of Si-Si, C-C and Si-C bonds, respectively. The XRD spectroscopy and Raman spectroscopy indicate a-SiC:H still has short-range order. In addition, in vitro cytotoxicity test ensures the behavior of cell-semiconductor hybrid to monitor the proper coordination. The live-dead assays and MTT assay reveal an increase in green nucleus cell, and cell viability is greater than 88%, respectively, showing non-toxic nature of prepared a-SiC:H film. Moreover, the result indicated by direct contact assay, and cell prefers to adhere and proliferate on a-SiC:H thin films having a positive effect as artificial heart valve coating material.

  15. Study on tribological behavior and cutting performance of CVD diamond and DLC films on Co-cemented tungsten carbide substrates

    International Nuclear Information System (INIS)

    Zhang Dongcan; Shen Bin; Sun Fanghong

    2010-01-01

    The tribological behaviors of diamond and diamond-like carbon (DLC) films play a major role on their machining and mechanical applications. In this study, diamond and diamond-like carbon (DLC) films are deposited on the cobalt cemented tungsten carbide (WC-Co) substrate respectively adopting the hot filament chemical vapor deposition (HFCVD) technique and the vacuum arc discharge with a graphite cathode, and their friction properties are evaluated on a reciprocating ball-on-plate tribometer with counterfaces of silicon nitride (Si 3 N 4 ) ceramic, cemented tungsten carbide (WC) and ball-bearing steel materials, under the ambient air without lubricating condition. Moreover, to evaluate their cutting performance, comparative turning tests are conducted using the uncoated WC-Co and as-fabricated CVD diamond and DLC coated inserts, with glass fiber reinforced plastics (GFRP) composite materials as the workpiece. The as-deposited HFCVD diamond and DLC films are characterized with energy-dispersive X-ray spectroscopy (EDX), scanning electron microscope (SEM), X-ray diffraction spectroscopy (XRD), Raman spectroscopy and 3D surface topography based on white-light interferometry. Furthermore, Rocwell C indentation tests are conducted to evaluate the adhesion of HFCVD diamond and DLC films grown onto WC-Co substrates. SEM and 3D surface topography based on white-light interferometry are also used to investigate the worn region on the surfaces of diamond and DLC films. The friction tests suggest that the obtained friction coefficient curves that of various contacts exhibit similar evolution tendency. For a given counterface, DLC films present lower stable friction coefficients than HFCVD diamond films under the same sliding conditions. The cutting tests results indicate that flank wear of the HFCVD diamond coated insert is lower than that of DLC coated insert before diamond films peeling off.

  16. Microcrystalline silicon carbide alloys prepared with HWCVD as highly transparent and conductive window layers for thin film solar cells

    International Nuclear Information System (INIS)

    Finger, F.; Astakhov, O.; Bronger, T.; Carius, R.; Chen, T.; Dasgupta, A.; Gordijn, A.; Houben, L.; Huang, Y.; Klein, S.; Luysberg, M.; Wang, H.; Xiao, L.

    2009-01-01

    Crystalline silicon carbide alloys have a very high potential as transparent conductive window layers in thin-film solar cells provided they can be prepared in thin-film form and at compatible deposition temperatures. The low-temperature deposition of such material in microcrystalline form (μc-Si:C:H) was realized by use of monomethylsilane precursor gas diluted in hydrogen with the Hot-Wire Chemical Vapor Deposition process. A wide range of deposition parameters has been investigated and the structural, electronic and optical properties of the μc-SiC:H thin films have been studied. The material, which is strongly n-type from unintentional doping, has been used as window layer in n-side illuminated microcrystalline silicon solar cells. High short-circuit current densities are obtained due to the high transparency of the material resulting in a maximum solar cell conversion efficiency of 9.2%.

  17. Deposition of mullite and mullite-like coatings on silicon carbide by dual-source metal plasma immersion. Topical report, October 1995--September 1996

    Energy Technology Data Exchange (ETDEWEB)

    Brown, I.G.; Monteiro, O.R. [Lawrence Berkeley National Lab., CA (United States)

    1997-04-01

    Mullite and mullite-like coatings on silicon carbide have been produced by a Metal Plasma Immersion Ion Implantation and Deposition (Mepiiid) technique based on two cathodic vacuum arc sources and concurrent pulse biasing of the substrate in an oxygen atmosphere. The deposition was carried out at oxygen partial pressures of between 0.66 and 3.33 Pa. The Al:Si ratio in the films varied from 1:1 to 8:1 and was controlled by varying the pulse duration of the separate plasma guns. High bias voltage was used early in the deposition process in order to produce atomic mixing at the film-substrate interface, while lower bias voltage was used later in the deposition; low ion energy allows control of the physical properties of the film as well as faster deposition rates. The as-deposited films were amorphous, and crystalline mullite was formed by subsequent annealing at 1,100 C for 2 hours in air. Strong adhesion between the mullite and the SiC was achieved, in some cases exceeding the 70 MPa instrumental limit of the pull-tester.

  18. Low temperature CVD deposition of silicon carbide

    International Nuclear Information System (INIS)

    Dariel, M.; Yeheskel, J.; Agam, S.; Edelstein, D.; Lebovits, O.; Ron, Y.

    1991-04-01

    The coating of graphite on silicon carbide from the gaseous phase in a hot-well, open flow reactor at 1150degC is described. This study constitutes the first part of an investigation of the process for the coating of nuclear fuel by chemical vapor deposition (CVD)

  19. Dependence of silicon carbide coating properties on deposition parameters: preliminary report

    International Nuclear Information System (INIS)

    Lauf, R.J.; Braski, D.N.

    1980-05-01

    Fuel particles for the High-Temperature Gas-Cooled Reactor (HTGR) contain a layer of pyrolytic silicon carbide, which acts as a pressure vessel and provides containment of metallic fission products. The silicon carbide (SiC) is deposited by the thermal decomposition of methyltrichlorosilane (CH 3 SiCl 3 or MTS) in an excess of hydrogen. The purpose of the current study is to determine how the deposition variables affect the structure and properties of the SiC layer

  20. Transition metal carbide nanocomposite and amorphous thin films

    OpenAIRE

    Tengstrand, Olof

    2014-01-01

    This thesis explores thin films of binary and ternary transition metal carbides, in the Nb-C, Ti-Si-C, Nb-Si-C, Zr-Si-C, and Nb-Ge-C systems. The electrical and mechanical properties of these systems are affected by their structure and here both nanocomposite and amorphous thin films are thus investigated. By appropriate choice of transition metal and composition the films can be designed to be multifunctional with a combination of properties, such as low electric resistivity, low contact res...

  1. Microstructure and property of diamond-like carbon films with Al and Cr co-doping deposited using a hybrid beams system

    International Nuclear Information System (INIS)

    Dai, Wei; Liu, Jingmao; Geng, Dongsen; Guo, Peng; Zheng, Jun; Wang, Qimin

    2016-01-01

    Highlights: • Diamond-like carbon films with Al and Cr doping were deposited. • Alternate multilayered structure consisted of Al-poor layer and Al-rich layer was formed. • The periodic Al-rich layers can greatly improve the residual stress and elastic resilience of the films. - Abstract: DLC films with weak carbide former Al and carbide former Cr co-doping (Al:Cr-DLC) were deposited by a hybrid beams system comprising an anode-layer linear ion beam source (LIS) and high power impulse magnetron sputtering using a gas mixture of C 2 H 2 and Ar as the precursor. The doped Al and Cr contents were controlled via adjusting the C 2 H 2 fraction in the gas mixture. The composition, microstructure, compressive stress, mechanical properties and tribological behaviors of the Al:Cr-DLC films were researched carefully using X-ray photoelectron spectroscopy, transmission electron microscopy, Raman spectroscopy, stress-tester, nanoindentation and ball-on-plate tribometer as function of the C 2 H 2 fraction. The results show that the Al and Cr contents in the films increased continuously as the C 2 H 2 fraction decreased. The doped Cr atoms preferred to bond with the carbon while the Al atoms mainly existed in metallic state. Structure modulation with alternate multilayer consisted of Al-poor DLC layer and Al-rich DLC layer was found in the films. Those periodic Al-rich DLC layers can effectively release the residual stress of the films. On the other hand, the formation of the carbide component due to Cr incorporation can help to increase the film hardness. Accordingly, the residual stress of the DLC films can be reduced without sacrificing the film hardness though co-doping Al and Cr atoms. Furthermore, it was found that the periodic Al-rich layer can greatly improve the elastic resilience of the DLC films and thus decreases the film friction coefficient and wear rate significantly. However, the existence of the carbide component would cause abrasive wear and thus

  2. Electrochemical deposition of carbon films on titanium in molten LiCl–KCl–K2CO3

    International Nuclear Information System (INIS)

    Song, Qiushi; Xu, Qian; Wang, Yang; Shang, Xujing; Li, Zaiyuan

    2012-01-01

    Electrodeposition of carbon films on the oxide-scale-coated titanium has been performed in a LiCl–KCl–K 2 CO 3 melt, which are characterized by scanning electron microscopy, Raman spectroscopy and X-ray diffraction analysis. The electrochemical process of carbon deposition is investigated by cyclic voltammetry on the graphite, titanium and oxide-scale-coated titanium electrodes. The particle-size-gradient carbon films over the oxide-scale-coated titanium can be achieved by electrodeposition under the controlled potentials for avoiding codeposition of lithium carbide. The deposited carbon films are comprised of micron-sized ‘quasi-spherical’ carbon particles with graphitized and amorphous phases. The cyclic voltammetry behavior on the graphite, titanium and oxide-scale-coated titanium electrodes shows that CO 3 2− ions are reduced most favorably on the graphite for the three electrodes. Lithium ions can discharge under the less negative potential on the electrode containing carbon compared with titanium electrode because of the formation of lithium carbide from the reaction between lithium and carbon. - Highlights: ► Carbon films are prepared on oxide-scale-coated titanium in a LiCl–KCl–K 2 CO 3 melt. ► The films comprise micron-size ‘quasi-spherical’ carbon particles. ► The films present particle-size-gradient. ► The particles contain graphitized and amorphous phases. ► The prepared carbon films are more electrochemically active than graphite.

  3. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.

  4. Broadband antireflective silicon carbide surface produced by cost-effective method

    DEFF Research Database (Denmark)

    Argyraki, Aikaterini; Ou, Yiyu; Ou, Haiyan

    2013-01-01

    A cost-effective method for fabricating antireflective subwavelength structures on silicon carbide is demonstrated. The nanopatterning is performed in a 2-step process: aluminum deposition and reactive ion etching. The effect, of the deposited aluminum film thickness and the reactive ion etching...... conditions, on the average surface reflectance and nanostructure landscape have been investigated systematically. The average reflectance of silicon carbide surface is significantly suppressed from 25.4% to 0.05%, under the optimal experimental conditions, in the wavelength range of 390-784 nm. The presence...... of stochastic nanostructures also changes the wetting properties of silicon carbide surface from hydrophilic (47°) to hydrophobic (108°)....

  5. Superhard PVD carbon films deposited with different gradients with and without additions of titanium and silicon

    International Nuclear Information System (INIS)

    Bauer, C.

    2003-10-01

    This work focusses on thin carbon-based films, deposited by magnetron sputtering with additional argon ion bombardment (0 eV to 800 eV) without extra adhesive layer on hard metal inserts. As one possibility of increasing the reduced adherence of hard carbon films the deposition of films with additions of titanium and silicon is studied. The aim of this work is to examine the influence of a modification of the transition between substrate and film by realizing three different types of deposition gradients. The pure carbon films are amorphous, the dominant network of atoms is formed by sp 2 bonded atoms. The amount of sp 3 bonded atoms is up to 30% and is influenced by the bombarding argon ion energy. Carbon films with additions of silicon are amorphous, only in films with a high amount of titanium (approx. 20 at%) nanocomposites of titanium carbide crystals with diameters of less than 5 nm in an amorphous carbon matrix were found. The mechanical properties and the behavior of single layer carbon films strongly depend on the argon ion energy. An increase of this energy leads to higher film hardness and higher residual stress and results in the delamination of superhard carbon films on hard metal substrates. The adhesion of single layer films for ion energies of more than 200 eV is significantly improved by additions of titanium and silicon, respectively. The addition of 23 at% silicon and titanium, respectively leads to a high reduction of the residual stress. In a non-reactive PVD process thin films were deposited with a continuously gradient in chemical composition. The results of the investigations of the films with two different concentrations of titanium and silicon, respectively show that carbon-based films with a good adhesion could be deposited. The combination of the two gradients in structure and properties and in chemical composition leads in the system with carbon and silicon carbide to hard and very adhesive films. Especially for carbon films with a high

  6. Supersonic cluster beams: a powerful method for the deposition of nanostructured thin films with tailored properties

    International Nuclear Information System (INIS)

    Milani, P.

    2002-01-01

    By using a pulsed micro-plasma cluster source and by exploiting aero-dynamical effects typical of supersonic beams it is possible to obtain very high deposition rates with a control on neutral cluster mass distribution, allowing the deposition of thin films with controlled nanostructure. Due to high deposition rates, high lateral resolution, low temperature processing supersonic cluster beams can also be used for the micro and nano-patterning of cluster-assembled films when little or no post-growth manipulation or assembly is required. For example the nano and meso-structure of films obtained by carbon cluster beam deposition can be controlled by selecting in the beam the elemental building blocks, moreover functional properties such as field emission can be controlled and tailored. The use of supersonic cluster beams opens also new perspectives for the production of nano-structured films with novel physico-chemical and topological properties such as nano-structured carbon matrices containing carbide and transition metal particles. (Author)

  7. Microstructure and property of diamond-like carbon films with Al and Cr co-doping deposited using a hybrid beams system

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Wei, E-mail: popdw@126.com [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Liu, Jingmao; Geng, Dongsen [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Guo, Peng [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zheng, Jun [Science and Technology on Surface Engineering Laboratory, Lanzhou Institute of Physics, Lanzhou 730000 (China); Wang, Qimin, E-mail: qmwang@gdut.edu.cn [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China)

    2016-12-01

    Highlights: • Diamond-like carbon films with Al and Cr doping were deposited. • Alternate multilayered structure consisted of Al-poor layer and Al-rich layer was formed. • The periodic Al-rich layers can greatly improve the residual stress and elastic resilience of the films. - Abstract: DLC films with weak carbide former Al and carbide former Cr co-doping (Al:Cr-DLC) were deposited by a hybrid beams system comprising an anode-layer linear ion beam source (LIS) and high power impulse magnetron sputtering using a gas mixture of C{sub 2}H{sub 2} and Ar as the precursor. The doped Al and Cr contents were controlled via adjusting the C{sub 2}H{sub 2} fraction in the gas mixture. The composition, microstructure, compressive stress, mechanical properties and tribological behaviors of the Al:Cr-DLC films were researched carefully using X-ray photoelectron spectroscopy, transmission electron microscopy, Raman spectroscopy, stress-tester, nanoindentation and ball-on-plate tribometer as function of the C{sub 2}H{sub 2} fraction. The results show that the Al and Cr contents in the films increased continuously as the C{sub 2}H{sub 2} fraction decreased. The doped Cr atoms preferred to bond with the carbon while the Al atoms mainly existed in metallic state. Structure modulation with alternate multilayer consisted of Al-poor DLC layer and Al-rich DLC layer was found in the films. Those periodic Al-rich DLC layers can effectively release the residual stress of the films. On the other hand, the formation of the carbide component due to Cr incorporation can help to increase the film hardness. Accordingly, the residual stress of the DLC films can be reduced without sacrificing the film hardness though co-doping Al and Cr atoms. Furthermore, it was found that the periodic Al-rich layer can greatly improve the elastic resilience of the DLC films and thus decreases the film friction coefficient and wear rate significantly. However, the existence of the carbide component would

  8. Room temperature pulsed laser deposition of Si{sub x} C thin films in different compositions

    Energy Technology Data Exchange (ETDEWEB)

    Hanyecz, I.; Budai, J. [University of Szeged, Department of Optics and Quantum Electronics, P.O. Box 406, Szeged (Hungary); Oszko, A. [University of Szeged, Department of Solid State and Radiochemistry, P.O. Box 168, Szeged (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, Budapest (Hungary); Toth, Z. [Research Group on Laser Physics of the Hungarian Academy of Sciences, P.O. Box 406, Szeged (Hungary)

    2010-09-15

    Amorphous silicon-carbon alloy films in different compositions were prepared by pulsed laser deposition from two-component targets containing pure silicon and carbon parts. The silicon-carbon ratio in the films was varied by adjusting the number of laser shots on the constituent silicon and carbon targets. The composition, optical properties, thickness, and bonding structure of the films were determined by backscattering spectrometry, spectroscopic ellipsometry, and X-ray photoelectron spectroscopy, respectively. Backscattering spectrometry data were used to determine the deposition rate of silicon and carbon. This enabled the calculation of the number of the shots onto each target to reach a predefined composition. As the film composition changed from carbon to silicon, it was shown that the microscopic and macroscopic properties of the films also changed from a diamond-like carbon phase to an amorphous silicon phase via graphite- and silicon-carbide-like composite. (orig.)

  9. Synthesis and characterization of boron incorporated diamond-like carbon thin films

    International Nuclear Information System (INIS)

    Zhang, L.L.; Yang, Q.; Tang, Y.; Yang, L.; Zhang, C.; Hu, Y.; Cui, X.

    2015-01-01

    Boron incorporated diamond-like carbon (B-DLC) (up to 8 wt.% boron) thin films were synthesized on silicon wafers using biased target ion beam deposition technique, where diamond-like carbon (DLC) was deposited by ion beam deposition and boron (B) was simultaneously incorporated by biased target sputtering of a boron carbide (B 4 C) target under different conditions. Pure DLC films and B–C films were also synthesized by ion beam deposition and biased target sputtering of B 4 C under similar conditions, respectively, as reference samples. The microstructure and mechanical properties of the synthesized films have been characterized by various technologies. It has been found that B exists in different states in B-DLC, including carbon-rich and B-rich boron carbides, boron suboxide and boron oxide, and the oxidation of B probably occurs during the film deposition. The incorporation of B into DLC leads to the increase of sp 3 bonded carbon in the films, the increase of both film hardness and elastic modulus, and the decrease of both surface roughness and friction coefficient. Furthermore, the content of sp 3 bonded carbon, film hardness and elastic modulus increase, and the film surface roughness and friction coefficient decrease with the increase of B-rich carbide in the B-DLC films. - Highlights: • Biased target ion beam deposition technique is promising to produce high quality DLC based thin films; • Boron exists in different states in B-DLC thin films; • The incorporation of B to DLC with different levels leads to improved film properties; • The fraction of sp 3 bonded C in B-DLC thin films increase with the increase of B-rich carbide content in the films

  10. Room-Temperature Growth of SiC Thin Films by Dual-Ion-Beam Sputtering Deposition

    Directory of Open Access Journals (Sweden)

    C. G. Jin

    2008-01-01

    Full Text Available Silicon carbide (SiC films were prepared by single and dual-ion-beamsputtering deposition at room temperature. An assisted Ar+ ion beam (ion energy Ei = 150 eV was directed to bombard the substrate surface to be helpful for forming SiC films. The microstructure and optical properties of nonirradicated and assisted ion-beam irradicated films have been characterized by transmission electron microscopy (TEM, scanning electron microscopy (SEM, Fourier transform infrared spectroscopy (FTIR, and Raman spectra. TEM result shows that the films are amorphous. The films exposed to a low-energy assisted ion-beam irradicated during sputtering from a-SiC target have exhibited smoother and compacter surface topography than which deposited with nonirradicated. The ion-beam irradicated improves the adhesion between film and substrate and releases the stress between film and substrate. With assisted ion-beam irradicated, the density of the Si–C bond in the film has increased. At the same time, the excess C atoms or the size of the sp2 bonded clusters reduces, and the a-Si phase decreases. These results indicate that the composition of the film is mainly Si–C bond.

  11. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  12. Synthesis and characterization of hard ternary AlMgB composite films prepared by sputter deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yan Ce [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Zhou, Z.F. [Department of Manufacturing Engineering and Engineering Management and Advanced Coatings Applied Research Laboratory, City University of Hong Kong (Hong Kong); Chong, Y.M.; Liu, C.P.; Liu, Z.T. [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Li, K.Y., E-mail: mekyli@cityu.edu.h [Department of Manufacturing Engineering and Engineering Management and Advanced Coatings Applied Research Laboratory, City University of Hong Kong (Hong Kong); Bello, I., E-mail: apibello@cityu.edu.h [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Kutsay, O.; Zapien, J.A.; Zhang, W.J. [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong)

    2010-07-30

    Hard and superlight thin films laminated with boron carbide have been proposed as candidates for strategic use such as armor materials in military and space applications. Aluminum magnesium boride (AlMgB) films are excellent candidates for these purposes. We prepared AlMgB films by sputter deposition using multiple unbalanced planar magnetrons equipped with two boron and one AlMg targets. The film morphology changed and the film's root mean square (rms) roughness varied from 1.0 to 18 nm as the power density of the AlMg target increased from 0.2 to 1.0 W/cm{sup 2} while the power density of each boron target was maintained at 2 W/cm{sup 2}. Chemical analyses show dominating Al, Mg, B and trace elements of oxygen, carbon and argon. The film composition also varies with altering the power density supplied to the AlMg target. The film with an atomic ratio of Al:Mg:B = 1.38:0.64:1 exhibits the highest hardness ({approx} 30 GPa). This value surpasses the hardness of hydrogenated diamond-like carbon films (24-28 GPa) prepared by plasma enhanced chemical vapor deposition.

  13. Synthesis and characterization of hard ternary AlMgB composite films prepared by sputter deposition

    International Nuclear Information System (INIS)

    Yan Ce; Zhou, Z.F.; Chong, Y.M.; Liu, C.P.; Liu, Z.T.; Li, K.Y.; Bello, I.; Kutsay, O.; Zapien, J.A.; Zhang, W.J.

    2010-01-01

    Hard and superlight thin films laminated with boron carbide have been proposed as candidates for strategic use such as armor materials in military and space applications. Aluminum magnesium boride (AlMgB) films are excellent candidates for these purposes. We prepared AlMgB films by sputter deposition using multiple unbalanced planar magnetrons equipped with two boron and one AlMg targets. The film morphology changed and the film's root mean square (rms) roughness varied from 1.0 to 18 nm as the power density of the AlMg target increased from 0.2 to 1.0 W/cm 2 while the power density of each boron target was maintained at 2 W/cm 2 . Chemical analyses show dominating Al, Mg, B and trace elements of oxygen, carbon and argon. The film composition also varies with altering the power density supplied to the AlMg target. The film with an atomic ratio of Al:Mg:B = 1.38:0.64:1 exhibits the highest hardness (∼ 30 GPa). This value surpasses the hardness of hydrogenated diamond-like carbon films (24-28 GPa) prepared by plasma enhanced chemical vapor deposition.

  14. Fracture properties of hydrogenated amorphous silicon carbide thin films

    International Nuclear Information System (INIS)

    Matsuda, Y.; King, S.W.; Bielefeld, J.; Xu, J.; Dauskardt, R.H.

    2012-01-01

    The cohesive fracture properties of hydrogenated amorphous silicon carbide (a-SiC:H) thin films in moist environments are reported. Films with stoichiometric compositions (C/Si ≈ 1) exhibited a decreasing cohesive fracture energy with decreasing film density similar to other silica-based hybrid organic–inorganic films. However, lower density a-SiC:H films with non-stoichiometric compositions (C/Si ≈ 5) exhibited much higher cohesive fracture energy than the films with higher density stoichiometric compositions. One of the non-stoichiometric films exhibited fracture energy (∼9.5 J m −2 ) greater than that of dense silica glasses. The increased fracture energy was due to crack-tip plasticity, as demonstrated by significant pileup formation during nanoindentation and a fracture energy dependence on film thickness. The a-SiC:H films also exhibited a very low sensitivity to moisture-assisted cracking compared with other silica-based hybrid films. A new atomistic fracture model is presented to describe the observed moisture-assisted cracking in terms of the limited Si-O-Si suboxide bond formation that occurs in the films.

  15. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  16. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  17. Method of accurate thickness measurement of boron carbide coating on copper foil

    Science.gov (United States)

    Lacy, Jeffrey L.; Regmi, Murari

    2017-11-07

    A method is disclosed of measuring the thickness of a thin coating on a substrate comprising dissolving the coating and substrate in a reagent and using the post-dissolution concentration of the coating in the reagent to calculate an effective thickness of the coating. The preferred method includes measuring non-conducting films on flexible and rough substrates, but other kinds of thin films can be measure by matching a reliable film-substrate dissolution technique. One preferred method includes determining the thickness of Boron Carbide films deposited on copper foil. The preferred method uses a standard technique known as inductively coupled plasma optical emission spectroscopy (ICPOES) to measure boron concentration in a liquid sample prepared by dissolving boron carbide films and the Copper substrates, preferably using a chemical etch known as ceric ammonium nitrate (CAN). Measured boron concentration values can then be calculated.

  18. Deposition and properties of cobalt- and ruthenium-based ultra-thin films

    Science.gov (United States)

    Henderson, Lucas Benjamin

    Future copper interconnect systems will require replacement of the materials that currently comprise both the liner layer(s) and the capping layer. Ruthenium has previously been considered as a material that could function as a single material liner, however its poor ability to prevent copper diffusion makes it incompatible with liner requirements. A recently described chemical vapor deposition route to amorphous ruthenium-phosphorus alloy films could correct this problem by eliminating the grain boundaries found in pure ruthenium films. Bias-temperature stressing of capacitor structures using 5 nm ruthenium-phosphorus film as a barrier to copper diffusion and analysis of the times-to-failure at accelerated temperature and field conditions implies that ruthenium-phosphorus performs acceptably as a diffusion barrier for temperatures above 165°C. The future problems associated with the copper capping layer are primarily due to the poor adhesion between copper and the current Si-based capping layers. Cobalt, which adheres well to copper, has been widely proposed to replace the Si-based materials, but its ability to prevent copper diffusion must be improved if it is to be successfully implemented in the interconnect. Using a dual-source chemistry of dicobaltoctacarbonyl and trimethylphosphine at temperatures from 250-350°C, amorphous cobalt-phosphorus can be deposited by chemical vapor deposition. The films contain elemental cobalt and phosphorus, plus some carbon impurity, which is incorporated in the film as both graphitic and carbidic (bonded to cobalt) carbon. When deposited on copper, the adhesion between the two materials remains strong despite the presence of phosphorus and carbon at the interface, but the selectivity for growth on copper compared to silicon dioxide is poor and must be improved prior to consideration for application in interconnect systems. A single molecule precursor containing both cobalt and phosphorus atoms, tetrakis

  19. Structure and mechanical properties of Ti-Si-C coatings deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Koutzaki, S.H.; Krzanowski, J.E.; Nainaparampril, J.J.

    2001-01-01

    Nanostructured coatings consisting of mixed carbide phases can provide a potential means to developing superhard coatings. Heterogeneous nanostructured coatings can be obtained by either deposition of multilayer structures or by depositing film compositions that undergo a natural phase separation due to thermodynamic immiscibility. In the present work, we have taken the latter approach, and deposited films by radio frequency cosputtering from dual carbide targets. We have examined a number of ternary carbide systems, and here we report the results obtained on Ti-Si-C films with a nominal (Ti 1-x Si x )C stoichiometry and with x≤0.31. It was found that the nanoindentation hardness increased with Si content, and the maximum hardness achieved was nearly twice that of sputter-deposited TiC. We further analyzed these films using high-resolution transmission electron microscopy (TEM), x-ray photoelectron spectroscopy (XPS), and x-ray diffraction. Since cubic SiC has an x-ray pattern almost identical to that of TiC, the extent of phase separation could not be determined by that method. However, XRD did demonstrate a general disordering of the films with increasing SiC content. In addition, a mottled structure was observed in high-resolution TEM images of the Si-containing films, confirming microstructural effects due to the Si additions

  20. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  1. Microstructural Study of Titanium Carbide Coating on Cemented Carbide

    DEFF Research Database (Denmark)

    Vuorinen, S.; Horsewell, Andy

    1982-01-01

    Titanium carbide coating layers on cemented carbide substrates have been investigated by transmission electron microscopy. Microstructural variations within the typically 5µm thick chemical vapour deposited TiC coatings were found to vary with deposit thickness such that a layer structure could...... be delineated. Close to the interface further microstructural inhomogeneities were obsered, there being a clear dependence of TiC deposition mechanism on the chemical and crystallographic nature of the upper layers of the multiphase substrate....

  2. Structural, chemical and nanomechanical investigations of SiC/polymeric a-C:H films deposited by reactive RF unbalanced magnetron sputtering

    Science.gov (United States)

    Tomastik, C.; Lackner, J. M.; Pauschitz, A.; Roy, M.

    2016-03-01

    Amorphous carbon (or diamond-like carbon, DLC) films have shown a number of important properties usable for a wide range of applications for very thin coatings with low friction and good wear resistance. DLC films alloyed with (semi-)metals show some improved properties and can be deposited by various methods. Among those, the widely used magnetron sputtering of carbon targets is known to increase the number of defects in the films. Therefore, in this paper an alternative approach of depositing silicon-carbide-containing polymeric hydrogenated DLC films using unbalanced magnetron sputtering was investigated. The influence of the C2H2 precursor concentration in the deposition chamber on the chemical and structural properties of the deposited films was investigated by Raman spectroscopy, X-ray photoelectron spectroscopy and elastic recoil detection analysis. Roughness, mechanical properties and scratch response of the films were evaluated with the help of atomic force microscopy and nanoindentation. The Raman spectra revealed a strong correlation of the film structure with the C2H2 concentration during deposition. A higher C2H2 flow rate results in an increase in SiC content and decrease in hydrogen content in the film. This in turn increases hardness and elastic modulus and decreases the ratio H/E and H3/E2. The highest scratch resistance is exhibited by the film with the highest hardness, and the film having the highest overall sp3 bond content shows the highest elastic recovery during scratching.

  3. Influence of deposition temperature and amorphous carbon on microstructure and oxidation resistance of magnetron sputtered nanocomposite Crsbnd C films

    Science.gov (United States)

    Nygren, Kristian; Andersson, Matilda; Högström, Jonas; Fredriksson, Wendy; Edström, Kristina; Nyholm, Leif; Jansson, Ulf

    2014-06-01

    It is known that mechanical and tribological properties of transition metal carbide films can be tailored by adding an amorphous carbon (a-C) phase, thus making them nanocomposites. This paper addresses deposition, microstructure, and for the first time oxidation resistance of magnetron sputtered nanocomposite Crsbnd C/a-C films with emphasis on studies of both phases. By varying the deposition temperature between 20 and 700 °C and alternating the film composition, it was possible to deposit amorphous, nanocomposite, and crystalline Crsbnd C films containing about 70% C and 30% Cr, or 40% C and 60% Cr. The films deposited at temperatures below 300 °C were X-ray amorphous and 500 °C was required to grow crystalline phases. Chronoamperometric polarization at +0.6 V vs. Ag/AgCl (sat. KCl) in hot 1 mM H2SO4 resulted in oxidation of Crsbnd C, yielding Cr2O3 and C, as well as oxidation of C. The oxidation resistance is shown to depend on the deposition temperature and the presence of the a-C phase. Physical characterization of film surfaces show that very thin C/Cr2O3/Crsbnd C layers develop on the present material, which can be used to improve the oxidation resistance of, e.g. stainless steel electrodes.

  4. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  5. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  6. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  7. Monolithic Carbide-Derived Carbon Films for Micro-Supercapacitors

    Science.gov (United States)

    Chmiola, John; Largeot, Celine; Taberna, Pierre-Louis; Simon, Patrice; Gogotsi, Yury

    2010-04-01

    Microbatteries with dimensions of tens to hundreds of micrometers that are produced by common microfabrication techniques are poised to provide integration of power sources onto electronic devices, but they still suffer from poor cycle lifetime, as well as power and temperature range of operation issues that are alleviated with the use of supercapacitors. There have been a few reports on thin-film and other micro-supercapacitors, but they are either too thin to provide sufficient energy or the technology is not scalable. By etching supercapacitor electrodes into conductive titanium carbide substrates, we demonstrate that monolithic carbon films lead to a volumetric capacity exceeding that of micro- and macroscale supercapacitors reported thus far, by a factor of 2. This study also provides the framework for integration of high-performance micro-supercapacitors onto a variety of devices.

  8. Deposition of tantalum carbide coatings on graphite by laser interactions

    Science.gov (United States)

    Veligdan, James; Branch, D.; Vanier, P. E.; Barietta, R. E.

    1994-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing involved the use of a CO2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl5 gas near the substrate. The results of preliminary experiments using these techniques are described.

  9. Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides

    Directory of Open Access Journals (Sweden)

    R. Polini

    2012-01-01

    Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.

  10. Fluidized bed deposition and evaluation of silicon carbide coatings on microspheres

    International Nuclear Information System (INIS)

    Federer, J.I.

    1977-01-01

    The fuel element for the HTGR is an array of closely packed fuel microspheres in a carbonaceous matrix. A coating of dense silicon carbide (SiC), along with pyrocarbon layers, is deposited on the fueled microspheres to serve as a barrier against diffusion of fission products. The microspheres are coated with silicon carbide in a fluidized bed by reaction of methyltrichlorosilane (CH 3 SiCl 3 or MTS) and hydrogen at elevated temperatures. The principal variables of coating temperature and reactant gas composition (H 2 /MTS ratio) have been correlated with coating rate, morphology, stoichiometry, microstructure, and density. The optimum temperature for depositing highly dense coatings is in the range 1475 to 1675 0 C. Lower temperatures result in silicon-rich deposits, while higher temperatures may cause unacceptable porosity. The optimum H 2 /MTS ratio for highly dense coatings is 20 or more (approximately 5% MTS or less). The amount of grown-in porosity increases as the H 2 /MTS ratio decreases below 20. The requirement that the H 2 /MTS ratio be about 20 or more imposes a practical restraint on coating rate, since increasing the total flow rate would eventually expel microspheres from the coating tube. Evaluation of stoichiometry, morphology, and microstructure support the above mentioned optimum conditions of temperature and reactant gas composition. 18 figures, 3 tables

  11. Adherent diamond coatings on cemented tungsten carbide substrates with new Fe/Ni/Co binder phase

    International Nuclear Information System (INIS)

    Polini, Riccardo; Delogu, Michele; Marcheselli, Giancarlo

    2006-01-01

    WC-Co hard metals continue to gain importance for cutting, mining and chipless forming tools. Cobalt metal currently dominates the market as a binder because of its unique properties. However, the use of cobalt as a binder has several drawbacks related to its hexagonal close-packed structure and market price fluctuations. These issues pushed the development of pre-alloyed binder powders which contain less than 40 wt.% cobalt. In this paper we first report the results of extensive investigations of WC-Fe/Ni/Co hard metal sintering, surface pretreating and deposition of adherent diamond films by using an industrial hot filament chemical vapour deposition (HFCVD) reactor. In particular, CVD diamond was deposited onto WC-Fe/Ni/Co grades which exhibited the best mechanical properties. Prior to deposition, the substrates were submitted to surface roughening by Murakami's etching and to surface binder removal by aqua regia. The adhesion was evaluated by Rockwell indentation tests (20, 40, 60 and 100 kg) conducted with a Brale indenter and compared to the adhesion of diamond films grown onto Co-cemented tungsten carbide substrates, which were submitted to similar etching pretreatments and identical deposition conditions. The results showed that diamond films on medium-grained WC-6 wt.% Fe/Ni/Co substrates exhibited good adhesion levels, comparable to those obtained for HFCVD diamond on Co-cemented carbides with similar microstructure

  12. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  13. Deposition and Characterization of TRISO Coating Layers

    International Nuclear Information System (INIS)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H.

    2007-03-01

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis

  14. Deposition and Characterization of TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H. [KAIST, Daejeon (Korea, Republic of)

    2007-03-15

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis.

  15. Effect of deposition parameter on hardness of amorphous carbon film prepared by plasma immersion ion implantation using C2H2

    International Nuclear Information System (INIS)

    Mitsuo, A.; Uchida, S.; Morikawa, K.; Kawaguchi, M.; Shiotani, K.; Suzuki, H.

    2007-01-01

    Carbon films were deposited on a cemented carbide substrate and silicon wafer at various bias voltages, acetylene (C 2 H 2 ) pressures and process times by plasma immersion ion implantation (PIII). In order to investigate the substrate temperature, the tool steel substrate was also simultaneously treated. The final substrate temperature was estimated from the hardness of the tool steel substrate. The surface and cross-sectional morphology of the deposited films were observed using a scanning electron microscope (SEM). Depth profiles of the carbon were obtained by Auger electron spectroscopy (AES). Raman spectroscopy was employed for the structural evaluation of the films. The hardness of the deposited films was measured using a nano-indenter with the maximum load of 0.5 mN. A variety of film hardnesses between 10 to 24 GPa was obtained. The hardness of the carbon films decreased with the increasing bias voltage, C 2 H 2 pressure and process time, although the intensity ratio of the disordered peak to graphitic peak in the Raman spectrum increased. It was considered that the decrease in the film hardness was caused by a stress reduction accompanied by a heating effect during the process as each PIII process parameter significantly influenced the substrate temperature

  16. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  17. Emission of blue light from hydrogenated amorphous silicon carbide

    Science.gov (United States)

    Nevin, W. A.; Yamagishi, H.; Yamaguchi, M.; Tawada, Y.

    1994-04-01

    THE development of new electroluminescent materials is of current technological interest for use in flat-screen full-colour displays1. For such applications, amorphous inorganic semiconductors appear particularly promising, in view of the ease with which uniform films with good mechanical and electronic properties can be deposited over large areas2. Luminescence has been reported1 in the red-green part of the spectrum from amorphous silicon carbide prepared from gas-phase mixtures of silane and a carbon-containing species (usually methane or ethylene). But it is not possible to achieve blue luminescence by this approach. Here we show that the use of an aromatic species-xylene-as the source of carbon during deposition results in a form of amorphous silicon carbide that exhibits strong blue luminescence. The underlying structure of this material seems to be an unusual combination of an inorganic silicon carbide lattice with a substantial 'organic' π-conjugated carbon system, the latter dominating the emission properties. Moreover, the material can be readily doped with an electron acceptor in a manner similar to organic semiconductors3, and might therefore find applications as a conductivity- or colour-based chemical sensor.

  18. Sputtered tungsten-based ternary and quaternary layers for nanocrystalline diamond deposition.

    Science.gov (United States)

    Walock, Michael J; Rahil, Issam; Zou, Yujiao; Imhoff, Luc; Catledge, Shane A; Nouveau, Corinne; Stanishevsky, Andrei V

    2012-06-01

    Many of today's demanding applications require thin-film coatings with high hardness, toughness, and thermal stability. In many cases, coating thickness in the range 2-20 microm and low surface roughness are required. Diamond films meet many of the stated requirements, but their crystalline nature leads to a high surface roughness. Nanocrystalline diamond offers a smoother surface, but significant surface modification of the substrate is necessary for successful nanocrystalline diamond deposition and adhesion. A hybrid hard and tough material may be required for either the desired applications, or as a basis for nanocrystalline diamond film growth. One possibility is a composite system based on carbides or nitrides. Many binary carbides and nitrides offer one or more mentioned properties. By combining these binary compounds in a ternary or quaternary nanocrystalline system, we can tailor the material for a desired combination of properties. Here, we describe the results on the structural and mechanical properties of the coating systems composed of tungsten-chromium-carbide and/or nitride. These WC-Cr-(N) coatings are deposited using magnetron sputtering. The growth of adherent nanocrystalline diamond films by microwave plasma chemical vapor deposition has been demonstrated on these coatings. The WC-Cr-(N) and WC-Cr-(N)-NCD coatings are characterized with atomic force microscopy and SEM, X-ray diffraction, X-ray photoelectron spectroscopy, Raman spectroscopy, and nanoindentation.

  19. Effect of the reinforced boron carbide particulate content of AA6061 alloy on formation of the passive film in seawater

    International Nuclear Information System (INIS)

    Katkar, V.A.; Gunasekaran, G.; Rao, A.G.; Koli, P.M.

    2011-01-01

    Highlights: → Presence of boron carbide increases the corrosion rate of A6061 alloy in seawater. → Increasing the B 4 C content decreases passive layer thickness. → Passive films formed on A6061 and its B 4 C composites are n-type semiconductors. - Abstract: The effect of boron carbide (B 4 C) reinforcement on the corrosion of AA6061 alloy was studied by investigating passive films formed in seawater. The higher passive current and its potential-dependence for these composites indicated formation of porous passive film. Electrochemical impedance spectroscopy (EIS) graph suggests that the alloy surface is partly or totally active. The formed passive film is n-type semiconductor junction in nature. The difference between corrosion potential (E corr ) and potential at zero charge (PZC) suggests that the chloride ions responsible for film breakdown exist within the passive film. A suitable mechanism is proposed for the passive film breakdown.

  20. Deposition of a-SiC:H using organosilanes in an argon/hydrogen plasma

    International Nuclear Information System (INIS)

    Maya, L.

    1993-01-01

    Selected organosilanes were examined as precursors for the deposition of amorphous hydrogenated silicon carbide in an argon/hydrogen plasma. Effect of process variables on the quality of the films was established by means of FTIR, Auger spectroscopy, XPS, XRD, chemical analysis, and weight losses upon pyrolysis. For a given power level there is a limiting feeding rate of the precursor under which operation of the system is dominated by thermodynamics and leads to high quality silicon carbide films that are nearly stoichiometric and low in hydrogen. Beyond that limit, carbosilane polymer formation and excessive hydrogen incorporation takes place. The hydrogen content of the plasma affects the deposition rate and the hydrogen content of the film. In the thermodynamically dominated regime the nature of the precursor has no effect on the quality of the film, it affects only the relative utilization efficiency

  1. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  2. TiCx thin films coatings prepared by reactive sputtering

    International Nuclear Information System (INIS)

    Saoula, N.; Handa, K.; Kesri, R.

    2004-01-01

    Full text.Transition metal carbides and nitrides attract large technological interest due to their unique properties like high hardness and young modulus, high electric conductivity, a considerable high-temperature strength, high corrosion resistance and high melting or decomposition temperatures. They have been applied as coatings for tools because of their superior properties. these materials have such properties due to atomic bonding, which shows a mixed covalent, metallic and ionic character. Among these nitrides and carbides titanium carbide is a compound of particular importance. Titanium carbide combines the advantages of a high melting point (3140 celsius degree) and hardness (3000 Hv) with those of relative lightness (density 4.93 10 3 Kg m -3 ).It also exhibits high resistance to both corrosion and oxidation and a relatively low friction coefficient. Usually, TiC films are produced by deposition with plasma-enhanced CVD (PCVD), thermal chemical vapour deposition (CVD) or activated reactive evaporation methods. However, these methods require high temperature (>600 celsius degree) substrates to achieve the deposition, which sometimes causes thermal damage to the deposited films. At temperature above 550 celsius degree, thermal diffusion of materials not suitable may also occur. In addition, it has been reported that the maximum temperature which permits the appropriate coating of hardened steel tools is 500 celsius degree. Therefore, it is desirable to develop a deposition technique for TiC films using low-temperature substrates to avoid thermal damage. So, different physical vapour deposition (PVD) processes for deposition of TiC at relatively low temperatures have been used through the years. These processes are based on vaporisation of titanium in hydrocarbon atmosphere or on sputtering of solid TiC target or titanium target in hydrocarbon atmosphere. the most often-used hydrocarbon gases are methane, acetylene, ethene and ethane. In previous works, we

  3. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  4. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  5. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  6. Vanadium carbide coatings: deposition process and properties

    International Nuclear Information System (INIS)

    Borisova, A.; Borisov, Y.; Shavlovsky, E.; Mits, I.; Castermans, L.; Jongbloed, R.

    2001-01-01

    Vanadium carbide coatings on carbon and alloyed steels were produced by the method of diffusion saturation from the borax melt. Thickness of the vanadium carbide layer was 5-15 μm, depending upon the steel grade and diffusion saturation parameters. Microhardness was 20000-28000 MPa and wear resistance of the coatings under conditions of end face friction without lubrication against a mating body of WC-2Co was 15-20 times as high as that of boride coatings. Vanadium carbide coatings can operate in air at a temperature of up to 400 o C. They improve fatigue strength of carbon steels and decrease the rate of corrosion in sea and fresh water and in acid solutions. The use of vanadium carbide coatings for hardening of various types of tools, including cutting tools, allows their service life to be extended by a factor of 3 to 30. (author)

  7. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  8. Deposition and modification of tantalum carbide coatings on graphite by laser interactions

    International Nuclear Information System (INIS)

    Veligdan, J.; Branch, D.; Vanier, P.E.; Barletta, R.E.

    1992-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 degrees C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing, involved the use of a CO 2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl 5 gas near the substrate. Results of preliminary experiments using these techniques are described

  9. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  10. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  11. Composition and optical properties tunability of hydrogenated silicon carbonitride thin films deposited by reactive magnetron sputtering

    Science.gov (United States)

    Bachar, A.; Bousquet, A.; Mehdi, H.; Monier, G.; Robert-Goumet, C.; Thomas, L.; Belmahi, M.; Goullet, A.; Sauvage, T.; Tomasella, E.

    2018-06-01

    Radiofrequency reactive magnetron sputtering was used to deposit hydrogenated amorphous silicon carbonitride (a-SiCxNy:H) at 400 °C by sputtering a silicon target under CH4 and N2 reactive gas mixture. Rutherford backscattering spectrometry revealed that the change of reactive gases flow rate (the ratio R = FN2/(FN2+FCH4)) induced a smooth chemical composition tunability from a silicon carbide-like film for R = 0 to a silicon nitride-like one at R = 1 with a large area of silicon carbonitrides between the two regions. The deconvolution of Fourier Transform InfraRed and X-ray photoelectron spectroscopy spectrum highlighted a shift of the chemical environment of the deposited films corresponding to the changes seen by RBS. The consequence of these observations is that a control of refractive index in the range of [1.9-2.5] at λ = 633 nm and optical bandgap in the range [2 eV-3.8 eV] have been obtained which induces that these coatings can be used as antireflective coatings in silicon photovoltaic cells.

  12. Laser deposition of carbide-reinforced coatings

    International Nuclear Information System (INIS)

    Cerri, W.; Martinella, R.; Mor, G.P.; Bianchi, P.; D'Angelo, D.

    1991-01-01

    CO 2 laser cladding with blown powder presents many advantages: fusion bonding with the substrate with low dilution, metallurgical continuity in the metallic matrix, high solidification rates, ease of automation, and reduced environmental contamination. In the present paper, laser cladding experimental results using families of carbides (tungsten and titanium) mixed with metallic alloys are reported. As substrates, low alloy construction steel (AISI 4140) (austenitic stainless steel) samples have been utilized, depending on the particular carbide reinforcement application. The coating layers obtained have been characterized by metallurgical examination. They show low dilution, absence of cracks, and high abrasion resistance. The WC samples, obtained with different carbide sizes and percentages, have been characterized with dry and rubber wheel abrasion tests and the specimen behaviour has been compared with the behaviour of materials used for similar applications. The abrasion resistance proved to be better than that of other widely used hardfacing materials and the powder morphology have a non-negligible influence on the tribological properties. (orig.)

  13. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  14. Pull-test adhesion measurements of diamondlike carbon films on silicon carbide, silicon nitride, aluminum oxide, and zirconium oxide

    Energy Technology Data Exchange (ETDEWEB)

    Erck, R.A.; Nichols, F.A. [Argonne National Lab., IL (United States); Dierks, J.F. [North Dakota State Univ., Fargo, ND (United States)

    1993-10-01

    Hydrogenated amorphous carbon films or diamondlike carbon (DLC) films were formed by ion-beam deposition of 400 eV methane (CH{sub 4}) ions on several smooth and rough ceramics, as well as on ceramics coated with a layer of Si and Ti. Adhesion was measured by the pin-pull method. Excellent adhesion was measured for smooth SiC and Si{sub 3}N{sub 4}, but adhesion of DLC to Al{sub 2}O{sub 3} and ZrO{sub 2} was negligible. The use of a Si bonding interlayer produced good adhesion to all the substrates, but a Ti layer was ineffective because bonding between the DLC film and Ti was poor. The presence of surface roughness appeared to greatly increase the measured adhesion in all cases. Bulk thermodynamic calculations are not directly applicable to bonding at the interface. If the standard enthalpy of formation for reaction between CH{sub 4} and substrate is calculated assumpting a carbide or carbon phase is produced, a relation is seen between reaction enthalpy and relative adhesion. Large positive enthalpies are associated with poor adhesion; negative or small positive enthalpies are associated with good adhesion. This relation between enthalpy and adhesion was also observed for DLC deposited on Si. Lack of adhesion to Ti was attributed to inadvertent formation of a surface oxide layer that rendered the enthalpy for reaction with CH{sub 4} strongly positive and similar in magnitude to that for Al{sub 2}O{sub 3} and ZrO{sub 2}.

  15. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  16. Direct current magnetron sputter-deposited ZnO thin films

    International Nuclear Information System (INIS)

    Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar

    2011-01-01

    Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.

  17. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  18. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  19. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  20. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  1. Controllable deposition of gadolinium doped ceria electrolyte films by magnetic-field-assisted electrostatic spray deposition

    International Nuclear Information System (INIS)

    Ksapabutr, Bussarin; Chalermkiti, Tanapol; Wongkasemjit, Sujitra; Panapoy, Manop

    2013-01-01

    This paper describes a simple and low-temperature approach to fabrication of dense and crack-free gadolinium doped ceria (GDC) thin films with controllable deposition by a magnetic-field-assisted electrostatic spray deposition technique. The influences of external permanent magnets on the deposition of GDC films were investigated. The coating area deposited using two magnets with the same pole arrangement decreased in comparison with the case of no magnets, whereas the largest deposition area was obtained in the system of the opposite poles. Analysis of as-deposited films at 450 °C indicated the formation of uniform, smooth and dense thin films with a single-phase fluorite structure. The films produced in the system using same poles were thicker, smaller in crystallite size and smoother than those fabricated under other conditions. Additionally, the GDC film deposited using the same pole arrangement showed the maximum in electrical conductivity of about 2.5 × 10 −2 S/cm at a low operating temperature of 500 °C. - Highlights: • Magnetic-field-assisted electrostatic spray allows a controllable coating. • Dense, crack-free thin films were obtained at low process temperature of 450 °C. • Control of deposition, thickness and uniformity is easy to achieve simultaneously. • Films from the same pole were thicker, smaller in crystal size and smoother. • The maximum conductivity of doped ceria film was 2.5 × 10 −2 S/cm at 500 °C

  2. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    Energy Technology Data Exchange (ETDEWEB)

    Deen, I. [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada); Zhitomirsky, I., E-mail: zhitom@mcmaster.ca [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada)

    2014-02-15

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties.

  3. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    International Nuclear Information System (INIS)

    Deen, I.; Zhitomirsky, I.

    2014-01-01

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties

  4. Effect of surfactant concentration in the electrolyte on the tribological properties of nickel-tungsten carbide composite coatings produced by pulse electro co-deposition

    Science.gov (United States)

    Kartal, Muhammet; Uysal, Mehmet; Gul, Harun; Alp, Ahmet; Akbulut, Hatem

    2015-11-01

    A nickel plating bath containing WC particles was used to obtain hard and wear-resistant particle reinforced Ni/WC MMCs on steel surfaces for anti-wear applications. Copper substrates were used for electro co-deposition of Ni matrix/WC with the particle size of <1 μm tungsten carbide reinforcements. The influence of surfactant (sodium dodecyl sulfate, SDS) concentration on particle distribution, microhardness and wear resistance of composite coatings has been studied. The nickel films were characterized by scanning electron microscopy (SEM) and X-ray diffraction (XRD). The effects of the surfactant on the zeta potential, co-deposition and distribution of WC particles in the nickel matrix, as well as the tribological properties of composite coatings were also investigated. The tribological behaviors of the electrodeposited WC composite coatings sliding against M50 steel ball (Ø 10 mm) were examined on a CSM Instrument. All friction and wear tests were performed without lubrication at room temperature and in the ambient air (relative humidity 55-65%).

  5. Excimer Laser Deposition of PLZT Thin Films

    National Research Council Canada - National Science Library

    Petersen, GAry

    1991-01-01

    .... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.

  6. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  7. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  8. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  9. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  10. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  11. Deposition of antimony telluride thin film by ECALE

    Institute of Scientific and Technical Information of China (English)

    GAO; Xianhui; YANG; Junyou; ZHU; Wen; HOU; Jie; BAO; Siqian; FAN; Xi'an; DUAN; Xingkai

    2006-01-01

    The process of Sb2Te3 thin film growth on the Pt substrate by electrochemical atomic layer epitaxy (ECALE) was studied. Cyclic voltammetric scanning was performed to analyze the electrochemical behavior of Te and Sb on the Pt substrate. Sb2Te3 film was formed using an automated flow deposition system by alternately depositing Te and Sb atomic layers for 400 circles. The deposited Sb2Te3 films were characterized by XRD, EDX, FTIR and FESEM observation. Sb2Te3 compound structure was confirmed by XRD pattern and agreed well with the results of EDX quantitative analysis and coulometric analysis. FESEM micrographs showed that the deposit was composed of fine nano particles with size of about 20 nm. FESEM image of the cross section showed that the deposited films were very smooth and dense with thickness of about 190 nm. The optical band gap of the deposited Sb2Te3 film was determined as 0.42 eV by FTIR spectroscopy, and it was blue shifted in comparison with that of the bulk Sb2Te3 single crystal due to its nanocrystalline microstructure.

  12. Deposition of multicomponent chromium carbide coatings using a non-conventional source of chromium and silicon with micro-additions of boron

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez Ruiz, Jesus Eduardo, E-mail: jesus.gonzalez@biomat.uh.cu [Biomaterials Center, University of Havana (Cuba); Rodriguez Cristo, Alejandro [Mechanical Plants Company, Road of the Sub-Plan, Farm La Cana, Santa Clara, Villa Clara (Cuba); Ramos, Adrian Paz [Department of Chemistry, Universite de Montreal, Quebec (Canada); Quintana Puchol, Rafael [Welding Research Center, Central University Marta Abreu of Las Villas, Villa Clara (Cuba)

    2017-01-15

    The chromium carbide coatings are widely used in the mechanical industry due to its corrosion resistance and mechanical properties. In this work, we evaluated a new source of chromium and silicon with micro-additions of boron on the deposition of multi-component coatings of chromium carbides in W108 steel. The coatings were obtained by the pack cementation method, using a simultaneous deposition at 1000 deg for 4 hours. The coatings were analyzed by X-ray diffraction, X-ray energy dispersive spectroscopy, optical microscopy, microhardness test method and pin-on-disc wear test. It was found that the coatings formed on W108 steel were mainly constituted by (Cr,Fe){sub 23}C{sub 6} , (Cr,Fe){sub 7} C{sub 3} , Cr{sub 5-x}Si{sub 3-x} C{sub x+z}, Cr{sub 3} B{sub 0,44}C{sub 1,4} and (or) Cr{sub 7} BC{sub 4} . The carbide layers showed thicknesses between 14 and 15 μm and maximum values of microhardness between 15.8 and 18.8 GPa. Also, the micro-additions of boron to the mixtures showed statistically significant influence on the thickness, microhardness and abrasive wear resistance of the carbide coatings. (author)

  13. Pulsed laser deposition of Tl-Ca-Ba-Cu-O films

    International Nuclear Information System (INIS)

    Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.

    1990-01-01

    Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs

  14. Optical spectroscopic characterization of amorphous germanium carbide materials obtained by X-Ray Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Paola Antoniotti

    2015-05-01

    Full Text Available Amorphous germanium carbides have been prepared by X-ray activated Chemical Vapor Deposition from germane/allene systems. The allene percentage and irradiation time (total dose were correlated to the composition, the structural features, and the optical coefficients of the films, as studied by IR and UV-VIS spectroscopic techniques. The materials composition is found to change depending on both the allene percentage in the mixture and the irradiation time. IR spectroscopy results indicate that the solids consist of randomly bound networks of carbon and germanium atoms with hydrogen atoms terminating all the dangling bonds. Moreover, the elemental analysis results, the absence of both unsaturated bonds and CH3 groups into the solids and the absence of allene autocondensation reactions products, indicate that polymerization reactions leading to mixed species, containing Ge-C bonds, are favored. Eopt values around 3.5 eV have been found in most of the cases, and are correlated with C sp3-bonding configuration. The B1/2 value, related to the order degree, has been found to be dependent on solid composition, atoms distribution in the material and hydrogenation degree of carbon atoms.

  15. Plasma-deposited a-C(N) H films

    CERN Document Server

    Franceschini, D E

    2000-01-01

    The growth behaviour, film structure and mechanical properties of plasma-deposited amorphous hydrogenated carbon-nitrogen films are shortly reviewed. The effect of nitrogen-containing gas addition to the deposition to the hydrocarbon atmospheres used is discussed, considering the modifications observed in the chemical composition growth kinetics, carbon atom hybridisation and chemical bonding arrangements of a-C(N):H films. The overall structure behaviour is correlated to the variation of the mechanical properties.

  16. Nickel films: Nonselective and selective photochemical deposition and properties

    International Nuclear Information System (INIS)

    Smirnova, N.V.; Boitsova, T.B.; Gorbunova, V.V.; Alekseeva, L.V.; Pronin, V.P.; Kon'uhov, G.S.

    2006-01-01

    Nickel films deposited on quartz surfaces by the photochemical reduction of a chemical nickel plating solution were studied. It was found that the deposition of the films occurs after an induction period, the length of which depends on the composition of the photolyte and the light intensity. Ni particles with a mean diameter of 20-30 nm were detected initially by transmission electron microscopy. The particles then increased in size (50 nm) upon irradiation and grouped into rings consisting of 4-5 particles. Irradiation with high-intensity light produces three-dimensional films. The calculated extinction coefficient of the nickel film was found to be 4800 L mol -1 cm -1 . Electron diffraction revealed that the prepared amorphous nickel films crystallize after one day of storage. It was determined that the films exhibit catalytic activity in the process of nickel deposition from nickel plating solution. The catalytic action remains for about 5-7 min after exposure of the films to air. The processes of selective and nonselective deposition of the nickel films are discussed. The use of poly(butoxy titanium) in the process of selective photochemical deposition enables negative and positive images to be prepared on quartz surfaces

  17. Friction and wear performance of diamond-like carbon, boron carbide, and titanium carbide coatings against glass

    International Nuclear Information System (INIS)

    Daniels, B.K.; Brown, D.W.; Kimock, F.M.

    1997-01-01

    Protection of glass substrates by direct ion beam deposited diamond-like carbon (DLC) coatings was observed using a commercial pin-on-disk instrument at ambient conditions without lubrication. Ion beam sputter-deposited titanium carbide and boron carbide coatings reduced sliding friction, and provided tribological protection of silicon substrates, but the improvement factor was less than that found for DLC. Observations of unlubricated sliding of hemispherical glass pins at ambient conditions on uncoated glass and silicon substrates, and ion beam deposited coatings showed decreased wear in the order: uncoated glass>uncoated silicon>boron carbide>titanium carbide>DLC>uncoated sapphire. Failure mechanisms varied widely and are discussed. Generally, the amount of wear decreased as the sliding friction decreased, with the exception of uncoated sapphire substrates, for which the wear was low despite very high friction. There is clear evidence that DLC coatings continue to protect the underlying substrate long after the damage first penetrates through the coating. The test results correlate with field use data on commercial products which have shown that the DLC coatings provide substantial extension of the useful lifetime of glass and other substrates. copyright 1997 Materials Research Society

  18. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  19. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    International Nuclear Information System (INIS)

    Bao Quanhe; Chen Chuanzhong; Wang Diangang; Liu Junming

    2008-01-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 4 3- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA

  20. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  1. Formation of Al2O3-HfO2 Eutectic EBC Film on Silicon Carbide Substrate

    Directory of Open Access Journals (Sweden)

    Kyosuke Seya

    2015-01-01

    Full Text Available The formation mechanism of Al2O3-HfO2 eutectic structure, the preparation method, and the formation mechanism of the eutectic EBC layer on the silicon carbide substrate are summarized. Al2O3-HfO2 eutectic EBC film is prepared by optical zone melting method on the silicon carbide substrate. At high temperature, a small amount of silicon carbide decomposed into silicon and carbon. The components of Al2O3 and HfO2 in molten phase also react with the free carbon. The Al2O3 phase reacts with free carbon and vapor species of AlO phase is formed. The composition of the molten phase becomes HfO2 rich from the eutectic composition. HfO2 phase also reacts with the free carbon and HfC phase is formed on the silicon carbide substrate; then a high density intermediate layer is formed. The adhesion between the intermediate layer and the substrate is excellent by an anchor effect. When the solidification process finished before all of HfO2 phase is reduced to HfC phase, HfC-HfO2 functionally graded layer is formed on the silicon carbide substrate and the Al2O3-HfO2 eutectic structure grows from the top of the intermediate layer.

  2. Characterization of electron beam evaporated carbon films and compound formation on titanium and silicon

    International Nuclear Information System (INIS)

    Luthin, J.; Linsmeier, C.

    2001-01-01

    The formation of carbon-based mixed materials is unavoidable on the plasma-facing components (e.g. first wall and divertor) of fusion devices when carbon is used together with other materials. On the surfaces of these components very different conditions with respect to particle and energy impact occur. To predict the mixed material formation under these conditions the precise knowledge of the fundamental mechanisms governing these interactions is essential. In this paper we present the results of carbon interaction with titanium and silicon, as model substances for metallic and covalent carbides, during thermal treatment. To perform basic studies of the reactions of carbon with different elements, thin carbon films are produced by electron beam evaporation on the different substrates under UHV conditions. All measurements for chemical analysis are performed using X-ray photoelectron spectroscopy (XPS). We discuss first the properties of the deposited carbon films. The carbon films are characterized on inert gold surfaces and are compared to bulk graphite. Annealing of the carbon films up to 970 K leads to a transition from a disordered carbon network into a graphitic structure. Preparation of carbon films at room temperature on titanium or silicon leads to a limited carbide formation at the carbon/substrate interface. Carbon deposited in excess of several monolayers is present in elementary form. Annealing of the samples leads to complete carbidization consuming the available carbon in both cases. Titanium reacts to TiC and additional substoichiometric carbide, silicon forms SiC with exact stoichiometry. (orig.)

  3. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  4. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  5. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N.; Martinez-Landeros, V.; Mejia, I.; Aguirre-Tostado, F.S.; Nascimento, C.D.; Azevedo, G. de M; Krug, C.; Quevedo-Lopez, M.A.

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10 −1 to 10 4 Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10 19 to 10 13 cm −3 and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm 2 /V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10 19 to 10 13 cm −3 . • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied

  6. Effect of surfactant concentration in the electrolyte on the tribological properties of nickel-tungsten carbide composite coatings produced by pulse electro co-deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kartal, Muhammet, E-mail: kartal@sakarya.edu.tr [Sakarya University, Engineering Faculty, Metallurgical & Materials Engineering Department, Esentepe Campus, 54187 Sakarya (Turkey); Uysal, Mehmet [Sakarya University, Engineering Faculty, Metallurgical & Materials Engineering Department, Esentepe Campus, 54187 Sakarya (Turkey); Gul, Harun [Duzce University, Gumusova Vocational School, 81850 Duzce (Turkey); Alp, Ahmet; Akbulut, Hatem [Sakarya University, Engineering Faculty, Metallurgical & Materials Engineering Department, Esentepe Campus, 54187 Sakarya (Turkey)

    2015-11-01

    Highlights: • Effect of surfactant concentration on the co-deposited WC was investigated. • In the Ni matrix significantly high hardness was achieved by WC co-deposition. • Optimum surfactant resulted in obtaining superior wear resistance in the Ni. • Friction coefficient was decreased by WC co-deposition in the Ni matrix. - Abstract: A nickel plating bath containing WC particles was used to obtain hard and wear-resistant particle reinforced Ni/WC MMCs on steel surfaces for anti-wear applications. Copper substrates were used for electro co-deposition of Ni matrix/WC with the particle size of <1 μm tungsten carbide reinforcements. The influence of surfactant (sodium dodecyl sulfate, SDS) concentration on particle distribution, microhardness and wear resistance of composite coatings has been studied. The nickel films were characterized by scanning electron microscopy (SEM) and X-ray diffraction (XRD). The effects of the surfactant on the zeta potential, co-deposition and distribution of WC particles in the nickel matrix, as well as the tribological properties of composite coatings were also investigated. The tribological behaviors of the electrodeposited WC composite coatings sliding against M50 steel ball (Ø 10 mm) were examined on a CSM Instrument. All friction and wear tests were performed without lubrication at room temperature and in the ambient air (relative humidity 55–65%).

  7. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  8. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  9. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  10. Enhancing the activation of silicon carbide tracer particles for PEPT applications using gas-phase deposition of alumina at room temperature and atmospheric pressure

    Energy Technology Data Exchange (ETDEWEB)

    Valdesueiro, D. [Delft University of Technology, Department of Chemical Engineering, 2628 BL Delft (Netherlands); Garcia-Triñanes, P., E-mail: p.garcia@surrey.ac.uk [Department of Chemical and Process Engineering, Faculty of Engineering and Physical Sciences, University of Surrey, Guildford, Surrey GU2 7XH (United Kingdom); Meesters, G.M.H.; Kreutzer, M.T. [Delft University of Technology, Department of Chemical Engineering, 2628 BL Delft (Netherlands); Gargiuli, J.; Leadbeater, T.W.; Parker, D.J. [Positron Imaging Centre, School of Physics and Astronomy, University of Birmingham, Edgbaston, Birmingham B15 2TT (United Kingdom); Seville, J.P.K. [Department of Chemical and Process Engineering, Faculty of Engineering and Physical Sciences, University of Surrey, Guildford, Surrey GU2 7XH (United Kingdom); Ommen, J.R. van, E-mail: j.r.vanommen@tudelft.nl [Delft University of Technology, Department of Chemical Engineering, 2628 BL Delft (Netherlands)

    2016-01-21

    We have enhanced the radio-activation efficiency of SiC (silicon carbide) particles, which by nature have a poor affinity towards {sup 18}F ions, to be employed as tracers in studies using PEPT (Positron Emission Particle Tracking). The resulting SiC–Al{sub 2}O{sub 3} core–shell structure shows a good labelling efficiency, comparable to γ-Al{sub 2}O{sub 3} tracer particles, which are commonly used in PEPT. The coating of the SiC particles was carried at 27±3 °C and 1 bar in a fluidized bed reactor, using trimethylaluminium and water as precursors, by a gas phase technique similar to atomic layer deposition. The thickness of the alumina films, which ranged from 5 to 500 nm, was measured by elemental analysis and confirmed with FIB-TEM (focused ion beam – transmission electron microscope), obtaining consistent results from both techniques. By depositing such a thin film of alumina, properties that influence the hydrodynamic behaviour of the SiC particles, such as size, shape and density, are hardly altered, ensuring that the tracer particle shows the same flow behaviour as the other particles. The paper describes a general method to improve the activation efficiency of materials, which can be applied for the production of tracer particles for many other applications too. - Highlights: • We deposited Al{sub 2}O{sub 3} films on SiC particles at ambient conditions in a fluidized bed. • The affinity of {sup 18}F ions towards Al{sub 2}O{sub 3}–SiC particle was improved compared to SiC. • We used the Al{sub 2}O{sub 3}–SiC activated particle as tracer in a PEPT experiment. • Tracer particles have suitable activity for accurate tracking. • The Al{sub 2}O{sub 3} film is thin enough not to alter the particle size, shape and density.

  11. ZnO film deposition by DC magnetron sputtering: Effect of target configuration on the film properties

    Energy Technology Data Exchange (ETDEWEB)

    Arakelova, E.; Khachatryan, A.; Kteyan, A.; Avjyan, K.; Grigoryan, S.

    2016-08-01

    Ballistic transport model for target-to-substrate atom transfer during magnetron sputter deposition was used to develop zinc target (cathode) configuration that enabled growth of uniform zinc oxide films on extensive surfaces and provided reproducibility of films characteristics irrespective of the cathode wear-out. The advantage of the developed target configuration for high-quality ZnO film deposition was observed in the sputtering pressure range of 5− 50 mTorr, and in the range of cathode-to-substrate distances 7–20 cm. Characteristics of the deposited films were demonstrated by using X-ray diffraction analysis, as well as optical and electrical measurements. - Highlights: • Change of target configuration for optimization of magnetron sputtering deposition is proposed. • Improvement of ZnO film properties due to use of this target is demonstrated. • This configuration provided reproducibility of the deposited films properties.

  12. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  13. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  14. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  15. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  16. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  17. Aluminosilicate glass thin films elaborated by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Carlier, Thibault [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Saitzek, Sébastien [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Méar, François O., E-mail: francois.mear@univ-lille1.fr [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Blach, Jean-François; Ferri, Anthony [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Huvé, Marielle; Montagne, Lionel [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France)

    2017-03-01

    Highlights: • Successfully deposition of a glassy thin film by PLD. • A good homogeneity and stoichiometry of the coating. • Influence of the deposition temperature on the glassy thin-film structure. - Abstract: In the present work, we report the elaboration of aluminosilicate glass thin films by Pulsed Laser Deposition at various temperatures deposition. The amorphous nature of glass thin films was highlighted by Grazing Incidence X-Ray Diffraction and no nanocristallites were observed in the glassy matrix. Chemical analysis, obtained with X-ray Photoelectron Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy, showed a good transfer and homogeneous elementary distribution with of chemical species from the target to the film a. Structural studies performed by Infrared Spectroscopy showed that the substrate temperature plays an important role on the bonding configuration of the layers. A slight shift of Si-O modes to larger wavenumber was observed with the synthesis temperature, assigned to a more strained sub-oxide network. Finally, optical properties of thins film measured by Spectroscopic Ellipsometry are similar to those of the bulk aluminosilicate glass, which indicate a good deposition of aluminosilicate bulk glass.

  18. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Como, N. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Martinez-Landeros, V. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Mejia, I. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Aguirre-Tostado, F.S. [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Nascimento, C.D.; Azevedo, G. de M; Krug, C. [Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre, 91509-900 (Brazil); Quevedo-Lopez, M.A., E-mail: mquevedo@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States)

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10{sup −1} to 10{sup 4} Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10{sup 19} to 10{sup 13} cm{sup −3} and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm{sup 2}/V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10{sup 19} to 10{sup 13} cm{sup −3}. • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied.

  19. A high-efficiency solution-deposited thin-film photovoltaic device

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)

    2008-10-02

    High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  20. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  1. Sputter deposition of BSCCO films from a hollow cathode

    International Nuclear Information System (INIS)

    Lanagan, M.T.; Kampwirth, R.T.; Doyle, K.; Kowalski, S.; Miller, D.; Gray, K.E.

    1991-01-01

    High-T c superconducting thin films were deposited onto MgO single crystal substrates from a hollow cathode onto ceramic targets with the nominal composition of Bi 2 Sr 2 CaCu 2 O x . Films similar in composition to those used for the targets were deposited on MgO substrates by rf sputtering. The effects of sputtering time, rf power, and post-annealing on film microstructure and properties were studied in detail. Substrate temperature was found to have a significant influence on the film characteristics. Initial results show that deposition rates from a hollow cathode are an order of magnitude higher than those of a planar magnetron source at equivalent power levels. Large deposition rates allow for the coating of long lengths of wire

  2. Porous nanostructured ZnO films deposited by picosecond laser ablation

    International Nuclear Information System (INIS)

    Sima, Cornelia; Grigoriu, Constantin; Besleaga, Cristina; Mitran, Tudor; Ion, Lucian; Antohe, Stefan

    2012-01-01

    Highlights: ► We deposite porous nanostructured ZnO films by picoseconds laser ablation (PLA). ► We examine changes of the films structure on the experimental parameter deposition. ► We demonstrate PLA capability to produce ZnO nanostructured films free of particulates. - Abstract: Porous nanostructured polycrystalline ZnO films, free of large particulates, were deposited by picosecond laser ablation. Using a Zn target, zinc oxide films were deposited on indium tin oxide (ITO) substrates using a picosecond Nd:YVO 4 laser (8 ps, 50 kHz, 532 nm, 0.17 J/cm 2 ) in an oxygen atmosphere at room temperature (RT). The morpho-structural characteristics of ZnO films deposited at different oxygen pressures (150–900 mTorr) and gas flow rates (0.25 and 10 sccm) were studied. The post-deposition influence of annealing (250–550 °C) in oxygen on the film characteristics was also investigated. At RT, a mixture of Zn and ZnO formed. At substrate temperatures above 350 °C, the films were completely oxidized, containing a ZnO wurtzite phase with crystallite sizes of 12.2–40.1 nm. At pressures of up to 450 mTorr, the porous films consisted of well-distinguished primary nanoparticles with average sizes of 45–58 nm, while at higher pressures, larger clusters (3.1–14.7 μm) were dominant, leading to thicker films; higher flow rates favored clustering.

  3. Effect of deposition conditions on the properties of pyrolytic silicon carbide coatings for high-temperature gas-cooled reactor fuel particles

    International Nuclear Information System (INIS)

    Stinton, D.P.; Lackey, W.J.

    1977-10-01

    Silicon carbide coatings on HTGR microsphere fuel act as the barrier to contain metallic fission products. Silicon carbide coatings were applied by the decomposition of CH 3 SiCl 3 in a 13-cm-diam (5-in.) fluidized-bed coating furnace. The effects of temperature, CH 3 SiCl 3 supply rate and the H 2 :CH 3 SiCl 3 ratio on coating properties were studied. Deposition temperature was found to control coating density, whole particle crushing strength, coating efficiency, and microstructure. Coating density and microstructure were also partially determined by the H 2 :CH 3 SiCl 3 ratio. From this work, it appears that the rate at which high quality SiC can be deposited can be increased from 0.2 to 0.5 μm/min

  4. Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...

    African Journals Online (AJOL)

    Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...

  5. Properties of electrophoretically deposited single wall carbon nanotube films

    International Nuclear Information System (INIS)

    Lim, Junyoung; Jalali, Maryam; Campbell, Stephen A.

    2015-01-01

    This paper describes techniques for rapidly producing a carbon nanotube thin film by electrophoretic deposition at room temperature and determines the film mass density and electrical/mechanical properties of such films. The mechanism of electrophoretic deposition of thin layers is explained with experimental data. Also, film thickness is measured as a function of time, electrical field and suspension concentration. We use Rutherford backscattering spectroscopy to determine the film mass density. Films created in this manner have a resistivity of 2.14 × 10 −3 Ω·cm, a mass density that varies with thickness from 0.12 to 0.54 g/cm 3 , and a Young's modulus between 4.72 and 5.67 GPa. The latter was found to be independent of thickness from 77 to 134 nm. We also report on fabricating free-standing films by removing the metal seed layer under the CNT film, and selectively etching a sacrificial layer. This method could be extended to flexible photovoltaic devices or high frequency RF MEMS devices. - Highlights: • We explain the electrophoretic deposition process and mechanism of thin SWCNT film deposition. • Characterization of the SWCNT film properties including density, resistivity, transmittance, and Young's modulus. • The film density and resistivity are found to be a function of the film thickness. • Techniques developed to create free standing layers of SW-CNTs for flexible electronics and mechanical actuators

  6. Defect studies of thin ZnO films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Vlček, M; Čížek, J; Procházka, I; Novotný, M; Bulíř, J; Lančok, J; Anwand, W; Brauer, G; Mosnier, J-P

    2014-01-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  7. UV laser deposition of metal films by photogenerated free radicals

    Science.gov (United States)

    Montgomery, R. K.; Mantei, T. D.

    1986-01-01

    A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.

  8. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  9. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  10. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  11. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  12. Amorphous Terfenol-D films using nanosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Ma, James; O'Brien, Daniel T.; Kovar, Desiderio

    2009-01-01

    Thin films of Terfenol-D were produced by nanosecond pulsed laser deposition (PLD) at two fluences. Electron dispersive spectroscopy conducted using scanning electron and transmission electron microscopes showed that the film compositions were similar to that of the PLD target. Contrary to previous assertions that suggested that nanosecond PLD results in crystalline films, X-ray diffraction and transmission electron microscopy analysis showed that the films produced at both fluences were amorphous. Splatters present on the film had similar compositions to the overall film and were also amorphous. Magnetic measurements showed that the films had high saturation magnetization and magnetostriction, similar to high quality films produced using other physical vapor deposition methods.

  13. Ellipsometric study of nanostructured carbon films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Bereznai, M.; Budai, J.; Hanyecz, I.; Kopniczky, J.; Veres, M.; Koos, M.; Toth, Z.

    2011-01-01

    When depositing carbon films by plasma processes the resulting structure and bonding nature strongly depends on the plasma energy and background gas pressure. To produce different energy plasma, glassy carbon targets were ablated by laser pulses of different excimer lasers: KrF (248 nm) and ArF (193 nm). To modify plume characteristics argon atmosphere was applied. The laser plume was directed onto Si substrates, where the films were grown. To evaluate ellipsometric measurements first a combination of the Tauc-Lorentz oscillator and the Sellmeier formula (TL/S) was applied. Effective Medium Approximation models were also used to investigate film properties. Applying argon pressures above 10 Pa the deposits became nanostructured as indicated by high resolution scanning electron microscopy. Above ∼ 100 and ∼ 20 Pa films could not be deposited by KrF and ArF laser, respectively. Our ellipsometric investigations showed, that with increasing pressure the maximal refractive index of both series decreased, while the optical band gap starts with a decrease, but shows a non monotonous course. Correlation between the size of the nanostructures, bonding structure, which was followed by Raman spectroscopy and optical properties were also investigated.

  14. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    International Nuclear Information System (INIS)

    Chen Huawei; Tieu, A. Kiet; Liu Qiang; Hagiwara, Ichiro; Lu Cheng

    2007-01-01

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters

  15. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen Huawei [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China) and Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)]. E-mail: chen_hua_wei@yahoo.com; Tieu, A. Kiet [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia); Liu Qiang [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China); Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo (Japan); Lu Cheng [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)

    2007-07-15

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters.

  16. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  17. Analysis of obsydians and films of silicon carbide by RBS technique

    International Nuclear Information System (INIS)

    Franco S, F.

    1998-01-01

    Motivated by archaeological interest this work is presented, which consist in the characterization of obsydian samples from different mineral sites in Mexico and films of silicon carbide, undertaken by an Ion Beam Analysis: RBS (Rutherford Back Scattering). As part of an intensive investigation of obsydian in Mesoamerica by anthropologists from Mexico National Institute of Anthropology and History, 818 samples were collected from different volcanic sources in Central Mexico for the purpose of establishing a data bank of element concentrations of each source. Part of this collection was analyzed by Neutron activation analysis and most of the important elements concentrations reported. In the first part of this work, the non-destructive IBA technique, RBS are used to analyze obsydian samples. The last part is an analysis of thin films of silicon carbide as a part of a research program of the Universidad Nacional Autonoma de Mexico and ININ. The application of this technique were carried out at the IF-UNAM, and the analysis was performed at laboratories of the ININ Nuclear Centre facilities. The samples considered in this work were mounted on a sample holder designed for the purpose of exposing each sample to the alpha particles beam. This RBS analysis was carried out with an ET Tandem accelerator at the IF UNAM. The spectrometry was carried out with employing a Si(Li) detector set at 15 degrees in relation to the target normal. The mean projectile energy was 2.00 MeV, and the beam profile was about 4 mm in diameter. As results were founded elemental concentrations of a set of samples from ten different sources: Altotonga (Veracruz), Penjamo (Guanajuato), Otumba (Mexico), Zinapecuaro (MIchoacan), Ucareo (Michoacan), Tres Cabezas (Puebla), Sierra Navajas (Hidalgo), Zaragoza (Puebla), Guadalupe Victoria (Puebla) and Oyameles (Puebla). The mean values are accompanied by errors expressed as one standard devistion of the mean for each element

  18. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  19. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  20. Lanthanoid titanate film structure deposited at different temperatures in vacuum

    International Nuclear Information System (INIS)

    Kushkov, V.D.; Zaslavskij, A.M.; Mel'nikov, A.V.; Zverlin, A.V.; Slivinskaya, A.Eh.

    1991-01-01

    Influence of deposition temperature on the structure of lanthanoid titanate films, prepared by the method of high-rate vacuum condensation. It is shown that formation of crystal structure, close to equilibrium samples, proceeds at 1100-1300 deg C deposition temperatures. Increase of temperature in this range promotes formation of films with higher degree of structural perfection. Amorphous films of lanthanoid titanates form at 200-1000 deg C. Deposition temperature shouldn't exceed 1400 deg C to prevent the formation of perovskite like phases in films

  1. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  2. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  3. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  4. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    International Nuclear Information System (INIS)

    Purohit, Viswas; Mielczarski, Ela; Mielczarski, Jerzy A.; Akesso, Laurent

    2013-01-01

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O

  5. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purohit, Viswas, E-mail: vishwas.purohit@gmail.com [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Mielczarski, Ela; Mielczarski, Jerzy A. [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Akesso, Laurent [Teer Coatings Ltd., Droitwich, Worcestershire WR9 9AS (United Kingdom)

    2013-09-16

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O.

  6. Structural surprises in friction-deposited films of poly(tetrafluoroethylene)

    DEFF Research Database (Denmark)

    Breiby, Dag Werner; Sølling, Theis Ivan; Bunk, Oliver

    2005-01-01

    Thin films of poly(tetrafluoroethylene) (PTFE) produced by friction deposition were studied using grazing incidence X-ray diffraction as the principal tool. The structure of the deposited thin films was compared with that of the surface of the PTFE bar used for depositing the films. Both exhibited...... the 15/7 helix conformation characteristic of crystal PTFE phase IV. A high degree of biaxial orientation was found for the highly crystalline thin films. Whereas the unit cell of the bar surface material appeared to be single-stem hexagonal, the film displayed diffraction characteristics consistent...... the possibility of a continuous transition between the low-order single-stem hexagonal and the multistem high-order unit cell. The degree of chain orientation was much lower at the surface of the bar than in the thin film. A modification of the commonly accepted mechanism for the transfer of material from the bar...

  7. Evaluation of titanium carbide metal matrix composites deposited via laser cladding

    Science.gov (United States)

    Cavanaugh, Daniel Thomas

    Metal matrix composites have been widely studied in terms of abrasion resistance, but a particular material system may behave differently as particle size, morphology, composition, and distribution of the hardening phase varies. The purpose of this thesis was to understand the mechanical and microstructural effects of combining titanium carbide with 431 series stainless steel to create a unique composite via laser cladding, particularly regarding wear properties. The most predominant effect in increasing abrasion resistance, measured via ASTM G65, was confirmed to be volume fraction of titanium carbide addition. Macrohardness was directly proportional to the amount of carbide, though there was an overall reduction in individual particle microhardness after cladding. The reduction in particle hardness was obscured by the effect of volume fraction carbide and did not substantially contribute to the wear resistance changes. A model evaluating effective mean free path of the titanium carbide particles was created and correlated to the measured data. The model proved successful in linking theoretical mean free path to overall abrasion resistance. The effects of the titanium carbide particle distributions were limited, while differences in particle size were noticeable. The mean free path model did not correlate well with the particle size, but it was shown that the fine carbides were completely removed by the coarse abrasive particles in the ASTM G65 test. The particle morphology showed indications of influencing the wear mode, but no statistical reduction was observed in the volume loss figures. Future studies may more specifically focus on particle morphology or compositional effects of the carbide particles.

  8. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    Science.gov (United States)

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  9. Growth of boron doped hydrogenated nanocrystalline cubic silicon carbide (3C-SiC) films by Hot Wire-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Pawbake, Amit [School of Energy Studies, Savitribai Phule Pune University, Pune 411 007 (India); Tata Institute of Fundamental Research, Colaba, Mumbai 400 005 (India); Mayabadi, Azam; Waykar, Ravindra; Kulkarni, Rupali; Jadhavar, Ashok [School of Energy Studies, Savitribai Phule Pune University, Pune 411 007 (India); Waman, Vaishali [Modern College of Arts, Science and Commerce, Shivajinagar, Pune 411 005 (India); Parmar, Jayesh [Tata Institute of Fundamental Research, Colaba, Mumbai 400 005 (India); Bhattacharyya, Somnath [Department of Metallurgical and Materials Engineering, IIT Madras, Chennai 600 036 (India); Ma, Yuan‐Ron [Department of Physics, National Dong Hwa University, Hualien 97401, Taiwan (China); Devan, Rupesh; Pathan, Habib [Department of Physics, Savitribai Phule Pune University, Pune 411007 (India); Jadkar, Sandesh, E-mail: sandesh@physics.unipune.ac.in [Department of Physics, Savitribai Phule Pune University, Pune 411007 (India)

    2016-04-15

    Highlights: • Boron doped nc-3C-SiC films prepared by HW-CVD using SiH{sub 4}/CH{sub 4}/B{sub 2}H{sub 6}. • 3C-Si-C films have preferred orientation in (1 1 1) direction. • Introduction of boron into SiC matrix retard the crystallanity in the film structure. • Film large number of SiC nanocrystallites embedded in the a-Si matrix. • Band gap values, E{sub Tauc} and E{sub 04} (E{sub 04} > E{sub Tauc}) decreases with increase in B{sub 2}H{sub 6} flow rate. - Abstract: Boron doped nanocrystalline cubic silicon carbide (3C-SiC) films have been prepared by HW-CVD using silane (SiH{sub 4})/methane (CH{sub 4})/diborane (B{sub 2}H{sub 6}) gas mixture. The influence of boron doping on structural, optical, morphological and electrical properties have been investigated. The formation of 3C-SiC films have been confirmed by low angle XRD, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy and high resolution-transmission electron microscopy (HR-TEM) analysis whereas effective boron doping in nc-3C-SiC have been confirmed by conductivity, charge carrier activation energy, and Hall measurements. Raman spectroscopy and HR-TEM analysis revealed that introduction of boron into the SiC matrix retards the crystallanity in the film structure. The field emission scanning electron microscopy (FE-SEM) and non contact atomic force microscopy (NC-AFM) results signify that 3C-SiC film contain well resolved, large number of silicon carbide (SiC) nanocrystallites embedded in the a-Si matrix having rms surface roughness ∼1.64 nm. Hydrogen content in doped films are found smaller than that of un-doped films. Optical band gap values, E{sub Tauc} and E{sub 04} decreases with increase in B{sub 2}H{sub 6} flow rate.

  10. Morphology evolution in spinel manganite films deposited from an aqueous solution

    International Nuclear Information System (INIS)

    Ko, Song Won; Li, Jing; Trolier-McKinstry, Susan

    2012-01-01

    Spinel manganite films were deposited by the spin spray technique at low deposition temperatures ( 1000, agglomeration of small particles was dominant, which suggests that homogeneous nucleation is dominant during deposition. Heterogeneous nucleation was critical to obtain dense films. - Highlights: ► Film microstructure depends on supersaturation. ► Heterogeneous nucleation induces dense and continuous films. ► The spin spray technique enables use of a variety of substrates.

  11. Morpho-Structural Characterization of WC20Co Deposited Layers

    Science.gov (United States)

    Tugui, C. A.; Vizureanu, P.

    2017-06-01

    Hydroelectric power plants use the power of water to produce electricity. In this paper we propose a solution that will increase the efficiency of turbine operation by implementing new innovative technologies to increase the working characteristics by depositing hard thin films of tungsten carbide. For this purpose hard tough deposits with WC20Co and Jet Plasma Jet on X3CrNiMo13-4 stainless steel were used for the realization of the Francis turbine with vertical shaft.

  12. Ultrashort pulse laser deposition of thin films

    Science.gov (United States)

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  13. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  14. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  15. Subtle Raman signals from nano-diamond and β-SiC thin films

    International Nuclear Information System (INIS)

    Kuntumalla, Mohan Kumar; Ojha, Harish; Srikanth, Vadali Venkata Satya Siva

    2013-01-01

    Micro Raman scattering experiments are carried out in pursuit of subtle but discernable signals from nano-diamond and β-SiC thin films. The thin films are synthesized using microwave plasma assisted chemical vapor deposition technique. Raman scattering experiments in conjunction with scanning electron microscopy and x-ray diffraction were carried out to extract microstructure and phase information of the above mentioned thin films. Certain subtle Raman signals have been identified in this work. In the case of nanodiamond thin films, Raman bands at ∼ 485 and ∼ 1220 cm −1 are identified. These bands have been assigned to the nanodiamond present in nanodiamond thin films. In the case of nano β-SiC thin films, optical phonons are identified using surface enhanced Raman scattering. - Highlights: ► Subtle Raman signals from nano-diamond and β-silicon carbide related thin films. ► Raman bands at ∼ 485 and ∼ 1220 cm −1 from nanodiamond thin films are identified. ► Longitudinal optical phonon from nano β-silicon carbide thin films is identified

  16. Picosecond and subpicosecond pulsed laser deposition of Pb thin films

    Directory of Open Access Journals (Sweden)

    F. Gontad

    2013-09-01

    Full Text Available Pb thin films were deposited on Nb substrates by means of pulsed laser deposition (PLD with UV radiation (248 nm, in two different ablation regimes: picosecond (5 ps and subpicosecond (0.5 ps. Granular films with grain size on the micron scale have been obtained, with no evidence of large droplet formation. All films presented a polycrystalline character with preferential orientation along the (111 crystalline planes. A maximum quantum efficiency (QE of 7.3×10^{-5} (at 266 nm and 7 ns pulse duration was measured, after laser cleaning, demonstrating good photoemission performance for Pb thin films deposited by ultrashort PLD. Moreover, Pb thin film photocathodes have maintained their QE for days, providing excellent chemical stability and durability. These results suggest that Pb thin films deposited on Nb by ultrashort PLD are a noteworthy alternative for the fabrication of photocathodes for superconductive radio-frequency electron guns. Finally, a comparison with the characteristics of Pb films prepared by ns PLD is illustrated and discussed.

  17. Conformal Thin Film Packaging for SiC Sensor Circuits in Harsh Environments

    Science.gov (United States)

    Scardelletti, Maximilian C.; Karnick, David A.; Ponchak, George E.; Zorman, Christian A.

    2011-01-01

    In this investigation sputtered silicon carbide annealed at 300 C for one hour is used as a conformal thin film package. A RF magnetron sputterer was used to deposit 500 nm silicon carbide films on gold metal structures on alumina wafers. To determine the reliability and resistance to immersion in harsh environments, samples were submerged in gold etchant for 24 hours, in BOE for 24 hours, and in an O2 plasma etch for one hour. The adhesion strength of the thin film was measured by a pull test before and after the chemical immersion, which indicated that the film has an adhesion strength better than 10(exp 8) N/m2; this is similar to the adhesion of the gold layer to the alumina wafer. MIM capacitors are used to determine the dielectric constant, which is dependent on the SiC anneal temperature. Finally, to demonstrate that the SiC, conformal, thin film may be used to package RF circuits and sensors, an LC resonator circuit was fabricated and tested with and without the conformal SiC thin film packaging. The results indicate that the SiC coating adds no appreciable degradation to the circuits RF performance. Index Terms Sputter, silicon carbide, MIM capacitors, LC resonators, gold etchants, BOE, O2 plasma

  18. Recent progress of obliquely deposited thin films for industrial applications

    Science.gov (United States)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  19. Morphological Characteristics of Au Films Deposited on Ti: A Combined SEM-AFM Study

    Directory of Open Access Journals (Sweden)

    Francesco Ruffino

    2018-03-01

    Full Text Available Deposited Au films and coatings are, nowadays, routinely used as active or passive elements in several innovative electronic, optoelectronic, sensing, and energy devices. In these devices, the physical properties of the Au films are strongly determined by the films nanoscale structure. In addition, in these devices, often, a layer of Ti is employed to promote adhesion and, so, influencing the nanoscale structure of the deposited Au film. In this work, we present experimental analysis on the nanoscale cross-section and surface morphology of Au films deposited on Ti. In particular, we sputter-deposited thick (>100 nm thickness Au films on Ti foils and we used Scanning Electron Microscopy to analyze the films cross-sectional and surface morphology as a function of the Au film thickness and deposition angle. In addition, we analyzed the Au films surface morphology by Atomic Force Microscopy which allowed quantifying the films surface roughness versus the film thickness and deposition angle. The results establish a relation between the Au films cross-sectional and surface morphologies and surface roughness to the film thickness and deposition angle. These results allow setting a general working framework to obtain Au films on Ti with specific morphological and topographic properties for desired applications in which the Ti adhesion layer is needed for Au.

  20. Fabrication and characterization of vacuum deposited fluorescein thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)

    2011-03-31

    Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.

  1. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  2. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Siraj, K., E-mail: khurram.uet@gmail.com [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z. [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Naseem, S.; Riaz, S. [Center for Solid State Physics, University of Punjab, Lahore (Pakistan)

    2011-05-15

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  3. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    International Nuclear Information System (INIS)

    Siraj, K.; Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z.; Naseem, S.; Riaz, S.

    2011-01-01

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  4. Nanostructured thin film coatings with different strengthening effects

    Directory of Open Access Journals (Sweden)

    Panfilov Yury

    2017-01-01

    Full Text Available A number of articles on strengthening thin film coatings were analyzed and a lot of unusual strengthening effects, such as super high hardness and plasticity simultaneously, ultra low friction coefficient, high wear-resistance, curve rigidity increasing of drills with small diameter, associated with process formation of nanostructured coatings by the different thin film deposition methods were detected. Vacuum coater with RF magnetron sputtering system and ion-beam source and arc evaporator for nanostructured thin film coating manufacture are represented. Diamond Like Carbon and MoS2 thin film coatings, Ti, Al, Nb, Cr, nitride, carbide, and carbo-nitride thin film materials are described as strengthening coatings.

  5. Plasma deposition of polymer composite films incorporating nanocellulose whiskers

    Science.gov (United States)

    Samyn, P.; Airoudj, A.; Laborie, M.-P.; Mathew, A. P.; Roucoules, V.

    2011-11-01

    In a trend for sustainable engineering and functionalization of surfaces, we explore the possibilities of gas phase processes to deposit nanocomposite films. From an analysis of pulsed plasma polymerization of maleic anhydride in the presence of nanocellulose whiskers, it seems that thin nanocomposite films can be deposited with various patterns. By specifically modifying plasma parameters such as total power, duty cycle, and monomer gas pressure, the nanocellulose whiskers are either incorporated into a buckled polymer film or single nanocellulose whiskers are deposited on top of a polymeric film. The density of the latter can be controlled by modifying the exact positioning of the substrate in the reactor. The resulting morphologies are evaluated by optical microscopy, AFM, contact angle measurements and ellipsometry.

  6. Deposition of Au/TiO2 film by pulsed laser

    International Nuclear Information System (INIS)

    Zhao Chongjun; Zhao Quanzhong; Zhao Qitao; Qiu Jianrong; Zhu Congshan

    2006-01-01

    Au nanoparticles, which were photoreduced by a Nd:YAG laser in HAuCl 4 solution containing TiO 2 colloid and accompanied by the TiO 2 particles, were deposited on the substrate surface. The film consisting of Au/TiO 2 particles was characterized by the absorption spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis. The adhesion between the film and substrate was evaluated by using adhesive tape test. It was found that the presence of TiO 2 dramatically enhanced the adhesion strength between the film and the substrate, as well as the deposition rate of film. The mechanism for the deposition of Au/TiO 2 film was also discussed

  7. Induced Recrystallization of CdTe Thin Films Deposited by Close-Spaced Sublimation

    International Nuclear Information System (INIS)

    Mayo, B.

    1998-01-01

    We have deposited CdTe thin films by close-spaced sublimation at two different temperature ranges. The films deposited at the lower temperature partially recrystallized after CdCl2 treatment at 350C and completely recrystallized after the same treatment at 400C. The films deposited at higher temperature did not recrystallize at these two temperatures. These results confirmed that the mechanisms responsible for changes in physical properties of CdTe films treated with CdCl2 are recrystallization and grain growth, and provided an alternative method to deposit CSS films using lower temperatures

  8. Characterization of thin CeO{sub 2} films electrochemically deposited on HOPG

    Energy Technology Data Exchange (ETDEWEB)

    Faisal, Firas [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Toghan, Arafat, E-mail: arafat.toghan@yahoo.com [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Chemistry Department, Faculty of Science, South Valley University, 83523 Qena (Egypt); Khalakhan, Ivan; Vorokhta, Mykhailo; Matolin, Vladimír [Department of Surface and Plasma Science, Charles University in Prague, V Holešovičkách 747/2, 180 00 Prague 8 (Czech Republic); Libuda, Jörg [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Erlangen Catalysis Resource Center, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany)

    2015-09-30

    Graphical abstract: - Highlights: • Preparation of proton exchange membrane fuel cells catalyst using electrochemical thin film deposition. • Electrodeposition thin films of CeO{sub 2} on HOPG substrates. • The samples were characterized by in-situ AFM and ex-situ XPS. • XPS results reveal that the electrochemically deposited cerium oxide films are stoichiometric. • Exposing the films to ambient air, cracking structures are formed. - Abstract: Electrodeposition is widely used for industrial applications to deposit thin films, coatings, and adhesion layers. Herein, CeO{sub 2} thin films were deposited on a highly oriented pyrolytic graphite (HOPG) substrate by cathodic electrodeposition. The influence of the deposition parameters on the yield and on the film morphology is studied and discussed. Morphology and composition of the electrodeposited films were characterized by in-situ atomic force microscopy (AFM), scanning electron microscopy (SEM), Energy Dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). By AFM we show that the thickness of CeO{sub 2} films can be controlled via the Ce{sup 3+} concentration in solution and the deposition time. After exposing the films to ambient air, cracking structures are formed, which were analyzed by AFM in detail. The chemical composition of the deposits was analyzed by XPS indicating the formation of nearly stoichiometric CeO{sub 2}.

  9. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  10. Iron films deposited on porous alumina substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Yasuhiro, E-mail: yyasu@rs.kagu.tus.ac.jp; Tanabe, Kenichi; Nishida, Naoki [Tokyo University of Science (Japan); Kobayashi, Yoshio [The University of Electro-Communications (Japan)

    2016-12-15

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 – 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  11. Aerosol deposition of (Cu,Ti) substituted bismuth vanadate films

    Energy Technology Data Exchange (ETDEWEB)

    Exner, Jörg, E-mail: Functional.Materials@Uni-Bayreuth.de [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany); Fuierer, Paul [Materials and Metallurgical Engineering Department, New Mexico Institute of Mining and Technology, Socorro, NM 87801 (United States); Moos, Ralf [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany)

    2014-12-31

    Bismuth vanadate, Bi{sub 4}V{sub 2}O{sub 11}, and related compounds with various metal (Me) substitutions, Bi{sub 4}(Me{sub x}V{sub 1−x}){sub 2}O{sub 11−δ}, show some of the highest ionic conductivities among the known solid oxide electrolytes. Films of Cu and Ti substituted bismuth vanadate were prepared by an aerosol deposition method, a spray coating process also described as room temperature impact consolidation. Resultant films, several microns in thickness, were dense with good adhesion to the substrate. Scanning electron microscopy and high temperature X-ray diffraction were used to monitor the effects of temperature on the structure and microstructure of the film. The particle size remained nano-scale while microstrain decreased rapidly up to 500 °C, above which coarsening and texturing increased rapidly. Impedance measurements of films deposited on inter-digital electrodes revealed an annealing effect on the ionic conductivity, with the conductivity exceeding that of a screen printed film, and approaching that of bulk ceramic. - Highlights: • Cu and Ti doped bismuth vanadate films were prepared by aerosol deposition (AD). • Dense 3–5 μm thick films were deposited on alumina, silicon and gold electrodes. • Annealing of the AD-layer increases the conductivity by 1.5 orders of magnitude. • Effect of temperature on structure and microstructure was investigated.

  12. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  13. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  14. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  15. Effect of argon addition into oxygen atmosphere on YBCO thin films deposition

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Borisenko, I. V.; Ovsyannikov, G. A.; Kuehle, A.; Bindslev-Hansen, J.; Johannes, L.; Skov, J. L.

    2002-01-01

    Multicomponent nature of the YBa 2 Cu 3 O x (YBCO) high-temperature superconductor makes difficult fabrication of smooth thin films: every local deviation from stoichiometry can result in seeding of a non-superconducting oxide particle. High density of such particles on typical YBCO thin film surface, however, presumes overall non-stoichiometry of the film. Such an effect can result from (i) non-uniform material transport from target to substrate, and (ii) re-evaporation or re-sputtering from the growing film surface. The first reason is more usual for laser ablation deposition technique, the second is typical for long sputtering deposition processes. Substitution of oxygen with argon in the deposition atmosphere improves surface quality of YBCO thin films deposited both by laser ablation and DC-sputtering at high pressure techniques. In the first case, the ablated species are scattered different ways in the oxygen atmosphere. Addition of argon decreases the inelastic scattering of barium; the proper part of Ar in the deposition atmosphere makes scattering and, hence, transport of all atoms uniform. The YBCO films deposited by DC-sputtering at high pressure technique are Ba-deficient also, but the reason is re-sputtering of Ba from the growing film as a result of negative oxygen ions bombardment. Such bombardment can lead also to chemical interaction of the deposited material with the substrate, as in the case of deposition of YBCO thin film on the CeO 2 buffer layer on sapphire. Substitution of oxygen with argon not only suppresses ion bombardment of the film, but also increases discharge stability due to presence of positive Ar + ions. The limiting factor of argon substitution is sufficient oxygenation of the growing oxide film. When oxygen partial pressure is too small, the superconducting quality of the YBCO thin film decreases and such a decrease cannot be overcome by prolonged oxygenation after deposition. (Authors)

  16. Ga–Ge–Te amorphous thin films fabricated by pulsed laser deposition

    International Nuclear Information System (INIS)

    Němec, P.; Nazabal, V.; Dussauze, M.; Ma, H.-L.; Bouyrie, Y.; Zhang, X.-H.

    2013-01-01

    UV pulsed laser deposition was employed for the fabrication of amorphous Ga–Ge–Te thin films. The local structure of the bulk glasses as well as corresponding thin films was studied using Raman scattering spectroscopy; the main structural motifs were found to be [GeTe 4 ], eventually [GaTe 4 ] corner-sharing tetrahedra and disordered Te chains. Optical functions of the films (refractive index, extinction coefficient) were characterized by variable angle spectroscopic ellipsometry. Photostability experiments showed all Ga–Ge–Te laser deposited films to be stable against 1550 nm laser irradiation in an as-deposited state. In an annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75 . This particular composition was further studied from the point of view of thermal stability and stability against ageing in as-deposited state. - Highlights: ► Pulsed laser deposition was used for fabrication of amorphous Ga–Ge–Te thin films. ► GeTe 4 , eventually GaTe 4 tetrahedra and disordered Te chains form the film structure. ► Optical functions of Ge–Ga–Te films were characterized by spectroscopic ellipsometry. ► All as-deposited Ga–Ge–Te thin films are stable against 1550 nm irradiation. ► In annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75

  17. Electron-beam deposition of vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Marvel, R.E.; Appavoo, K. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Choi, B.K. [Vanderbilt University, Department of Electrical Engineering and Computer Science, Nashville, TN (United States); Nag, J. [Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States); Haglund, R.F. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Vanderbilt University, Institute for Nanoscale Science and Engineering, Nashville, TN (United States); Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States)

    2013-06-15

    Developing a reliable and efficient fabrication method for phase-transition thin-film technology is critical for electronic and photonic applications. We demonstrate a novel method for fabricating polycrystalline, switchable vanadium dioxide thin films on glass and silicon substrates and show that the optical switching contrast is not strongly affected by post-processing annealing times. The method relies on electron-beam evaporation of a nominally stoichiometric powder, followed by fast annealing. As a result of the short annealing procedure we demonstrate that films deposited on silicon substrates appear to be smoother, in comparison to pulsed laser deposition and sputtering. However, optical performance of e-beam evaporated film on silicon is affected by annealing time, in contrast to glass. (orig.)

  18. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  19. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  20. Study on the electrical properties of ITO films deposited by facing target sputter deposition

    International Nuclear Information System (INIS)

    Kim, Youn J; Jin, Su B; Kim, Sung I; Choi, Yoon S; Choi, In S; Han, Jeon G

    2009-01-01

    This study examined the mechanism for the change in the electrical properties (carrier concentration (n) and mobility (μ)) of tin-doped indium oxide (ITO) films deposited by magnetron sputtering in a confined facing magnetic field. The relationship between the carrier concentration and the mobility was significantly different from the results reported for ITO films deposited by other magnetron sputtering processes. The lowest resistivity obtained for ITO films deposited in a confined facing magnetic field at low substrate temperatures (approximately 120 0 C) was 4.26 x 10 -4 Ω cm at a power density of 3 W cm -2 . Crystalline ITO films were obtained at a low power density range from 3 to 5 W cm -2 due to the increase in the substrate temperature from 120 to 162 0 C. This contributed to the increased carrier concentration and decreased electrical resistivity. X-ray photoelectron spectroscopy revealed an increase in the concentration of the Sn 4+ states. This was attributed to the formation of a crystalline ITO film, which effectively enhanced the carrier concentration and reduced the carrier mobility.

  1. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  2. Short review on chemical bath deposition of thin film and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  3. Structural characterization of the nickel thin film deposited by glad technique

    Directory of Open Access Journals (Sweden)

    Potočnik J.

    2013-01-01

    Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005

  4. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    Science.gov (United States)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  5. High growth rate of a-SiC:H films using ethane carbon source by HW

    Indian Academy of Sciences (India)

    Hydrogenated amorphous silicon carbide (a-SiC:H) thin films were prepared ... Total hydrogen content drops from 22.6 to 14.4 at.% when deposition pressure is increased. Raman spectra show increase in structural disorder with increase in ...

  6. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  7. Laser-assisted deposition of thin C60 films

    DEFF Research Database (Denmark)

    Schou, Jørgen; Canulescu, Stela; Fæster, Søren

    Metal and metal oxide films with controlled thickness from a fraction of a monolayer up more than 1000 nm and known stoichiometry can be produced by pulsed laser deposition (PLD) relatively easily, and (PLD) is now a standard technique in all major research laboratories within materials science...... of the matrix material, anisole, with a concentration of 0.67 wt% C60. At laser fluences below 1.5 J/cm2, a dominant fraction of the film molecules are C60 transferred to the substrate without any fragmentation. High-resolution SEM images of MAPLE deposited films reveal large circular features on the surface...

  8. Matrix shaped pulsed laser deposition: New approach to large area and homogeneous deposition

    Energy Technology Data Exchange (ETDEWEB)

    Akkan, C.K.; May, A. [INM – Leibniz Institute for New Materials, CVD/Biosurfaces Group, Campus D2 2, 66123 Saarbrücken (Germany); Hammadeh, M. [Department for Obstetrics, Gynecology and Reproductive Medicine, IVF Laboratory, Saarland University Medical Center and Faculty of Medicine, Building 9, 66421 Homburg, Saar (Germany); Abdul-Khaliq, H. [Clinic for Pediatric Cardiology, Saarland University Medical Center and Faculty of Medicine, Building 9, 66421 Homburg, Saar (Germany); Aktas, O.C., E-mail: cenk.aktas@inm-gmbh.de [INM – Leibniz Institute for New Materials, CVD/Biosurfaces Group, Campus D2 2, 66123 Saarbrücken (Germany)

    2014-05-01

    Pulsed laser deposition (PLD) is one of the well-established physical vapor deposition methods used for synthesis of ultra-thin layers. Especially PLD is suitable for the preparation of thin films of complex alloys and ceramics where the conservation of the stoichiometry is critical. Beside several advantages of PLD, inhomogeneity in thickness limits use of PLD in some applications. There are several approaches such as rotation of the substrate or scanning of the laser beam over the target to achieve homogenous layers. On the other hand movement and transition create further complexity in process parameters. Here we present a new approach which we call Matrix Shaped PLD to control the thickness and homogeneity of deposited layers precisely. This new approach is based on shaping of the incoming laser beam by a microlens array and a Fourier lens. The beam is split into much smaller multi-beam array over the target and this leads to a homogenous plasma formation. The uniform intensity distribution over the target yields a very uniform deposit on the substrate. This approach is used to deposit carbide and oxide thin films for biomedical applications. As a case study coating of a stent which has a complex geometry is presented briefly.

  9. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  10. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  11. Tribological behavior of in situ Ag nanoparticles/polyelectrolyte composite molecular deposition films

    International Nuclear Information System (INIS)

    Guo Yanbao; Wang Deguo; Liu Shuhai

    2010-01-01

    Multilayer polyelectrolyte films containing silver ions were obtained by molecular deposition method on a glass plate or a quartz substrate. The in situ Ag nanoparticles were synthesized in the multilayer polyelectrolyte films which were put into fresh NaBH 4 aqueous solution. The structure and surface morphology of composite molecular deposition films were observed by UV-vis spectrophotometer, X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). Tribological characteristic was investigated by AFM and micro-tribometer. It was found that the in situ Ag nanoparticles/polyelectrolyte composite molecular deposition films have lower coefficient of friction and higher anti-wear life than pure polyelectrolyte molecular deposition films.

  12. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    Diamond thin films have promising applications in numerous fields due to the extreme properties of diamonds in conjunction with the surface enhancement of thin films. Biomedical applications are numerous including temporary implants and various dental and surgical instruments. The unique combination of properties offered by nanostructured diamond films that make it such an attractive surface coating include extreme hardness, low obtainable surface roughness, excellent thermal conductivity, and chemical inertness. Regrettably, numerous problems exist when attempting to coat stainless steel with diamond generating a readily delaminated film: outward diffusion of iron to the surface, inward diffusion of carbon limiting necessary surface carbon precursor, and the mismatch between the coefficients of thermal expansion yielding substantial residual stress. While some exotic methods have been attempted to overcome these hindrances, the most common approach is the use of an intermediate layer between the stainless steel substrate and the diamond thin film. In this research, both 316 stainless steel disks and 440C stainless steel ball bearings were tested with interlayers including discrete coatings and graded, diffusion-based surface enhancements. Titanium nitride and thermochemical diffusion boride interlayers were both examined for their effectiveness at allowing for the growth of continuous and adherent diamond films. Titanium nitride interlayers were deposited by cathodic arc vacuum deposition on 440C bearings. Lower temperature diamond processing resulted in improved surface coverage after cooling, but ultimately, both continuity and adhesion of the nanostructured diamond films were unacceptable. The ability to grow quality diamond films on TiN interlayers is in agreement with previous work on iron and low alloy steel substrates, and the similarly seen inadequate adhesion strength is partially a consequence of the lacking establishment of an interfacial carbide phase

  13. PVD processes of thin films deposition using Hall-current discharge

    International Nuclear Information System (INIS)

    Svadkovskij, I.V.

    2007-01-01

    Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)

  14. Characterization of superconducting thin films deposited by laser ablation. Caracterisation de films minces supraconducteurs deposes par ablation laser

    Energy Technology Data Exchange (ETDEWEB)

    Sentis, M; Delaporte, P [I.M.F.M., 13 - Marseille (FR); Gerri, M; Marine, W [Aix-Marseille-2 Univ., 13-Marseille (FR). Centre Universitaire de Luminy

    1991-05-01

    Thin films of YBa{sub 2}Cu{sub 3}O{sub 7} are deposited by laser ablation on MgO and YSZ substrates. Deposits by infrared (I.R.) Nd: YAG are non stoechiometric. The films having the best superconductor qualities are deposited by ablation with an excimer U.V. laser ({lambda} = 308 nm). These films are epitaxiated with the c axis perpendicular to the substrate. The film quality depends on the substrate temperature, oxygen pressure and cooling speed.

  15. Aerosol deposition of Ba0.8Sr0.2TiO3 thin films

    Directory of Open Access Journals (Sweden)

    Branković Zorica

    2009-01-01

    Full Text Available In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0 or Si covered by platinum (Pt (1 1 1 /Ti/SiO2/Si. Investigation showed that the best films were obtained at substrate temperature of 85ºC. After deposition films were slowly heated up to 650ºC, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.

  16. Direct-current substrate bias effects on amorphous silicon sputter-deposited films for thin film transistor fabrication

    International Nuclear Information System (INIS)

    Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.

    2005-01-01

    The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film

  17. Sputter deposition of tantalum-nitride films on copper using an rf-plasma

    International Nuclear Information System (INIS)

    Walter, K.C.; Fetherston, R.P.; Sridharan, K.; Chen, A.; Shamim, M.M.; Conrad, J.R.

    1994-01-01

    A tantalum-nitride film was successfully deposited at ambient temperature on copper with a modified ion-assisted-deposition (IAD) technique. The process uses an argon and nitrogen plasma to sputter deposit from a tantalum rf-cathode and ion implant the deposited film simultaneously. Both argon and nitrogen ions are used for sputtering and ion implantation. Auger spectroscopy and x-ray diffraction were used to characterize the resulting film

  18. Properties of pulsed laser deposited NiO/MWCNT thin films

    CSIR Research Space (South Africa)

    Yalisi, B

    2011-05-01

    Full Text Available Pulsed laser deposition (PLD) is a thin-film deposition technique, which uses short and intensive laser pulses to evaporate target material. The technique has been used in this work to produce selective solar absorber (SSA) thin film composites...

  19. Stress anisotropy and stress gradient in magnetron sputtered films with different deposition geometries

    International Nuclear Information System (INIS)

    Zhao, Z.B.; Yalisove, S.M.; Bilello, J.C.

    2006-01-01

    Mo films were deposited via magnetron sputtering with two different deposition geometries: dynamic deposition (moving substrate) and static deposition (fixed substrate). The residual stress and structural morphologies of these films were investigated, with particular focus on in-plane anisotropy of the biaxial stress and stress gradient across the film thickness. The results revealed that the Mo films developed distinct states of residual stress, which depended on both deposition geometry and film thickness. With the dynamic geometry, the Mo films generally exhibited anisotropic stress. Both the degree of anisotropy and the magnitude of stress varied as functions of film thickness. The variation of stress was linked to the evolution of anisotropic microstructures in the films. The Mo films from the static geometry developed isotropic residual stress, which was more compressive and noticeably larger in magnitude than that of the Mo films from the dynamic geometry. Aside from these disparities, the two types of Mo films (i.e., anisotropic and isotropic) exhibited notably similar trends of stress variation with film thickness. Depth profiling indicated the presence of large stress gradients for the Mo films, irrespective of the deposition geometries. This observation seems to be consistent with the premise that Mo films develop a zone T structure, which is inherently inhomogeneous along the film thickness. Moreover, the largest stress gradient for both types of deposition geometries arises at roughly the same film depth (∼240 nm from substrate), where the stresses sharply transits from highly compressive to less compressive or even tensile. This appears to correspond to the boundary region that separates two distinct stages of microstructural evolution, a feature unique to zone T-type structure

  20. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  1. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  2. Optimizing the dual elemental thermal reactive deposition time in carbide layer formation on SUJ2 tool steel

    Science.gov (United States)

    Mochtar, Myrna Ariati; Putra, Wahyuaji Narottama; Mahardika, Bayu

    2018-05-01

    This paper presents developments contributing to the improvement of thermo-reactive deposition (TRD) process in producing hard carbide layers, on automotive components application. The problem in using FeV powder as a coating material that has been applied in the industries is it is high cost. In this study, FeCr powder coating material was mixed into FeV powder with a ratio of 35:65 weight percent. The SUJ2 steel pins components are processed at 980° C, with varying TRD time was 4,6,8 and 10 hours. Scanning Electron microscope (SEM), Electron Probe Micro Analyzer (EPMA) and X-ray diffraction (XRD) were applied to analyze the coating layers. The thickness of the carbide layer formed will increase with the longer processing time, which thickness at 4-10 hours is increase from 22.7 to 29.7 micron. The gained thickness tends to be homogeneous. Increasing the TRD process holding time results in a higher hardness of the carbide layerwith hardness at 4, 6, 8 and 10 hours is 2049, 2184, 2175 and 2343 HV. The wear rate at TRD holding time of 4-10 hours with the Ogoshi method was reduced from 5.1 × 10-4 mm3/m to 2.5 × 10-4 mm3/m. Optical microscope observations shows that substrate phases consisting of pearlite and cementite and grains that tend to enlarge with the addition of time. Carbide compounds that are formed are vanadium carbide (V8C7, V6C5, V2C) and chromium carbide (Cr3C2, Cr23C7, Cr3C7). While EDS-Linescan results show complex phase (Fe, V, Cr) xC formed. The research shows that addition of FeCr into FeV powder in TRD process in 980°C with optimum time of 10 hours processing meet the mechanical properties requirement of automotive components.

  3. Characterization of chemically deposited Ag/sub 2/S thin films

    International Nuclear Information System (INIS)

    Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.

    2001-01-01

    Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)

  4. Effects of surface deposition and droplet injection on film cooling

    International Nuclear Information System (INIS)

    Wang, Jin; Cui, Pei; Vujanović, Milan; Baleta, Jakov; Duić, Neven; Guzović, Zvonimir

    2016-01-01

    Highlights: • Cooling effectiveness is significantly affected by the deposition size. • Coverage area for model without mist is reduced by increasing the deposition height. • Wall temperature is decreased by 15% with 2% mist injection. • Cooling coverage is increased by more than three times with 2% mist injection. • Cooling effectiveness for mist models is improved by increasing deposition height. - Abstract: In the present research, the influence of the particle dispersion onto the continuous phase in film cooling application was analysed by means of numerical simulations. The interaction between the water droplets and the main stream plays an important role in the results. The prediction of two-phase flow is investigated by employing the discrete phase model (DPM). The results present heat transfer characteristics in the near-wall region under the influence of mist cooling. The local wall temperature distribution and film cooling effectiveness are obtained, and results show that the film cooling characteristics on the downstream wall are affected by different height of surface deposits. It is also found that smaller deposits without mist injection provide a lower wall temperature and a better cooling performance. With 2% mist injection, evaporation of water droplets improves film cooling effectiveness, and higher deposits cause lateral and downstream spread of water droplets. The results indicate that mist injection can significantly enhance film cooling performance.

  5. Deposition and properties of Al-containing diamond-like carbon films by a hybrid ion beam sources

    International Nuclear Information System (INIS)

    Dai Wei; Wang Aiying

    2011-01-01

    Research highlights: → Weak carbide former, Al element, was incorporated into DLC films using a hybrid ion beams system comprising an anode-layer ion source and a magnetron sputtering unit. → The structure disorder of the films tended to decrease with Al atoms doping, which resulted in the distinct reduction of the film internal stress and hardness, but the internal stress dropped faster than the hardness. → The DLC films with low internal stress and high hardness can be acquired by Al incorporation. - Abstract: Metal incorporation is one of the most effective methods for relaxing internal stress in diamond-like carbon (DLC) films. It was reported that the chemical state of the incorporated metal atoms has a significant influence on the film internal stress. The doped atoms embedding in the DLC matrix without bonding with C atoms can reduce the structure disorder of the DLC films through bond angle distortion and thus relax the internal stress of the films. In present paper, Al atoms, which are inert to carbon, were incorporated into the DLC films deposited by a hybrid ion beams system comprising an anode-layer ion source and a magnetron sputtering unit. The film composition, microstructure and atomic bond structure were characterized using X-ray photoelectron spectroscopy, transmission electron microscopy and Raman spectroscopy. The internal stress, mechanical properties and tribogoical behavior were studied as a function of Al concentration using a stress-tester, nanoindentation and ball-on-disc tribo-tester, respectively. The results indicated that the incorporated Al atoms were dissolved in the DLC matrix without bonding with C atoms and the films exhibited the feature of amorphous carbon. The structure disorder of the films tended to decrease with Al atoms incorporation. This resulted in the distinct reduction of the internal stress in the films. All Al-DLC films exhibited a lower friction coefficient compared with pure DLC film. The formation of the

  6. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  7. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    CERN Document Server

    Ma, B; Koritala, R E; Fisher, B L; Markowitz, A R; Erck, R A; Baurceanu, R; Dorris, S E; Miller, D J; Balachandran, U

    2003-01-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx 9deg was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55deg . In-plane texture in the ISD MgO films developed in the first approx 0.5 mu m from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the...

  8. Deposition and characterization of aluminum magnesium boride thin film coatings

    Science.gov (United States)

    Tian, Yun

    Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (boride films, high vacuum level-as deposited AlMgB14 films also possess a low n-type electrical resistivity, which is a consequence of high carrier concentration and moderate carrier mobility. The operative electrical transport mechanism and doping behavior for high vacuum level-as deposited AlMgB14

  9. Quality improvement of organic thin films deposited on vibrating substrates

    Energy Technology Data Exchange (ETDEWEB)

    Paredes, Y.A.; Caldas, P.G.; Prioli, R.; Cremona, M., E-mail: cremona@fis.puc-rio.br

    2011-12-30

    Most of the Organic Light-Emitting Diodes (OLEDs) have a multilayered structure composed of functional organic layers sandwiched between two electrodes. Thin films of small molecules are generally deposited by thermal evaporation onto glass or other rigid or flexible substrates. The interface state between two organic layers in OLED device depends on the surface morphology of the layers and affects deeply the OLED performance. The morphology of organic thin films depends mostly on substrate temperature and deposition rate. Generally, the control of the substrate temperature allows improving the quality of the deposited films. For organic compounds substrate temperature cannot be increased too much due to their poor thermal stability. However, studies in inorganic thin films indicate that it is possible to modify the morphology of a film by using substrate vibration without increasing the substrate temperature. In this work, the effect of the resonance vibration of glass and silicon substrates during thermal deposition in high vacuum environment of tris(8-quinolinolate)aluminum(III) (Alq{sub 3}) and N,N Prime -Bis(naphthalene-2-yl)-N,N Prime -bis(phenyl)-benzidine ({beta}-NPB) organic thin films with different deposition rates was investigated. The vibration used was in the range of hundreds of Hz and the substrates were kept at room temperature during the process. The nucleation and subsequent growth of the organic films on the substrates have been studied by atomic force microscopy technique. For Alq{sub 3} and {beta}-NPB films grown with 0.1 nm/s as deposition rate and using a frequency of 100 Hz with oscillation amplitude of some micrometers, the results indicate a reduction of cluster density and a roughness decreasing. Moreover, OLEDs fabricated with organic films deposited under these conditions improved their power efficiency, driven at 4 mA/cm{sup 2}, passing from 0.11 lm/W to 0.24 lm/W with an increase in their luminance of about 352 cd/m{sup 2

  10. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  11. Deposition of SiC thin films by PECVD

    CERN Document Server

    Cho, N I; Kim, C K

    1999-01-01

    The SiC films were deposited on Si substrate by the decomposition of CH sub 3 SiCl sub 3 (methylthrichlorosilane) molecules in a high frequency discharge field. From the Raman spectra, it is conjectured that the deposited film are formed into the polycrystalline structure. The photon absorption measurement reveal that the band gap of the electron energy state are to be 2.4 eV for SiC, and 2.6 eV for Si sub 0 sub . sub 4 C sub 0 sub . sub 6 , respectively. In the high power density regime, methyl-radicals decompose easily and increases the carbon concentration in plasma and result in the growing films.

  12. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  13. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  14. Transport Properties of LCMO Granular Films Deposited by the Pulsed Electron Deposition Technique

    Institute of Scientific and Technical Information of China (English)

    CHEN Leiming; XU Bin; ZHANG Yan; CHEN Zhenping

    2011-01-01

    By finely controlling the deposition parameters in the pulsed electron deposition process,granular La2/3Ca1/3MnO3 (LCMO) film was grown on silicon substrates.The substrate temperature,ambient pressure in the deposition chamber and acceleration potential for the electron beam were all found to affect the grain size of the film,resulting in different morphologies of the samples.Transport properties of the obtained granular films,especially the magnetoresistance (MR),were studied.Prominent low-field MR was observed in all samples,indicating the forming of grain boundaries in the sample.The low-field MR show great sensitive to the morphology evolution,which reaches the highest value of about 40% for the sample with the grain size of about 250 nm.More interestingly,positive-MR (p-MR) was also detected above 300 K when low magnetic field applying,whereas it disappeared with higher magnetic field applied up to 1.5 and 2 Tesla.Instead of the spinpolarized tunneling process being commonly regarded as a responsible reason,lattice mismatch between LCMO film and silicon substrate appears to be the origin of the p-MR

  15. Progress on sputter-deposited thermotractive titanium-nickel films

    International Nuclear Information System (INIS)

    Grummon, D.S.; Hou Li; Zhao, Z.; Pence, T.J.

    1995-01-01

    It is now well established that titanium-nickel alloys fabricated as thin films by physical vapor deposition can display the same transformation and shape-memory effects as their ingot-metallurgy counterparts. As such they may find important application to microelectromechanical and biomechanical systems. Furthermore, we show here that titanium-nickel films may be directly processed so as to possess extremely fine austenite grain size and very high strength. These films display classical transformational superelasticity, including high elastic energy storage capacity, the expected dependence of martensite-start temperature on transformation enthalpy, and large, fully recoverable anelastic strains at temperatures above A f . Processing depends on elevated substrate temperatures during deposition, which may be manipulated within a certain range to control both grain size and crystallographic texture. It is also possible to deposit crystalline titanium-nickel films onto polymeric substrates, making them amenable to lithographic patterning into actuator elements that are well-suited to electrical excitation of the martensite reversion transformation. Finally, isothermal annealing of nickel-rich films, under conditions of controlled extrinsic residual stress, leads to topotaxial orientation of Ni 4 Ti 3 -type precipitates, and the associated possibility of two-way memory effects. Much work remains to be done, especially with respect to precise control of composition. (orig.)

  16. Plume-induced stress in pulsed-laser deposited CeO2 films

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Budai, J.D.; Pennycook, S.J.; Prouteau, C.

    1999-01-01

    Residual compressive stress due to plume-induced energetic particle bombardment in CeO 2 films deposited by pulsed-laser deposition is reported. For laser ablation film growth in low pressures, stresses as high as 2 GPa were observed as determined by substrate curvature and four-circle x-ray diffraction. The amount of stress in the films could be manipulated by controlling the kinetic energies of the ablated species in the plume through gas-phase collisions with an inert background gas. The film stress decreased to near zero for argon background pressures greater than 50 mTorr. At these higher background pressures, the formation of nanoparticles in the deposited film was observed. copyright 1999 American Institute of Physics

  17. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  18. Synthesis of LSM films deposited by dip-coating on YSZ substrate

    International Nuclear Information System (INIS)

    Conceicao, Leandro da; Souza, Mariana M.V.M.; Ribeiro, Nielson F.P.

    2010-01-01

    The dip-coating process was used to deposit films of La 0.7 Sr 0. 3MnO 3 (LSM) used as cathode in solid oxide fuel cells (SOFC). In this study we evaluated the relationship between the deposition parameters such as speed of withdrawal and number of deposited layers of LSM film on a substrate of 8% YSZ commercial, and structural properties, such as thickness and formation of cracks. The structure and morphology of the films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). With parameters set the film had good adhesion to the substrate with a thickness around 10 μm, showing possible adherence problems when more than one layer is deposited on the substrate. (author)

  19. Biomaterial thin film deposition and characterization by means of MAPLE technique

    International Nuclear Information System (INIS)

    Bloisi, F.; Vicari, L.; Papa, R.; Califano, V.; Pedrazzani, R.; Bontempi, E.; Depero, L.E.

    2007-01-01

    Polyethylene glycol (PEG) is a polymer with technologically important applications, especially as a biomaterial. Several biomedical applications (such as tissue engineering, spatial patterning of cells, anti-biofouling and biocompatible coatings) require the application of high quality PEG thin films. In order to have a good adhesion to substrate chemically modified polymer molecules have been used, but for some 'in vivo' applications it is essential to deposit a film with the same chemical and structural properties of bulk PEG. Pulsed laser deposition (PLD) technique is generally able to produce high quality thin films but it is inadequate for polymer/organic molecules. MAPLE (Matrix Assisted Pulsed Laser Evaporation) is a recently developed PLD based thin film deposition technique, particularly well suited for organic/polymer thin film deposition. Up to now MAPLE depositions have been carried out mainly by means of modified PLD systems, using excimer lasers operating in UV, but the use of less energetic radiations can minimize the photochemical decomposition of the polymer molecules. We have used a deposition system explicitly designed for MAPLE technique connected to a Q-switched Ng:YAG pulsed laser which can be operated at different wavelength ranging from IR to UV in order to optimise the deposition parameters. The capability of MAPLE technique to deposit PEG has been confirmed and preliminary results show that visible (532 nm wavelength) radiation gives better results with respect to UV (355 nm) radiation. Despite usually UV wavelengths have been used and even if more systematic tests must be performed, it is important to underline that the choice of laser wavelength plays an important role in the application of MAPLE thin film deposition technique

  20. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  1. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  2. Nanomechanical properties of GaSe thin films deposited on Si(1 1 1) substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Jian, Sheng-Rui; Juang, Jenh-Yih; Luo, Chih-Wei; Ku, Shin-An; Wu, Kaung-Hsiung

    2012-01-01

    Highlights: ► GaSe thin films are grown by PLD. ► Structural properties of GaSe thin films are measured by XRD. ► Hardness and Young’s modulus of GaSe thin films are measured by nanoindentation. - Abstract: The correlations between the crystalline structure and mechanical properties of GaSe thin films were investigated by means of X-ray diffraction (XRD) and nanoindentation techniques. The GaSe thin films were deposited on Si(1 1 1) substrates deposited at various deposition temperatures using pulsed laser deposition (PLD). The XRD results indicate that all the GaSe thin films are pure hexagonal phase with highly (0 0 0 l)-oriented characteristics. Nanoindentation results revealed apparent discontinuities (so-called multiple “pop-in” events) in the load-displacement curve, while no discontinuity was observed in the unloading segment of the load-displacement curve. The hardness and Young’s modulus of GaSe thin films determined by the continuous stiffness measurements (CSM) method indicated that both mechanical parameters increased with the increasing deposition temperature with the hardness and the Young’s modulus being increased from 1.2 ± 0.1 to 1.8 ± 0.1 GPa and from 39.6 ± 1.2 to 68.9 ± 2.7 GPa, respectively, as the deposition temperature was raised from 400 to 475 °C. These results suggest that the increased grain size might have played a prominent role in determining the mechanical properties of the PLD-derived GaSe thin films.

  3. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  4. Microstructure and phase composition of sputter-deposited zirconia-yttria films

    International Nuclear Information System (INIS)

    Knoll, R.W.; Bradley, E.R.

    1983-11-01

    Thin ZrO 2 -Y 2 O 3 coatings ranging in composition from 3 to 15 mole % Y 2 O 3 were produced by rf sputter deposition. This composition range spanned the region on the equilibrium ZrO 2 -Y 2 O 3 phase diagram corresponding to partially stabilized zirconia (a mixture of tetragonal ZrO 2 and cubic solid solution). Microstructural characteristics and crystalline phase composition of as-deposited and heat treated films (1100 0 C and 1500 0 C) were determined by transmission electron microscopy (TEM) and by x-ray diffraction (XRD). Effects of substrate bias (0 approx. 250 volts), which induced ion bombardment of the film during growth, were also studied. The as-deposited ZrO 2 -Y 2 O 3 films were single phase over the composition range studied, and XRD data indicated considerable local atomic disorder in the lattice. Films produced at low bias contained intergranular voids, pronounced columnar growth, and porosity between columns. At high bias, the microstructure was denser, and films contained high compressive stress. After heat treatment, all deposits remained single phase, therefore a microstructure and precipitate distribution characteristic of toughened, partially stabilized zirconia appear to be difficult to achieve in vapor deposited zirconia coatings

  5. Creation of leak-proof silicon carbide diffusion barriers by means of pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Reinecke, A.-M.; Lustfeld, M.; Lippmann, W., E-mail: wolfgang.lippmann@tu-dresden.de; Hurtado, A.

    2014-05-01

    TRISO (tristructural isotropic) coated fuel particles are a crucial element of the HTR safety concept. While TRISO coated particles have been proven as a very efficient barrier for a large range of fission products in HTR experimental reactors, some particular fission products could still diffuse at a considerable rate. Most importantly, radioactive silver {sup 110m}Ag was found to be released from coated particles. In future HTRs with active components like a gas turbine in the primary circuit, such silver contamination may severely limit maintainability of these parts with the result of reduced life-time performance. So far, experimental analyses on silver diffusion through silicon carbide have led to contradictory results. In this work, an alternative method was used to generate silicon carbide layers as a basis for analysis of silver diffusion. With pulsed laser deposition (PLD), it is possible to generate coatings of different materials and various kinds of compounds. In particular, this technology allows the generation of layers very well defined with respect to their composition, purity and density. The microstructure can precisely be manipulated through various parameters. Based on different silicon carbide coatings with well-defined properties, we are going to investigate the silver diffusion process. Our goal is to derive the properties of an ideal silicon carbide coating preventing silver diffusion entirely. In this paper we present the major aspects of our work creating crystalline SiC layers as well as silver and CsI layers both on plane and spherical substrates. Analyses with X-ray diffraction, X-ray spectrometry and secondary ion mass spectrometry show that complex multilayer systems comprising a graphite substrate, a crystalline SiC layer and an intermediate silver layer were successfully created. Major challenges to approach in the future are the handling of high-level intrinsic stresses forming in the layer structure as well as the high vapour

  6. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    Science.gov (United States)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  7. Bioactive glass and hydroxyapatite thin films obtained by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gyorgy, E. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania) and Consejo Superior de Investigaciones Cientificas, Instituto de Ciencia de Materiales de Barcelona, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: egyorgy@icmab.es; Grigorescu, S. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Socol, G. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Janackovic, D. [Faculty of Technology and Metallurgy, University of Belgrade, Karnegijeva 4, 11000 Belgrade (Serbia); Dindune, A. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Kanepe, Z. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Palcevskis, E. [Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Zdrentu, E.L. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania); Petrescu, S.M. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania)

    2007-07-31

    Bioactive glass (BG), calcium hydroxyapatite (HA), and ZrO{sub 2} doped HA thin films were grown by pulsed laser deposition on Ti substrates. An UV KrF{sup *} ({lambda} = 248 nm, {tau} {>=} 7 ns) excimer laser was used for the multi-pulse irradiation of the targets. The substrates were kept at room temperature or heated during the film deposition at values within the (400-550 deg. C) range. The depositions were performed in oxygen and water vapor atmospheres, at pressure values in the range (5-40 Pa). The HA coatings were heat post-treated for 6 h in a flux of hot water vapors at the same temperature as applied during deposition. The surface morphology, chemical composition, and crystalline quality of the obtained thin films were studied by scanning electron microscopy, atomic force microscopy, and X-ray diffractometry. The films were seeded for in vitro tests with Hek293 (human embryonic kidney) cells that revealed a good adherence on the deposited layers. Biocompatibility tests showed that cell growth was better on HA than on BG thin films.

  8. Deposition and characterization of Pt nanocluster films by means of gas aggregation cluster source

    Energy Technology Data Exchange (ETDEWEB)

    Kylián, Ondřej, E-mail: ondrej.kylian@gmail.com; Prokeš, Jan; Polonskyi, Oleksandr; Čechvala, Juraj; Kousal, Jaroslav; Pešička, Josef; Hanuš, Jan; Biederman, Hynek

    2014-11-28

    In this study we report on the deposition of Pt nanocluster films prepared by gas aggregation source that was operated with argon as working gas. The aim of this study was optimization of deposition process as well as determination of properties of deposited nanocluster films and their temporal stability. It was found that the production of Pt nanoclusters reached maximum value for pressure of 100 Pa and increases monotonously with magnetron current. The deposition rate at optimized deposition conditions was 0.7 nm of the Pt nanocluster film per second. Deposited films were porous and composed of 4 nm Pt nanoclusters. The nanoclusters were metallic and no sights of their oxidation were observed after 1 year on open air as witnessed by X-ray photoelectron spectroscopy. Regarding the electrical properties, a dramatic decrease of the resistivity was observed with increasing amount of deposited nanoclusters. This decrease saturated for the films approximately 50 nm thick. Such behavior indicates transition between different mechanisms of electrical conductivity: charge hopping for thin discontinuous films and current conduction through conducting path formed when higher amount of nanoclusters is deposited. Different mechanisms of electrical conduction for thin and thick layers of Pt were confirmed by subsequent investigation of temperature dependence of resistivity. In addition, no changes in resistivity were observed after one year on open air that confirms stability of produced Pt nanocluster films. - Highlights: • Pt nanocluster films were deposited by gas aggregation nanocluster source. • Conditions leading to effective deposition of Pt nanocluster films were found. • Deposited nanocluster films have good temporal stability. • Electrical properties of Pt films were found to depend on their thickness.

  9. Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Naderi, N., E-mail: naderi.phd@gmail.com [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2013-03-05

    Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated

  10. Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates

    International Nuclear Information System (INIS)

    Naderi, N.; Hashim, M.R.

    2013-01-01

    Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated

  11. Fabrication of cuprous chloride films on copper substrate by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)

    2015-09-30

    Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.

  12. Capillary assisted deposition of carbon nanotube film for strain sensing

    Science.gov (United States)

    Li, Zida; Xue, Xufeng; Lin, Feng; Wang, Yize; Ward, Kevin; Fu, Jianping

    2017-10-01

    Advances in stretchable electronics offer the possibility of developing skin-like motion sensors. Carbon nanotubes (CNTs), owing to their superior electrical properties, have great potential for applications in such sensors. In this paper, we report a method for deposition and patterning of CNTs on soft, elastic polydimethylsiloxane (PDMS) substrates using capillary action. Micropillar arrays were generated on PDMS surfaces before treatment with plasma to render them hydrophilic. Capillary force enabled by the micropillar array spreads CNT solution evenly on PDMS surfaces. Solvent evaporation leaves a uniform deposition and patterning of CNTs on PDMS surfaces. We studied the effect of the CNT concentration and micropillar gap size on CNT coating uniformity, film conductivity, and piezoresistivity. Leveraging the piezoresistivity of deposited CNT films, we further designed and characterized a device for the contraction force measurement. Our capillary assisted deposition method of CNT films showed great application potential in fabrication of flexible CNT thin films for strain sensing.

  13. Effect of performance of Zr-Y alloy target on thin film deposition technology

    International Nuclear Information System (INIS)

    Pan Qianfu; Liu Chaohong; Jiang Mingzhong; Yin Changgeng

    2011-01-01

    Yttria-stabilized zirconia (YSZ) films are synthesized on corrosion resistant plates by pulsed bias arc ion plating. The arc starting performance and the stability of thin film deposition is explored by improving the uniformity and compactibility of Zr-Y alloy target. The property of Zr-Y alloy target and depositional thin films were measured with the optical microscope, scanning electron microscope, X-ray diffractometer. The result shows that the target with hot rolling and annealing has a good arc starting performance and stability of thin film deposition, and the depositional thin films made of Yttria and amorphous zirconia are homogeneous and compact. (authors)

  14. Fracture Analysis of MWCNT/Epoxy Nanocomposite Film Deposited on Aluminum Substrate.

    Science.gov (United States)

    Her, Shiuh-Chuan; Chien, Pao-Chu

    2017-04-13

    Multi-walled carbon nanotube (MWCNT) reinforced epoxy films were deposited on an aluminum substrate by a hot-pressing process. Three-point bending tests were performed to determine the Young's modulus of MWCNT reinforced nanocomposite films. Compared to the neat epoxy film, nanocomposite film with 1 wt % of MWCNT exhibits an increase of 21% in the Young's modulus. Four-point-bending tests were conducted to investigate the fracture toughness of the MWCNT/epoxy nanocomposite film deposited on an aluminum substrate with interfacial cracks. Based on the Euler-Bernoulli beam theory, the strain energy in a film/substrate composite beam is derived. The difference of strain energy before and after the propagation of the interfacial crack are calculated, leading to the determination of the strain energy release rate. Experimental test results show that the fracture toughness of the nanocomposite film deposited on the aluminum substrate increases with the increase in the MWCNT content.

  15. Pull-test adhesion measurements of diamondlike carbon films on silicon carbide, silicon nitride, aluminum oxide, and zirconium oxide

    International Nuclear Information System (INIS)

    Erck, R.A.; Nichols, F.A.; Dierks, J.F.

    1994-01-01

    Hydrogenated amorphous carbon or diamondlike carbon (DLC) films were formed by 400 eV methane (CH 4 ) ion bombardment of various smooth and rough ceramics, as well as ceramics coated with a layer of Si or Ti. Adhesion was measured by a bonded-pin method. Excellent adhesion was measured for smooth SiC and Si 3 N 4 , but adhesion of DLC to smooth Al 2 O 3 and ZrO 2 was negligible. The use of a Si bonding interlayer produced good adhesion to all the substrates, but a Ti layer was ineffective due to poor bonding between the DLC film and Ti. Bulk thermodynamic calculations are not directly applicable to bonding at the interface because the interface is two dimensional and the compositions of interfacial phases are generally not known. If the standard enthalpy ΔH degree for the reaction between CH 4 and the substrate material is calculated under the assumption that a carbide phase is produced, a relationship is seen between the reaction enthalpy and the relative adhesion. Large positive enthalpies are associated with poor adhesion; negative or small positive enthalpies are associated with good adhesion. This relation between enthalpy and adhesion was also observed for DLC deposited on Si. The lack of adhesion to the Ti was attributed to inadvertent formation of a surface oxide layer that rendered the enthalpy for the reaction with CH 4 positive

  16. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  17. Electrochemical and wear behavior of niobium-vanadium carbide coatings produced on AISI H13 tool steel through thermo-reactive deposition/diffusion

    International Nuclear Information System (INIS)

    Castillejo Nieto, Fabio Enrique; Olaya Flores, Jhon Jairo; Alfonso Orjuela, Jose Edgar

    2016-01-01

    We deposited of niobium-vanadium carbide coatings on tool steel AISI H13 using the thermo-reactive substrates deposition/diffusion (TRD) technique. The carbides were obtained using salt baths composed of molten borax, ferroniobium, vanadium and aluminum, by heating this mixture at 1020°C for 4 hours. The coatings were characterized morphologically via electron microscopy scanning (SEM), the chemical surface composition was determined through X-ray photoelectron spectroscopy (XPS) and energy dispersive X-ray spectroscopy (EDX); the crystal structure was analyzed using x-ray diffraction (XRD), the mechanical properties of the coatings were evaluated using nano-indentation, The tribological properties of the coatings obtained were determined using a Pin-on-disk tribometer and the electrochemical behavior was studied through potentiodynamic polarization curves and electrochemical impedance spectroscopy (EIS). The results showed that the hardness of the coated steel increased four times with respect to uncoated steel, and the electrochemical test established that the corrosion current is lower by one order of magnitude for coated steel

  18. Deposition of fluorocarbon films by Pulsed Plasma Thruster on the anode side

    International Nuclear Information System (INIS)

    Zhang, Rui; Zhang, Daixian; Zhang, Fan; He, Zhen; Wu, Jianjun

    2013-01-01

    Fluorocarbon thin films were deposited by Pulsed Plasma Thruster at different angles on the anode side of the thruster. Density and velocity of the plasma in the plume of the Pulsed Plasma Thruster were determined using double and triple Langmuir probe apparatus respectively. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), scanning probe microscope (SPM) and UV–vis spectrometer. Low F/C ratio (0.64–0.86) fluorocarbon films are deposited. The F/C ratio decreases with angle increasing from 0 degree to 30 degree; however it turns to increase with angle increasing from 45 degree to 90 degree. The films deposited at center angles appear rougher compared with that prepared at angles beyond 45 degree. These films basically show having strong absorption properties for wavelength below 600 nm and having enhanced reflective characteristics. Due to the influence of the chemical composition and the surface morphology of the films, the optical properties of these films also show significant angular dependence.

  19. Topography evolution of germanium thin films synthesized by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    P. Schumacher

    2017-04-01

    Full Text Available Germanium thin films were deposited by Pulsed Laser Deposition (PLD onto single crystal Ge (100 and Si (100 substrates with a native oxide film on the surface. The topography of the surface was investigated by Atomic Force Microscopy (AFM to evaluate the scaling behavior of the surface roughness of amorphous and polycrystalline Ge films grown on substrates with different roughnesses. Roughness evolution was interpreted within the framework of stochastic rate equations for thin film growth. Here the Kardar-Parisi-Zhang equation was used to describe the smoothening process. Additionally, a roughening regime was observed in which 3-dimensional growth occurred. Diffusion of the deposited Ge adatoms controlled the growth of the amorphous Ge thin films. The growth of polycrystalline thin Ge films was dominated by diffusion processes only in the initial stage of the growth.

  20. Stress in tungsten carbide-diamond like carbon multilayer coatings

    NARCIS (Netherlands)

    Pujada, B.R.; Tichelaar, F.D.; Janssen, G.C.A.M.

    2007-01-01

    Tungsten carbide-diamond like carbon (WC-DLC) multilayer coatings have been prepared by sputter deposition from a tungsten-carbide target and periodic switching on and off of the reactive acetylene gas flow. The stress in the resulting WC-DLC multilayers has been studied by substrate curvature.

  1. Diamond film growth with modification properties of adhesion between substrate and diamond film

    Directory of Open Access Journals (Sweden)

    Setasuwon P.

    2004-03-01

    Full Text Available Diamond film growth was studied using chemical vapor deposition (CVD. A special equipment was build in-house, employing a welding torch, and substrate holder with a water-cooling system. Acetylene and oxygen were used as combustion gases and the substrate was tungsten carbide cobalt. It was found that surface treatments, such as diamond powder scratching or acid etching, increase the adhesion and prevent the film peel-off. Diamond powder scratching and combined diamond powder scratching with acid etching gave the similar diamond film structure with small grain and slightly rough surface. The diamond film obtained with both treatments has high adhesion and can withstand internal stress better than ones obtained by untreated surface or acid etching alone. It was also found that higher substrate temperature produced smoother surface and more uniform diamond grain.

  2. Flexural strength of proof-tested and neutron-irradiated silicon carbide

    Science.gov (United States)

    Price, R. J.; Hopkins, G. R.

    1982-08-01

    Proof testing before service is a valuable method for ensuring the reliability of ceramic structures. Silicon carbide has been proposed as a very low activation first-wall and blanket structural material for fusion devices, where it would experience a high flux of fast neutrons. Strips of three types of silicon carbide were loaded in four-point bending to a stress sufficient to break about a third of the specimens. Groups of 16 survivors were irradiated to 2 × 10 26n/ m2 ( E>0.05 MeV) at 740°C and bend tested to failure. The strength distribution of chemically vapor-deposited silicon carbide (Texas Instruments) was virtually unchanged by irradiation. The mean strength of sintered silicon carbide (Carborundum Alpha) was reduced 34% by irradiation, while the Weibull modulus and the truncated strength distribution characteristic of proof-tested material were retained. Irradiation reduced the mean strength of reaction-bonded silicon carbide (Norton NC-430) by 58%, and the spread in strength values was increased. We conclude that for the chemically vapor-deposited and the sintered silicon carbide the benefits of proof testing to eliminate low strength material are retained after high neutron exposures.

  3. A comparative study of CdS thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pérez-Hernández, G., E-mail: german.perez@ujat.mx [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Pantoja-Enríquez, J. [Centro de Investigación y Desarrollo Tecnológico en Energías Renovables, UNICACH, Libramiento Norte No 1150, Tuxtla Gutiérrez, Chiapas 29039 (Mexico); Escobar-Morales, B. [Instituto Tecnológico de Cancún, Avenida Kábah Km 3, Cancún, Quintana Roo 77500 (Mexico); Martinez-Hernández, D.; Díaz-Flores, L.L.; Ricardez-Jiménez, C. [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Mathews, N.R.; Mathew, X. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico)

    2013-05-01

    Cadmium sulfide thin-films were deposited on glass slides and SnO{sub 2}:F coated glass substrates by chemical bath deposition, sputtering and close-spaced sublimation techniques. The films were studied for the structural and opto-electronic properties after annealing in an ambient identical to that employed in the fabrication of CdTe/CdS devices. Quantum efficiency of the CdTe/CdS solar cells fabricated with CdS buffer films prepared by the three methods were investigated to understand the role of CdS film preparation method on the blue response of the devices. The higher blue response observed for the devices fabricated with chemical bath deposited CdS film is discussed. - Highlights: ► CdS films were prepared by different techniques. ► Role of CdS on the blue response of device was studied. ► Structural and optical properties of CdS were analyzed. ► Chemically deposited CdS has high blue transmittance. ► CdS deposition method influences diffusion of S and Te.

  4. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  5. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. Examples of thin film property modification by ion bombardment during deposition, including effects which are primarily compositional as well as those which are primarily structural are presented. The examples demonstrate the usefulness of ion beam techniques in identifying and controlling the fundamental deposition parameters. 68 refs.; 15 figs.; 1 table

  6. Comparison of lanthanum substituted bismuth titanate (BLT) thin films deposited by sputtering and pulsed laser deposition

    International Nuclear Information System (INIS)

    Besland, M.P.; Djani-ait Aissa, H.; Barroy, P.R.J.; Lafane, S.; Tessier, P.Y.; Angleraud, B.; Richard-Plouet, M.; Brohan, L.; Djouadi, M.A.

    2006-01-01

    Bi 4-x La x Ti 3 O 12 (BLT x ) (x = 0 to 1) thin films were grown on silicon (100) and platinized substrates Pt/TiO 2 /SiO 2 /Si using RF diode sputtering, magnetron sputtering and pulsed laser deposition (PLD). Stoichiometric home-synthesized targets were used. Reactive sputtering was investigated in argon/oxygen gas mixture, with a pressure ranging from 0.33 to 10 Pa without heating the substrate. PLD was investigated in pure oxygen, at a chamber pressure of 20 Pa for a substrate temperature of 400-440 deg. C. Comparative structural, chemical, optical and morphological characterizations of BLT thin films have been performed by X-ray diffraction (XRD), Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), X-Ray Photoelectron Spectroscopy (XPS), Spectro-ellipsometric measurements (SE) and Atomic Force Microscopy (AFM). Both sputtering techniques allow to obtain uniform films with thickness ranging from 200 to 1000 nm and chemical composition varying from (Bi,La) 2 Ti 3 O 12 to (Bi,La) 4.5 Ti 3 O 12 , depending on deposition pressure and RF power. In addition, BLT films deposited by magnetron sputtering, at a pressure deposition ranging from 1.1 to 5 Pa, were well-crystallized after a post-deposition annealing at 650 deg. C in oxygen. They exhibit a refractive index and optical band gap of 2.7 and 3.15 eV, respectively. Regarding PLD, single phase and well-crystallized, 100-200 nm thick BLT films with a stoichiometric (Bi,La) 4 Ti 3 O 12 chemical composition were obtained, exhibiting in addition a preferential orientation along (200). It is worth noting that BLT films deposited by magnetron sputtering are as well-crystallized than PLD ones

  7. Structure disorder degree of polysilicon thin films grown by different processing: Constant C from Raman spectroscopy

    International Nuclear Information System (INIS)

    Wang, Quan; Zhang, Yanmin; Hu, Ran; Ren, Naifei; Ge, Daohan

    2013-01-01

    Flat, low-stress, boron-doped polysilicon thin films were prepared on single crystalline silicon substrates by low pressure chemical vapor deposition. It was found that the polysilicon films with different deposition processing have different microstructure properties. The confinement effect, tensile stresses, defects, and the Fano effect all have a great influence on the line shape of Raman scattering peak. But the effect results are different. The microstructure and the surface layer are two important mechanisms dominating the internal stress in three types of polysilicon thin films. For low-stress polysilicon thin film, the tensile stresses are mainly due to the change of microstructure after thermal annealing. But the tensile stresses in flat polysilicon thin film are induced by the silicon carbide layer at surface. After the thin film doped with boron atoms, the phenomenon of the tensile stresses increasing can be explained by the change of microstructure and the increase in the content of silicon carbide. We also investigated the disorder degree states for three polysilicon thin films by analyzing a constant C. It was found that the disorder degree of low-stress polysilicon thin film larger than that of flat and boron-doped polysilicon thin films due to the phase transformation after annealing. After the flat polysilicon thin film doped with boron atoms, there is no obvious change in the disorder degree and the disorder degree in some regions even decreases

  8. Structure disorder degree of polysilicon thin films grown by different processing: Constant C from Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Quan, E-mail: wangq@mail.ujs.edu.cn [School of mechanical engineering, Jiangsu University, Zhenjiang 212013 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Zhang, Yanmin; Hu, Ran; Ren, Naifei [School of mechanical engineering, Jiangsu University, Zhenjiang 212013 (China); Ge, Daohan [School of mechanical engineering, Jiangsu University, Zhenjiang 212013 (China); State Key Laboratory of Transducer Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2013-11-14

    Flat, low-stress, boron-doped polysilicon thin films were prepared on single crystalline silicon substrates by low pressure chemical vapor deposition. It was found that the polysilicon films with different deposition processing have different microstructure properties. The confinement effect, tensile stresses, defects, and the Fano effect all have a great influence on the line shape of Raman scattering peak. But the effect results are different. The microstructure and the surface layer are two important mechanisms dominating the internal stress in three types of polysilicon thin films. For low-stress polysilicon thin film, the tensile stresses are mainly due to the change of microstructure after thermal annealing. But the tensile stresses in flat polysilicon thin film are induced by the silicon carbide layer at surface. After the thin film doped with boron atoms, the phenomenon of the tensile stresses increasing can be explained by the change of microstructure and the increase in the content of silicon carbide. We also investigated the disorder degree states for three polysilicon thin films by analyzing a constant C. It was found that the disorder degree of low-stress polysilicon thin film larger than that of flat and boron-doped polysilicon thin films due to the phase transformation after annealing. After the flat polysilicon thin film doped with boron atoms, there is no obvious change in the disorder degree and the disorder degree in some regions even decreases.

  9. Nano-Impact (Fatigue Characterization of As-Deposited Amorphous Nitinol Thin Film

    Directory of Open Access Journals (Sweden)

    Rehan Ahmed

    2012-08-01

    Full Text Available This paper presents nano-impact (low cycle fatigue behavior of as-deposited amorphous nitinol (TiNi thin film deposited on Si wafer. The nitinol film was 3.5 µm thick and was deposited by the sputtering process. Nano-impact tests were conducted to comprehend the localized fatigue performance and failure modes of thin film using a calibrated nano-indenter NanoTest™, equipped with standard diamond Berkovich and conical indenter in the load range of 0.5 mN to 100 mN. Each nano-impact test was conducted for a total of 1000 fatigue cycles. Depth sensing approach was adapted to understand the mechanisms of film failure. Based on the depth-time data and surface observations of films using atomic force microscope, it is concluded that the shape of the indenter test probe is critical in inducing the localized indentation stress and film failure. The measurement technique proposed in this paper can be used to optimize the design of nitinol thin films.

  10. Indium zinc oxide films deposited on PET by LF magnetron sputtering

    International Nuclear Information System (INIS)

    Kim, Eun Lyoung; Jung, Sang Kooun; Sohn, Sang Ho; Park, Duck Kyu

    2007-01-01

    Indium zinc oxide (IZO) has attracted much attention recently for use in transparent oxide films compared with the ITO film. We carried out the deposition of IZO on a polyethylene terapthalate (PET) substrate at room temperature by a low-frequency (LF) magnetron sputtering system. These films have amorphous structures with excellent electrical stability, surface uniformity and high optical transmittance. The effects of LF applied voltage and O 2 flow rate were investigated. The electrical and optical properties were studied. At optimal deposition conditions, thin films of IZO with a sheet resistance of 32 Ω/sq and an optical transmittance of over 80% in the visible spectrum range were achieved. The IZO thin films fabricated by this method do not require substrate heating during the film preparation of any additional post-deposition annealing treatment. The experimental results show that films with good qualities of surface morphology, transmittance and electrical conduction can be grown by the LF magnetron sputtering method on PET which is recommendable

  11. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  12. Nanostructured CdS thin films deposited by spray pyrolysis method

    Energy Technology Data Exchange (ETDEWEB)

    Kerimova, A.; Bagiyev, E.; Aliyeva, E.; Bayramov, A. [Institute of Physics, Azerbaijan National Academy of Sciences, Baku (Azerbaijan)

    2017-06-15

    Influence of solution pH on the structural and optical properties of CdS films deposited by conventional spray pyrolysis technique was studied. X-Ray Diffraction (XRD), Atomic Force Microscopy (AFM), Photoluminescence spectroscopy (PLS), and Spectroscopic Ellipsometry (SE) methods were used for the characterization of the deposited films. PL spectrum of the film deposited from the solution with pH = 10.2 shows broad-band PL emission located at 460 nm (2.7 eV), which can be attributed to the quantum size effect at grain sizes of <10 nm. No shifts of ε{sub 1} and ε{sub 2} due to the quantum size effect are observed in dielectric function spectra, what can be caused by low concentration of nano-sized (<10 nm) CdS grains. The change in the film properties with the pH of the solution was analyzed in terms of variation of grain sizes of the polycrystalline films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Thickness dependent growth of low temperature atomic layer deposited zinc oxide films

    International Nuclear Information System (INIS)

    Montiel-González, Z.; Castelo-González, O.A.; Aguilar-Gama, M.T.; Ramírez-Morales, E.; Hu, H.

    2017-01-01

    Highlights: • Polycrystalline columnar ZnO thin films deposited by ALD at low temperatures. • Higher deposition temperature leads to a greater surface roughness in the ALD ZnO films. • Higher temperature originates larger refractive index values of the ALD ZnO films. • ZnO thin films were denser as the numbers of ALD deposition cycles were larger. • XPS analysis revels mayor extent of the DEZ reaction during the ALD process. - Abstract: Zinc oxide films are promising to improve the performance of electronic devices, including those based on organic materials. However, the dependence of the ZnO properties on the preparation conditions represents a challenge to obtain homogeneous thin films that satisfy specific applications. Here, we prepared ZnO films of a wide range of thicknesses by atomic layer deposition (ALD) at relatively low temperatures, 150 and 175 °C. From the results of X-ray photoelectron spectroscopy, X-ray diffraction and Spectroscopic Ellipsometry it is concluded that the polycrystalline structure of the wurtzite is the main phase of the ALD samples, with OH groups on their surface. Ellipsometry revealed that the temperature and the deposition cycles have a strong effect on the films roughness. Scanning electron micrographs evidenced such effect, through the large pyramids developed at the surface of the films. It is concluded that crystalline ZnO thin films within a broad range of thickness and roughness can be obtained for optic or optoelectronic applications.

  14. Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor

    Energy Technology Data Exchange (ETDEWEB)

    Pradhan, Debabrata [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)]. E-mail: dpradhan@sciborg.uwaterloo.ca; Sharon, Maheshwar [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)

    2007-06-30

    A simple thermal chemical vapor deposition technique is employed for the pyrolysis of a natural precursor 'camphor' and deposition of carbon films on alumina substrate at higher temperatures (600-900 deg. C). X-ray diffraction measurement reveals the amorphous structure of these films. The carbon films properties are found to significantly vary with the deposition temperatures. At higher deposition temperature, films have shown predominately sp{sup 2}-bonded carbon and therefore, higher conductivity and lower optical band gap (Tauc gap). These amorphous carbon (a-C) films are also characterized with Raman and X-ray photoelectron spectroscopy. In addition, electrical and optical properties are measured. The thermoelectric measurement shows these as-grown a-C films are p-type in nature.

  15. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  16. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  17. Morphology and structural studies of WO_3 films deposited on SrTiO_3 by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kalhori, Hossein; Porter, Stephen B.; Esmaeily, Amir Sajjad; Coey, Michael; Ranjbar, Mehdi; Salamati, Hadi

    2016-01-01

    Highlights: • Highly oriented WO_3 stoichiometric films were determined using pulsed laser deposition method. • Effective parameters on thin films including temperature, oxygen partial pressure and laser energy fluency was studied. • A phase transition was observed in WO_3 films at 700 °C from monoclinic to tetragonal. - Abstract: WO_3 films have been grown by pulsed laser deposition on SrTiO_3 (001) substrates. The effects of substrate temperature, oxygen partial pressure and energy fluence of the laser beam on the physical properties of the films were studied. Reflection high-energy electron diffraction (RHEED) patterns during and after growth were used to determine the surface structure and morphology. The chemical composition and crystalline phases were obtained by XPS and XRD respectively. AFM results showed that the roughness and skewness of the films depend on the substrate temperature during deposition. Optimal conditions were determined for the growth of the highly oriented films.

  18. Microstructural and magnetic properties of thin obliquely deposited films: A simulation approach

    Energy Technology Data Exchange (ETDEWEB)

    Solovev, P.N., E-mail: platon.solovev@gmail.com [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Izotov, A.V. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Belyaev, B.A. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Reshetnev Siberian State Aerospace University, 31, pr. Imeni Gazety “Krasnoyarskii Rabochii”, Krasnoyarsk 660014 (Russian Federation)

    2017-05-01

    The relation between microstructural and magnetic properties of thin obliquely deposited films has been studied by means of numerical techniques. Using our developed simulation code based on ballistic deposition model and Fourier space approach, we have investigated dependences of magnetometric tensor components and magnetic anisotropy parameters on the deposition angle of the films. A modified Netzelmann approach has been employed to study structural and magnetic parameters of an isolated column in the samples with tilted columnar microstructure. Reliability and validity of used numerical methods is confirmed by a good agreement of the calculation results with each other, as well as with our experimental data obtained by the ferromagnetic resonance measurements of obliquely deposited thin Ni{sub 80}Fe{sub 20} films. The combination of these numerical methods can be used to design a magnetic film with a desirable value of uniaxial magnetic anisotropy and to extract the obliquely deposited film structure from only magnetic measurements. - Highlights: • We present a simulation approach to study a relation between structural and magnetic properties of oblique films. • The calculated dependence of magnetic anisotropy on a deposition angle accords well with the experiment. • A modified Netzelmann approach is proposed. • It allows for the computation of magnetic and structural parameters of an isolated column. • Proposed approach can be used for theoretical studies and for characterization of oblique films.

  19. Structural and Magnetic Properties of Mn doped ZnO Thin Film Deposited by Pulsed Laser Deposition

    KAUST Repository

    Baras, Abdulaziz

    2011-07-01

    Diluted magnetic oxide (DMO) research is a growing field of interdisciplinary study like spintronic devices and medical imaging. A definite agreement among researchers concerning the origin of ferromagnetism in DMO has yet to be reached. This thesis presents a study on the structural and magnetic properties of DMO thin films. It attempts to contribute to the understanding of ferromagnetism (FM) origin in DMO. Pure ZnO and Mn doped ZnO thin films have been deposited by pulsed laser deposition (PLD) using different deposition conditions. This was conducted in order to correlate the change between structural and magnetic properties. Structural properties of the films were characterized using x-ray diffraction (XRD) and scanning electron microscopy (SEM). The superconducting quantum interference device (SQUID) was used to investigate the magnetic properties of these films. The structural characterizations showed that the quality of pure ZnO and Mn doped ZnO films increased as oxygen pressure (PO) increased during deposition. All samples were insulators. In Mn doped films, Mn concentration decreased as PO increased. The Mn doped ZnO samples were deposited at 600˚C and oxygen pressure from 50-500mTorr. All Mn doped films displayed room temperature ferromagnetism (RTFM). However, at 5 K a superparamagnetic (SPM) behavior was observed in these samples. This result was accounted for by the supposition that there were secondary phase(s) causing the superparamagnetic behavior. Our findings hope to strengthen existing research on DMO origins and suggest that secondary phases are the core components that suppress the ferromagnetism. Although RTFM and SPM at low temperature has been observed in other systems (e.g., Co doped ZnO), we are the first to report this behavior in Mn doped ZnO. Future research might extend the characterization and exploration of ferromagnetism in this system.

  20. Optoelectronic Characterization of Ta-Doped ZnO Thin Films by Pulsed Laser Deposition.

    Science.gov (United States)

    Koo, Horng-Show; Peng, Jo-Chi; Chen, Mi; Chin, Hung-I; Chen, Jaw-Yeh; Wu, Maw-Kuen

    2015-11-01

    Transparent conductive oxide of Ta-doped ZnO (TZO) film with doping amount of 3.0 wt% have been deposited on glass substrates (Corning Eagle XG) at substrate temperatures of 100 to 500 degrees C by the pulsed laser deposition (PLD) technique. The effect of substrate temperature on the structural, optical and electronic characteristics of Ta-doped ZnO (TZO) films with 3.0 wt% dopant of tantalum oxide (Ta2O5) was measured and demonstrated in terms of X-ray diffraction (XRD), ultraviolet-visible spectrometer (UV-Vis), four-probe and Hall-effect measurements. X-ray diffraction pattern shows that TZO films grow in hexagonal crystal structure of wurtzite phase with a preferred orientation of the crystallites along (002) direction and exhibits better physical characteristics of optical transmittance, electrical conductivity, carrier concentration and mobility for the application of window layer in the optoelectronic devices of solar cells, OLEDs and LEDs. The lowest electrical resistivity (ρ) and the highest carrier concentration of the as-deposited film deposited at 300 degrees C are measured as 2.6 x 10(-3) Ω-cm and 3.87 x 10(-20) cm(-3), respectively. The highest optical transmittance of the as-deposited film deposited at 500 degrees C is shown to be 93%, compared with another films deposited below 300 degrees C. It is found that electrical and optical properties of the as-deposited TZO film are greatly dependent on substrate temperature during laser ablation deposition.

  1. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  2. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    International Nuclear Information System (INIS)

    Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali

    2014-01-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli

  3. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)

    2014-07-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.

  4. Synthesis and characterization of titanium dioxide thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Escobar A, L.; Camps C, E.; Falcon B, T.; Carapia M, L.; Haro P, E.; Camacho L, M.A.

    2000-01-01

    In this work are presented the results obtained when TiO 2 thin films were deposited using the laser ablation technique. Thin films were deposited at different substrate temperatures, and different oxygen pressures, with the purpose of studying the influence of this deposit parameters in the structural characteristics of the films obtained. The structural characterization was realized through Raman Spectroscopy and X-ray Diffraction (XRD), the surface morphology of the layers deposited was verified by Scanning Electron Microscopy (Sem). The results show that the films obtained are of TiO 2 in rutile phase, getting this at low substrate temperatures, its morphology shows a soft surface with some spattered particles and good adherence. (Author)

  5. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  6. Carbide coated fibers in graphite-aluminum composites

    Science.gov (United States)

    Imprescia, R. J.; Levinson, L. S.; Reiswig, R. D.; Wallace, T. C.; Williams, J. M.

    1975-01-01

    The NASA-supported program at the Los Alamos Scientific Laboratory (LASL) to develop carbon fiber-aluminum matrix composites is described. Chemical vapor deposition (CVD) was used to uniformly deposit thin, smooth, continuous coats of TiC on the fibers of graphite tows. Wet chemical coating of fibers, followed by high-temperature treatment, was also used, but showed little promise as an alternative coating method. Strength measurements on CVD coated fiber tows showed that thin carbide coats can add to fiber strength. The ability of aluminum alloys to wet TiC was successfully demonstrated using TiC-coated graphite surfaces. Pressure-infiltration of TiC- and ZrC-coated fiber tows with aluminum alloys was only partially successful. Experiments were performed to evaluate the effectiveness of carbide coats on carbon as barriers to prevent reaction between alluminum alloys and carbon. Initial results indicate that composites of aluminum and carbide-coated graphite are stable for long periods of time at temperatures near the alloy solidus.

  7. Study on helium-charged titanium films deposited by DC-magnetron sputtering

    International Nuclear Information System (INIS)

    Shi Liqun; Jin Qinhua; Liu Chaozhuo; Xu Shilin; Zhou Zhuying

    2005-01-01

    Helium trapping in the Ti films deposited by DC magnetron sputtering with a He/Ar mixture was studied. He atoms with a surprisingly high concentration (He/Ti atomic ratio is as high as 56%) incorporate evenly in deposited film. The trapped amount of He can be controlled by the helium partial amount. The introduction of the helium with no extra damage (or very low damage) can be realized by choosing suitable deposition conditions. It was also found that because of the formation of nanophase Ti film a relative high He flux for bubble formation is needed and the amount of the retaining He in sputtering Ti films is much higher than that in the coarse-grain Ti films. The nanophase Ti film can accommodate larger concentration of trapped sites to He, which results in a high density and small size of the He bubbles. With increasing He irradiation flux, the grain size of Ti film decreases and the lattice spacing and width of the X-ray diffraction peak increase due to the He introduction, and the film tends to amorphous phase. (authors)

  8. The properties of nanocomposite aluminium-silicon based thin films deposited by filtered arc deposition

    Energy Technology Data Exchange (ETDEWEB)

    Bendavid, A.; Martin, P.J.; Takikawa, H

    2002-12-02

    Thin films of aluminium silicon oxynitride have been deposited on conducting (100) silicon wafers by filtered arc deposition (FAD) under nitrogen and/or oxygen gas flow. The influence of the N{sub 2}/O{sub 2} flow ratio on the crystal structure, optical and mechanical properties has been investigated. The results of X-ray diffraction showed that the film structure comprised of an AlN crystallite with amorphous Si{sub 3}N{sub 4} and SiO{sub x}. The optical properties over the range of 350-800 nm were measured using spectroscopic ellipsometry and found to be strongly dependent on N{sub 2}/O{sub 2} flow ratio. The refractive index values of the films were measured to be in the range of 2.2-1.64 at a wavelength of 670 nm for oxygen flow range of 0-100%. The hardness of the films was found to be strongly dependent on the oxygen content in the film. The hardness range of the films was between 10 and 22 GPa and for the stress between 0.3 and 1.2 GPa.

  9. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager

    2017-03-15

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.

  10. Effect of protic solvents on CdS thin films prepared by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw; Chen, Chun-Yu

    2015-03-31

    In this study, cadmium sulfide (CdS) thin films are grown on glass substrates by chemical bath deposition (CBD) in an aqueous bath containing 10–20 vol.% alcohol. The roles of ethanol as a protic solvent that substantially improves the quality of films are explored extensively. The deposited films in an alcohol bath are found to be more compact and smoother with smaller CdS grains. The X-ray diffractograms of the samples confirm that all films were polycrystalline with mixed wurtzite (hexagonal) and zinkblende (cubic) phases. Raman spectra indicate that, for a film deposited in an alcohol bath, the position of 1LO is closer to the value for single crystal CdS, indicating that these films have a high degree of crystallinity. The as-deposited CdS thin films in a 10 vol.% alcohol bath were found to have the highest visible transmittance of 81.9%. XPS analysis reveals a stronger signal of C1s for samples deposited in the alcohol baths, indicating that there are more carbonaceous residues on the films with protic solvent than on the films with water. A higher XPS S/Cd atomic ratio for films deposited in an alcohol bath indicates that undesirable surface reactions (leading to sulfur containing compounds other than CdS) occur less frequently over the substrates. - Highlights: • Study of CBD-CdS films grown in an alcohol-containing aqueous bath is reported. • The deposited films in an alcohol bath are more compact with smaller CdS grains. • Raman spectra show that in an alcohol bath, the CdS film has a better crystallinity. • XPS reveals more carbon residues remain on the films deposited using alcohol bath. • In an alcohol bath, the undesirable surface reactions with Cd ions were hindered.

  11. Deposition of nanostructured photocatalytic zinc ferrite films using solution precursor plasma spraying

    International Nuclear Information System (INIS)

    Dom, Rekha; Sivakumar, G.; Hebalkar, Neha Y.; Joshi, Shrikant V.; Borse, Pramod H.

    2012-01-01

    Highlights: ► Highly economic solution precursor route capable of producing films/coating even for mass scale production. ► Pure spinel phase ZnFe 2 O 4 porous, immobilized films deposited in single step. ► Parameter optimization yields access to nanostructuring in SPPS method. ► The ecofriendly immobilized ferrite films were active under solar radiation. ► Such magnetic system display advantage w.r.t. recyclability after photocatalyst extraction. -- Abstract: Deposition of pure spinel phase, photocatalytic zinc ferrite films on SS-304 substrates by solution precursor plasma spraying (SPPS) has been demonstrated for the first time. Deposition parameters such as precursor solution pH, concentration, film thickness, plasma power and gun-substrate distance were found to control physico-chemical properties of the film, with respect to their crystallinity, phase purity, and morphology. Alkaline precursor conditions (7 2 O 4 film. Very high/low precursor concentrations yielded mixed phase, less adherent, and highly inhomogeneous thin films. Desired spinel phase was achieved in as-deposited condition under appropriately controlled spray conditions and exhibited a band gap of ∼1.9 eV. The highly porous nature of the films favored its photocatalytic performance as indicated by methylene blue de-coloration under solar radiation. These immobilized films display good potential for visible light photocatalytic applications.

  12. Effect of residual gas on structural, electrical and mechanical properties of niobium films deposited by magnetron sputtering deposition

    Science.gov (United States)

    Wang, Lanruo; Zhong, Yuan; Li, Jinjin; Cao, Wenhui; Zhong, Qing; Wang, Xueshen; Li, Xu

    2018-04-01

    Magnetron sputtering is an important method in the superconducting thin films deposition. The residual gas inside the vacuum chamber will directly affect the quality of the superconducting films. In this paper, niobium films are deposited by magnetron sputtering under different chamber residual gas conditions. The influence of baking and sputtering process on residual gas are studied as well. Surface morphology, electrical and mechanical properties of the films are analysed. The residual gas analysis result before the sputtering process could be regarded as a reference condition to achieve high quality superconducting thin films.

  13. Structural characterization of chemically deposited PbS thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.A.; Gonzalez-Alfaro, Y.; Larramendi, E.M.; Fonseca Filho, H.D.; Maia da Costa, M.E.H.; Freire, F.L.; Prioli, R.; Avillez, R.R. de; Silveira, E.F. da; Calzadilla, O.; Melo, O. de; Pedrero, E.; Hernandez, E.

    2007-01-01

    Polycrystalline thin films of lead sulfide (PbS) grown using substrate colloidal coating chemical bath depositions were characterized by RBS, XPS, AFM and GIXRD techniques. The films were grown on glass substrates previously coated with PbS colloidal particles in a polyvinyl alcohol solution. The PbS films obtained with the inclusion of the polymer showed non-oxygen-containing organic contamination. All samples maintained the Pb:S 1:1 stoichiometry throughout the film. The amount of effective nucleation centers and the mean grain size have being controlled by the substrate colloidal coating. The analysis of the polycrystalline PbS films showed that a preferable (1 0 0) lattice plane orientation parallel to the substrate surface can be obtained using a substrate colloidal coating chemical bath deposition, and the orientation increases when a layer of colloid is initially dried on the substrate

  14. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  15. Heat treatment of cathodic arc deposited amorphous hard carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Anders, S.; Ager, J.W. III; Brown, I.G. [and others

    1997-02-01

    Amorphous hard carbon films of varying sp{sup 2}/sp{sup 3} fractions have been deposited on Si using filtered cathodic are deposition with pulsed biasing. The films were heat treated in air up to 550 C. Raman investigation and nanoindentation were performed to study the modification of the films caused by the heat treatment. It was found that films containing a high sp{sup 3} fraction sustain their hardness for temperatures at least up to 400 C, their structure for temperatures up to 500 C, and show a low thickness loss during heat treatment. Films containing at low sp{sup 3} fraction graphitize during the heat treatment, show changes in structure and hardness, and a considerable thickness loss.

  16. Ammonia-free chemical bath method for deposition of microcrystalline cadmium selenide films

    International Nuclear Information System (INIS)

    Lokhande, C.D.; Lee, Eun-Ho; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    Chemical deposition of cadmium selenide (CdSe) films has been carried out from alkaline aqueous solution containing Cd 2+ and Se 2- ions. In general, the alkaline pH of the CdSe deposition bath has been adjusted by addition of liquid ammonia. However, the use of ammonia in large-scale chemical deposition method represents an environmental problem due to its volatility and toxicity. The volatility of ammonia changes the pH of deposition bath and results into irreproducible film properties. In the present paper, ammonia-free and weak alkaline (pH < 9.0) chemical method for cadmium selenide film has been developed. The cadmium selenide films are microcrystalline (grain size 0.5-0.7 μm) with hexagonal crystal structure. These films are photoactive and therefore, useful in photo conversion of light into electrical power

  17. Optimization of deposition conditions of CdS thin films using response surface methodology

    Energy Technology Data Exchange (ETDEWEB)

    Yücel, Ersin, E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Güler, Nuray [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Yücel, Yasin [Department of Chemistry, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)

    2014-03-15

    Highlights: • Statistical methods used for optimization of CdS deposition parameters. • The morphology of the films was smooth, homogeneous and continuous. • Optimal conditions found as pH 11, stirring speed:361 rpm and deposition time: 55 min. • CdS thin film band gap value was 2.72 eV under the optimum conditions. -- Abstract: Cadmium sulfide (CdS) thin films were prepared on glass substrates by chemical bath deposition (CBD) technique under different pH, stirring speed and deposition time. Response Surface Methodology (RSM) and Central Composite Design (CCD) were used to optimization of deposition parameters of the CdS thin films. RSM and CCD were also used to understand the significance and interaction of the factors affecting the film quality. Variables were determined as pH, stirring speed and deposition time. The band gap was chosen as response in the study. Influences of the variables on the band gap and the film quality were investigated. 5-level-3-factor central composite design was employed to evaluate the effects of the deposition conditions parameters such as pH (10.2–11.8), stirring speed (132–468 rpm) and deposition time (33–67 min) on the band gap of the films. The samples were characterized using X-ray diffraction (XRD), scanning electron microscope (SEM) and ultraviolet–visible spectroscopy (UV–vis) measurements. The optimal conditions for the deposition parameters of the CdS thin films have been found to be: pH 11, 361 of stirring speed and 55 min of deposition time. Under the optimal conditions theoretical (predicted) band gap of CdS (2.66 eV) was calculated using optimal coded values from the model and the theoretical value is good agreement with the value (2.72 eV) obtained by verification experiment.

  18. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  19. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  20. Ultra-thin film encapsulation processes for micro-electro-mechanical devices and systems

    International Nuclear Information System (INIS)

    Stoldt, Conrad R; Bright, Victor M

    2006-01-01

    A range of physical properties can be achieved in micro-electro-mechanical systems (MEMS) through their encapsulation with solid-state, ultra-thin coatings. This paper reviews the application of single source chemical vapour deposition and atomic layer deposition (ALD) in the growth of submicron films on polycrystalline silicon microstructures for the improvement of microscale reliability and performance. In particular, microstructure encapsulation with silicon carbide, tungsten, alumina and alumina-zinc oxide alloy ultra-thin films is highlighted, and the mechanical, electrical, tribological and chemical impact of these overlayers is detailed. The potential use of solid-state, ultra-thin coatings in commercial microsystems is explored using radio frequency MEMS as a case study for the ALD alloy alumina-zinc oxide thin film. (topical review)

  1. Growth and characterization of indium tin oxide thin films deposited on PET substrates

    International Nuclear Information System (INIS)

    Lee, Jaehyeong; Jung, Hakkee; Lee, Jongin; Lim, Donggun; Yang, Keajoon; Yi, Junsin; Song, Woo-Chang

    2008-01-01

    Transparent and conductive indium tin oxide (ITO) thin films were deposited onto polyethylene terephthalate (PET) by d.c. magnetron sputtering as the front and back electrical contact for applications in flexible displays and optoelectronic devices. In addition, ITO powder was used for sputter target in order to reduce the cost and time of the film formation processes. As the sputtering power and pressure increased, the electrical conductivity of ITO films decreased. The films were increasingly dark gray colored as the sputtering power increased, resulting in the loss of transmittance of the films. When the pressure during deposition was higher, however, the optical transmittance improved at visible region of light. ITO films deposited onto PET have shown similar optical transmittance and electrical resistivity, in comparison with films onto glass substrate. High quality films with resistivity as low as 2.5 x 10 -3 Ω cm and transmittance over 80% have been obtained on to PET substrate by suitably controlling the deposition parameters

  2. Properties of spray-deposited liquid-phase exfoliated graphene films

    Science.gov (United States)

    Sales, Maria Gabriela C.; Dela Vega, Ma. Shanlene D. C.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    In this study, we demonstrate the feasibility of spray-depositing exfoliated graphene on flexible polyimide (PI) and rigid (soda lime glass) substrates for optoelectronic applications. The water contact angles of the substrates increased by 13% (for PI) and 49% (for glass) when the surfaces are pretreated with hexamethyldisiloxane, which significantly improved the adhesion of the films. Raman spectral analyses confirmed a minimum of 15 and a maximum of 23 layers of exfoliated graphene deposited on the substrates. After deposition, the films were exposed to 13.56 MHz radio-frequency plasma containing an admixture of argon and nitrogen gases. Plasma treatment modified the electrical properties with a response analogous to that of a rectifier. A 39% increase in transmittance in the visible region was also observed especially for glass substrates after plasma treatment without a significant change in film electrical conductivity.

  3. Deposition of thin films by retardation of an isotope separator beam

    International Nuclear Information System (INIS)

    Colligon, J.S.; Grant, W.A.; Williams, J.S.; Lawson, R.P.W.

    1976-01-01

    An ion optical lens system capable of retarding and focusing a mass-analysed ion beam, produced in the University of Salford isotope separator, from an energy of 20 keV to 50-60 eV is described. Using this system it is technically feasible to deposit spectroscopically pure ions of all species onto a substrate to produce thin film for devices and junctions. Preliminary investigations of the technique have been carried out using lead and copper ions which were deposited onto silicon single-crystal substrates. These ions were selected because their high mass relative to silicon allowed analyses of the deposited films by low-angle Rutherford backscattering of 2 MeV He ions; the single-crystal silicon substrate enabled the extent of damage due to unretarded neutral particles to be estimated from channelling data. Results for lead films showed that films less than 150 A in thickness were discontinuous and scanning electron microscopy confirmed their 'island' structure. For thicker deposits, of order 600 A, the films were continuous. Results are also presented for copper-lead sandwich layers produced by successive depositions. Channelling experiments indicated that the neutral component was less than 5% of the total ion-beam intensity. Investigations of the spatial distribution of the lead films indicated a non-uniformity which, it is suggested, arises from a fault in the retardation lens design. (author)

  4. A study of the chemical, mechanical, and surface properties of thin films of hydrogenated amorphous carbon

    Energy Technology Data Exchange (ETDEWEB)

    Vandentop, G.J.

    1990-07-01

    Amorphous hydrogenated carbon (a-C:H) films were studied with the objective of elucidating the nucleation and growth mechanisms, and the origin of their unique physical properties. The films were deposited onto Si(100) substrates both on the powered (negatively self-biased) and on the grounded electrodes from methane in an rf plasma (13.56 MHz) at 65 mTorr and 300 to 370 K. The films produced at the powered electrode exhibited superior mechanical properties, such as high hardness. A mass spectrometer was used to identify neutral species and positive ions incident on the electrodes from the plasma, and also to measure ion energies. The effect of varying ion energy flux on the properties of a-C:H films was investigated using a novel pulsed biasing technique. It was demonstrated that ions were not the dominant deposition species as the total ion flux measured was insufficient to account for the observed deposition rate. The interface between thin films of a-C:H and silicon substrates was investigated using angle resolved x-ray photoelectron spectroscopy. A silicon carbide layer was detected at the interface of a hard a-C:H film formed at the powered electrode. At the grounded electrode, where the kinetic energy is low, no interfacial carbide layer was observed. Scanning tunneling microscopy and high energy electron energy loss spectroscopy was used to investigate the initial stages of growth of a-C:H films. On graphite substrates, films formed at the powered electrode were observed to nucleate in clusters approximately 50 {Angstrom} in diameter, while at the grounded electrode no cluster formation was observed. 58 figs.

  5. Fracture and Residual Characterization of Tungsten Carbide Cobalt Coatings on High Strength Steel

    National Research Council Canada - National Science Library

    Parker, Donald S

    2003-01-01

    Tungsten carbide cobalt coatings applied via high velocity oxygen fuel thermal spray deposition are essentially anisotropic composite structures with aggregates of tungsten carbide particles bonded...

  6. Pure and Sn-doped ZnO films produced by pulsed laser deposition

    DEFF Research Database (Denmark)

    Holmelund, E.; Schou, Jørgen; Tougaard, S.

    2002-01-01

    A new technique, metronome doping, has been used for doping of films during pulsed laser deposition (PLD). This technique makes it possible to dope continuously during film growth with different concentrations of a dopant in one deposition sequence. Films of pure and doped ZnO have been produced...

  7. Excimer pulsed laser deposition and annealing of YSZ nanometric films on Si substrates

    International Nuclear Information System (INIS)

    Caricato, A.P.; Barucca, G.; Di Cristoforo, A.; Leggieri, G.; Luches, A.; Majni, G.; Martino, M.; Mengucci, P.

    2005-01-01

    We report experimental results obtained for electrical and structural characteristics of yttria-stabilised zirconia (YSZ) thin films deposited by pulsed laser deposition (PLD) on Si substrates at room temperature. Some samples were submitted to thermal treatments in different ambient atmospheres (vacuum, N 2 and O 2 ) at a moderate temperature. The effects of thermal treatments on the film electrical properties were studied by C-V and I-V measurements. Structural characteristics were obtained by X-ray diffraction (XRD), X-ray reflectivity (XRR) and transmission electron microscopy (TEM) analyses. The as-deposited film was amorphous with an in-depth non-uniform density. The annealed films became polycrystalline with a more uniform density. The sample annealed in O 2 was uniform over all the thickness. Electrical characterisation showed large hysteresis, high leakage current and positive charges trapped in the oxide in the as-deposited film. Post-deposition annealing, especially in O 2 atmosphere, improved considerably the electrical properties of the films

  8. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  9. Fabrication of Antireflection Nanodiamond Particle Film by the Spin Coating Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available Diamond-based antireflective (AR coatings were fabricated using a spin coating of diamond suspension at room temperature as nucleation enhancement procedure and microwave plasma enhanced chemical vapour deposition. Various working pressures were used to investigate their effect on the optical characterization of the as-deposited diamond films. Scanning electron microscopy (SEM and atomic forced microscopy (AFM were employed to analyze the surface properties of the diamond films. Raman spectra and transmission electron microscopy (TEM also were used for analysis of the microstructure of the films. The results showed that working pressure had a significant effect on thickness, surface roughness, and wettability of the as-deposited diamond films. Deposited under 35 Torr or working pressure, the film possessed a low surface roughness of 13.8 nm and fine diamond grain sizes of 35 nm. Reflectance measurements of the films also were carried out using UV-Vis spectrometer and revealed a low reflectance value of the diamond films. The achievement demonstrated feasibility of the proposed spin-coating procedure for large scale production and thus opens up a prospect application of diamond film as an AR coating in industrial optoelectronic device.

  10. Fundamental Mechanisms of Roughening and Smoothing During Thin Film Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Headrick, Randall [Univ. of Vermont, Burlington, VT (United States)

    2016-03-18

    In this research program, we have explored the fundamental limits for thin film deposition in both crystalline and amorphous (i.e. non-crystalline) materials systems. For vacuum-based physical deposition processes such as sputter deposition, the background gas pressure of the inert gas (usually argon) used as the process gas has been found to be a key variable. Both a roughness transition and stress transition as a function of pressure have been linked to a common mechanism involving collisions of energetic particles from the deposition source with the process inert gas. As energetic particles collide with gas molecules in the deposition process they lose their energy rapidly if the pressure (and background gas density) is above a critical value. Both roughness and stress limit important properties of thin films for applications. In the area of epitaxial growth we have also discovered a related effect; there is a critical pressure below which highly crystalline layers grow in a layer-by-layer mode. This effect is also though to be due to energetic particle thermalization and scattering. Several other important effects such as the observation of coalescence dominated growth has been observed. This mode can be likened to the behavior of two-dimensional water droplets on the hood of a car during a rain storm; as the droplets grow and touch each other they tend to coalesce rapidly into new larger circular puddles, and this process proceeds exponentially as larger puddles overtake smaller ones and also merge with other large puddles. This discovery will enable more accurate simulations and modeling of epitaxial growth processes. We have also observed that epitaxial films undergo a roughening transition as a function of thickness, which is attributed to strain induced by the crystalline lattice mismatch with the substrate crystal. In addition, we have studied another physical deposition process called pulsed laser deposition. It differs from sputter deposition due to the

  11. Cobalt Xanthate Thin Film with Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    İ. A. Kariper

    2013-01-01

    Full Text Available Cobalt xanthate thin films (CXTFs were successfully deposited by chemical bath deposition, onto amorphous glass substrates, as well as on p- and n-silicon, indium tin oxide, and poly(methyl methacrylate. The structure of the films was analyzed by far-infrared spectrum (FIR, mid-infrared (MIR spectrum, nuclear magnetic resonance (NMR, and scanning electron microscopy (SEM. These films were investigated from their structural, optical, and electrical properties point of view. Electrical properties were measured using four-point method, whereas optical properties were investigated via UV-VIS spectroscopic technique. Uniform distribution of grains was clearly observed from the photographs taken by scanning electron microscope (SEM. The transmittance was about 70–80% (4 hours, 50°C. The optical band gap of the CXTF was graphically estimated to be 3.99–4.02 eV. The resistivity of the films was calculated as 22.47–75.91 Ω·cm on commercial glass depending on film thickness and 44.90–73.10 Ω ·cm on the other substrates. It has been observed that the relative resistivity changed with film thickness. The MIR and FIR spectra of the films were in agreement with the literature analogues. The expected peaks of cobalt xanthate were observed in NMR analysis on glass. The films were dipped in chloroform as organic solvent and were analyzed by NMR.

  12. Thermal conductivity of nitride films of Ti, Cr, and W deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Jagannadham, Kasichainula

    2015-01-01

    Nitride films of Ti, Cr, and W were deposited using reactive magnetron sputtering from metal targets in argon and nitrogen plasma. TiN films with (200) orientation were achieved on silicon (100) at the substrate temperature of 500 and 600 °C. The films were polycrystalline at lower temperature. An amorphous interface layer was observed between the TiN film and Si wafer deposited at 600 °C. TiN film deposited at 600 °C showed the nitrogen to Ti ratio to be near unity, but films deposited at lower temperature were nitrogen deficient. CrN film with (200) orientation and good stoichiometry was achieved at 600 °C on Si(111) wafer but the film deposited at 500 °C showed cubic CrN and hexagonal Cr 2 N phases with smaller grain size and amorphous back ground in the x-ray diffraction pattern. An amorphous interface layer was not observed in the cubic CrN film on Si(111) deposited at 600 °C. Nitride film of tungsten deposited at 600 °C on Si(100) wafer was nitrogen deficient, contained both cubic W 2 N and hexagonal WN phases with smaller grain size. Nitride films of tungsten deposited at 500 °C were nonstoichiometric and contained cubic W 2 N and unreacted W phases. There was no amorphous phase formed along the interface for the tungsten nitride film deposited at 600 °C on the Si wafer. Thermal conductivity and interface thermal conductance of all the nitride films of Ti, Cr, and W were determined by transient thermoreflectance technique. The thermal conductivity of the films as function of deposition temperature, microstructure, nitrogen stoichiometry and amorphous interaction layer at the interface was determined. Tungsten nitride film containing both cubic and hexagonal phases was found to exhibit much higher thermal conductivity and interface thermal conductance. The amorphous interface layer was found to reduce effective thermal conductivity of TiN and CrN films

  13. Novel semiconducting boron carbide/pyridine polymers for neutron detection at zero bias

    Energy Technology Data Exchange (ETDEWEB)

    Echeverria, Elena; Enders, A.; Dowben, P.A. [University of Nebraska-Lincoln, Department of Physics and Astronomy, Lincoln, NE (United States); James, Robinson; Chiluwal, Umesh; Gapfizi, Richard; Tae, Jae-Do; Driver, M. Sky; Kelber, Jeffry A. [University of North Texas, Department of Chemistry, Denton, TX (United States); Pasquale, Frank L. [University of North Texas, Department of Chemistry, Denton, TX (United States); Lam Research Corporation, PECVD Business Unit, Tualatin, OR (United States); Colon Santana, Juan A. [Center for Energy Sciences Research, Lincoln, NE (United States)

    2014-09-19

    Thin films containing aromatic pyridine moieties bonded to boron, in the partially dehydrogenated boron-rich icosahedra (B{sub 10}C{sub 2}H{sub X}), prove to be an effective material for neutron detection applications when deposited on n-doped (100) silicon substrates. The characteristic I-V curves for the heterojunction diodes exhibit strong rectification and largely unperturbed normalized reverse bias leakage currents with increasing pyridine content. The neutron capture generated pulses from these heterojunction diodes were obtained at zero bias voltage although without the signatures of complete electron-hole collection. These results suggest that modifications to boron carbide may result in better neutron voltaic materials. (orig.)

  14. Hydrogen insertion in titanium carbide based thin films (nc-TiC{sub x}/a-C:H) - comparison with bulk TiC{sub x}

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Julien; Jaoul, Cédric, E-mail: jaoul@ensil.unilim.fr; Glandut, Nicolas; Lefort, Pierre

    2016-08-01

    Nanocomposites composed of titanium carbide nanosized grains embedded in an amorphous hydrogenated carbon matrix (nc-TiC{sub x}/a-C:H) are prepared by hybrid Magnetron Sputtering - PECVD process using a titanium metal target and gaseous C{sub 6}H{sub 6}. By controlling the benzene flow rate, thin films with different carbon content are obtained. The structures of nc-TiC{sub x}/a-C:H materials are analyzed by X-ray diffraction, X-ray photoelectron and Raman spectroscopic methods. The electrochemical hydrogen insertion, as studied by cyclic voltammetry, strongly depends on the carbon content in the thin films. The correlation between the hydrogen insertion ability and the structure of materials are discussed. Furthermore, we show that the hydrogen insertion in these thin films reaches values much more significant than in bulk substoichiometric titanium carbide obtained by reactive sintering. - Highlights: • nc-TiC{sub x}/a-C:H thin films are prepared hybrid Magnetron Sputtering - PECVD process. • Different carbon contents are obtained by changing the hydrocarbon flowrate. • Expanded lattice parameter of the TiC{sub x} phase and a-C:H phase are observed. • Electrochemical hydrogen insertion strongly depends on the carbon content. • The maximum insertion is 22 times more important than bulk TiC{sub x}.

  15. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  16. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  17. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  18. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  19. Synthesis and characterization of thin films of nitrided amorphous carbon deposited by laser ablation

    International Nuclear Information System (INIS)

    Rebollo P, B.

    2001-01-01

    The objective of this work is the synthesis and characterization of thin films of amorphous carbon (a-C) and thin films of nitrided amorphous carbon (a-C-N) using the laser ablation technique for their deposit. For this purpose, the physical properties of the obtained films were studied as function of diverse parameters of deposit such as: nitrogen pressure, power density, substrate temperature and substrate-target distance. For the characterization of the properties of the deposited thin films the following techniques were used: a) Raman spectroscopy which has demonstrated being a sensitive technique to the sp 2 and sp 3 bonds content, b) Energy Dispersive Spectroscopy which allows to know semi-quantitatively way the presence of the elements which make up the deposited films, c) Spectrophotometry, for obtaining the absorption spectra and subsequently the optical energy gap of the deposited material, d) Ellipsometry for determining the refraction index, e) Scanning Electron Microscopy for studying the surface morphology of thin films and, f) Profilemetry, which allows the determination the thickness of the deposited thin films. (Author)

  20. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  1. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  2. Pulsed laser deposition of AlMgB14 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Britson, Jason Curtis [Iowa State Univ., Ames, IA (United States)

    2008-11-18

    Hard, wear-resistant coatings of thin film borides based on AlMgB14 have the potential to be applied industrially to improve the tool life of cutting tools and pump vanes and may account for several million dollars in savings as a result of reduced wear on these parts. Past work with this material has shown that it can have a hardness of up to 45GPa and be fabricated into thin films with a similar hardness using pulsed laser deposition. These films have already been shown to be promising for industrial applications. Cutting tools coated with AlMgB14 used to mill titanium alloys have been shown to substantially reduce the wear on the cutting tool and extend its cutting life. However, little research into the thin film fabrication process using pulsed laser deposition to make AlMgB14 has been conducted. In this work, research was conducted into methods to optimize the deposition parameters for the AlMgB14 films. Processing methods to eliminate large particles on the surface of the AlMgB14 films, produce films that were at least 1m thick, reduce the surface roughness of the films, and improve the adhesion of the thin films were investigated. Use of a femtosecond laser source rather than a nanosecond laser source was found to be effective in eliminating large particles considered detrimental to wear reduction properties from the films. Films produced with the femtosecond laser were also found to be deposited at a rate 100 times faster than those produced with the nanosecond laser. However, films produced with the femtosecond laser developed a relatively high RMS surface roughness around 55nm. Attempts to decrease the surface roughness were largely unsuccessful. Neither increasing the surface temperature of the substrate during deposition nor using a double pulse to ablate the material was found to be extremely successful to reduce the surface roughness. Finally, the adhesion of the thin films to M2 tool steel

  3. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    International Nuclear Information System (INIS)

    He, Y.Y.; Zhang, G.F.; Zhao, Y.; Liu, D.D.; Cong, Y.; Buck, V.

    2015-01-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect

  4. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    Energy Technology Data Exchange (ETDEWEB)

    He, Y.Y. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Zhang, G.F. [School of Materials Science and Engineering, Dalian University of Technology, 116024, Dalian China (China); Zhao, Y.; Liu, D.D. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Cong, Y., E-mail: congyan@ciomp.ac.cn [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Buck, V. [Thin Film Technology Group, Faculty of Physics, University Duisburg-Essen and CeNIDE, 47057 Duisburg (Germany)

    2015-09-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect.

  5. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  6. Pulsed laser deposition of Cu-Sn-S for thin film solar cells

    DEFF Research Database (Denmark)

    Ettlinger, Rebecca Bolt; Crovetto, Andrea; Bosco, Edoardo

    Thin films of copper tin sulfide were deposited from a target of the stoichiometry Cu:Sn:S ~1:2:3 using pulsed laser deposition (PLD). Annealing with S powder resulted in films close to the desired Cu2SnS3 stoichiometry although the films remained Sn rich. Xray diffraction showed that the final...... films contained both cubic-phase Cu2SnS3 and orthorhombic-phase SnS...

  7. Spectroscopy and structural properties of amorphous and nanocrystalline silicon carbide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Halindintwali, Sylvain; Knoesen, D.; Julies, B.A.; Arendse, C.J.; Muller, T. [University of the Western Cape, Private Bag X17, Bellville 7535 (South Africa); Gengler, Regis Y.N.; Rudolf, P.; Loosdrecht, P.H.M. van [Zernike Institute for Advanced Materials, University of Groningen, 9747 AG Groningen (Netherlands)

    2011-09-15

    Amorphous SiC:H thin films were grown by hot wire chemical vapour deposition from a SiH{sub 4}/CH{sub 4}/H{sub 2} mixture at a substrate temperature below 400 C. Thermal annealing in an argon environment up to 900 C shows that the films crystallize as {mu}c-Si:H and SiC with a porous microstructure that favours an oxidation process. By a combination of spectroscopic tools comprising Fourier transform infrared, Raman scattering and X-rays photoelectron spectroscopy we show that the films evolve from the amorphous SiH{sub x}/SiCH{sub 2} structure to nanocrystalline Si and SiC upon annealing at a temperature of 900 C. A strong RT photoluminescence peak of similar shape has been observed at around 420 nm in both as-deposited and annealed samples. Time-resolved luminescence measurements reveal that this peak is fast decaying with lifetimes ranging from 0.5 to {proportional_to}1.1 ns. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  9. Characterization of transparent silica films deposited on polymeric materials

    International Nuclear Information System (INIS)

    Teshima, K.; Sugimura, H.; Inoue, Y.; Takai, O.

    2002-01-01

    Silica films were synthesized by capacitively coupled RF PECVD using mixtures of organo-silane and oxygen as a source. The chemical bonding states and compositions of the films deposited were evaluated with FTIR and XPS. Film surfaces and cross-sections were observed by SEM. Oxygen transmission rates (OTR) of the films coated on polyethylene terephthalate (PET) substrates were measured by an isopiestic method. (Authors)

  10. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    Science.gov (United States)

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  11. Direct current magnetron sputtering deposition of InN thin films

    International Nuclear Information System (INIS)

    Cai Xingmin; Hao Yanqing; Zhang Dongping; Fan Ping

    2009-01-01

    In this paper, InN thin films were deposited on Si (1 0 0) and K9 glass by reactive direct current magnetron sputtering. The target was In metal with the purity of 99.999% and the gases were Ar (99.999%) and N 2 (99.999%). The properties of InN thin films were studied. Scanning electron microscopy (SEM) shows that the film surface is very rough and energy dispersive X-ray spectroscopy (EDX) shows that the film contains In, N and very little O. X-ray diffraction (XRD) and Raman scattering reveal that the film mainly contains hexagonal InN. The four-probe measurement shows that InN film is conductive. The transmission measurement demonstrates that the transmission of InN deposited on K9 glass is as low as 0.5% from 400 nm to 800 nm.

  12. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  13. Thermal cycling characteristics of plasma synthesized mullite films

    Energy Technology Data Exchange (ETDEWEB)

    Monteiro, O.R.; Hou, P.Y.; Brown, I.G. [Lawrence Berkeley National Lab., CA (United States)

    1997-12-01

    The authors have developed a plasma-based technique for the synthesis of mullite and mullite-like films on silicon carbide substrate material. The method, which they refer to as MePIIID (for Metal Plasma Immersion Ion Implantation and Deposition), uses two vacuum arc plasma sources and simultaneous pulse biasing of the substrate in a low pressure oxygen atmosphere. The Al:Si ratio can be controlled via the separate plasma guns, and the film adhesion, structure and morphology can be controlled via the ion energy which in turn is controlled by the pulse bias voltage. The films are amorphous as-deposited, and crystalline mullite is formed by subsequent annealing at 1000 C for 2 hours in air. Adhesion between the aluminum-silicon oxide film and the substrate increases after this first annealing. They have tested the behavior of films when subjected to repetitive thermal cycling between room temperature and 1100 C, and found that the films retain their adhesion and quality. Here they review the plasma synthesis technique and the characteristics of the mullite films prepared in this way, and summarize the status of the thermal cycling experiments.

  14. Optoelectronic properties of cadmium sulfide thin films deposited by thermal evaporation technique

    International Nuclear Information System (INIS)

    Ali, N.; Iqbal, M.A.; Hussain, S.T.; Waris, M.; Munair, S.A.

    2011-01-01

    The substrate temperature in depositions of thin films plays a vital role in the characteristics of deposited films. We studied few characteristics of cadmium sulphide thin film deposited at different temperature (150 deg. C- 300 deg. C) on corning 7059 glass substrate. We measured transmittance, absorbance, band gap and reflectance via UV spectroscopy. It was found that the transmittance for 300 nm to 1100 nm was greater than 80%. The resistivity and mobility was calculated by Vander Pauw method which were 10-80 cm and 2-60 cm/sup 2/V/sup -1/S/sup -1/ respectively. The thermoelectric properties of the film were measured by hot and cold probe method which shows the N-type nature of the film. (author)

  15. Photoemission Spectroscopy Characterization of Attempts to Deposit MoO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Irfan

    2011-01-01

    Full Text Available Attempts to deposit molybdenum dioxide (MoO2 thin films have been described. Electronic structure of films, deposited by thermal evaporation of MoO2 powder, had been investigated with ultraviolet photoemission and X-ray photoemission spectroscopy (UPS and XPS. The thermally evaporated films were found to be similar to the thermally evaporated MoO3 films at the early deposition stage. XPS analysis of MoO2 powder reveals presence of +5 and +6 oxidation states in Mo 3d core level along with +4 state. The residue of MoO2 powder indicates substantial reduction in higher oxidation states while keeping +4 oxidation state almost intact. Interface formation between chloroaluminum phthalocyanine (AlPc-Cl and the thermally evaporated film was also investigated.

  16. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  17. Ion-assisted deposition of thin films

    International Nuclear Information System (INIS)

    Barnett, S.A.; Choi, C.H.; Kaspi, R.; Millunchick, J.M.

    1993-01-01

    Recent work on low-energy ion-assisted deposition of epitaxial films is reviewed. Much of the recent interest in this area has been centered on the use of very low ion energies (∼ 25 eV) and high fluxes (> 1 ion per deposited atom) obtained using novel ion-assisted deposition techniques. These methods have been applied in ultra-high vacuum, allowing the preparation of high-purity device-quality semiconductor materials. The following ion-surface interaction effects during epitaxy are discussed: improvements in crystalline perfection during low temperature epitaxy, ion damage, improved homogeneity and properties in III-V alloys grown within miscibility gaps, and changes in nucleation mechanism during heteroepitaxial growth

  18. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  19. Characterizing the Effect of Laser Power on Laser Metal Deposited Titanium Alloy and Boron Carbide

    Science.gov (United States)

    Akinlabi, E. T.; Erinosho, M. F.

    2017-11-01

    Titanium alloy has gained acceptance in the aerospace, marine, chemical, and other related industries due to its excellent combination of mechanical and corrosion properties. In order to augment its properties, a hard ceramic, boron carbide has been laser cladded with it at varying laser powers between 0.8 and 2.4 kW. This paper presents the effect of laser power on the laser deposited Ti6Al4V-B4C composites through the evolving microstructures and microhardness. The microstructures of the composites exhibit the formation of α-Ti phase and β-Ti phase and were elongated towards the heat affected zone. These phases were terminated at the fusion zone and globular microstructures were found growing epitaxially just immediately after the fusion zone. Good bondings were formed in all the deposited composites. Sample A1 deposited at a laser power of 0.8 kW and scanning speed of 1 m/min exhibits the highest hardness of HV 432 ± 27, while sample A4 deposited at a laser power of 2.0 kW and scanning speed of 1 m/min displays the lowest hardness of HV 360 ± 18. From the hardness results obtained, ceramic B4C has improved the mechanical properties of the primary alloy.

  20. An in-situ chemical reaction deposition of nanosized wurtzite CdS thin films

    International Nuclear Information System (INIS)

    Chu Juan; Jin Zhengguo; Cai Shu; Yang Jingxia; Hong Zhanglian

    2012-01-01

    Nanocrystalline CdS thin films were deposited on glass substrates by an ammonia-free in-situ chemical reaction synthesis technique using cadmium cationic precursor solid films as reaction source and sodium sulfide based solutions as anionic reaction medium. Effects of ethanolamine addition to the cadmium cationic precursor solid films, deposition cycle numbers and annealing treatments in Ar atmosphere on structure, morphology, chemical composition and optical properties of the resultant films were investigated by X-ray diffraction, field emission scanning electron microscope, energy dispersive X-ray analysis and UV–Vis spectra measurements. The results show that CdS thin films deposited by the in-situ chemical reaction synthesis have wurtzite structure with (002) plane preferential orientation and crystallite size is in the range of 16 nm–19 nm. The growth of film thickness is almost constant with deposition cycle numbers and about 96 nm per cycle.

  1. Research of morphology and structure of 3C–SiC thin films on silicon by electron microscopy and X-ray diffractometry

    Directory of Open Access Journals (Sweden)

    Alexander S. Gusev

    2015-12-01

    Full Text Available Thin films of silicon carbide possessing unique properties attract increasing attention of researchers both in the field of semiconductor physics and in the technology of new semiconductor devices for high power, RF and optoelectronics. The growth of the production of silicon carbide based devices promotes the search for more resource saving and safe SiC layer synthesis technologies. Potential method is pulse laser deposition (PLD in vacuum. This technology does not require the use of chemically aggressive and explosive gases and allows forming thin and continuous coatings with thicknesses of from several nanometers at relatively low substrate temperatures. Submicron thickness silicon carbide films have been grown on single crystal silicon by vacuum laser ablation of a ceramic target. The physical and technological parameters of silicon carbide thin film low temperature synthesis by PLD have been studied and, in particular, the effect of temperature and substrate crystalline orientation on the composition, structural properties and morphology of the surface of the experimental specimens has been analyzed. At above 500 °C the crystalline β-SiC phase forms on Si (100 and (111. At a substrate temperature of 950 °C the formation of textured heteroepitaxial 3C–SiC films was observed.

  2. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  3. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    Science.gov (United States)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  4. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  5. Sputter-deposited Mg-Al-O thin films: linking molecular dynamics simulations to experiments

    International Nuclear Information System (INIS)

    Georgieva, V; Bogaerts, A; Saraiva, M; Depla, D; Jehanathan, N; Lebelev, O I

    2009-01-01

    Using a molecular dynamics model the crystallinity of Mg x Al y O z thin films with a variation in the stoichiometry of the thin film is studied at operating conditions similar to the experimental operating conditions of a dual magnetron sputter deposition system. The films are deposited on a crystalline or amorphous substrate. The Mg metal content in the film ranged from 100% (i.e. MgO film) to 0% (i.e. Al 2 O 3 film). The radial distribution function and density of the films are calculated. The results are compared with x-ray diffraction and transmission electron microscopy analyses of experimentally deposited thin films by the dual magnetron reactive sputtering process. Both simulation and experimental results show that the structure of the Mg-Al-O film varies from crystalline to amorphous when the Mg concentration decreases. It seems that the crystalline Mg-Al-O films have a MgO structure with Al atoms in between.

  6. Defect studies of ZnO films prepared by pulsed laser deposition on various substrates

    International Nuclear Information System (INIS)

    Melikhova, O; Čížek, J; Procházka, I; Kužel, R; Novotný, M; Bulír, J; Lancok, J; Anwand, W; Brauer, G; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P

    2013-01-01

    ZnO thin films deposited on various substrates were characterized by slow positron implantation spectroscopy (SPIS) combined with X-ray diffraction (XRD). All films studied exhibit wurtzite structure and crystallite size 20–100 nm. The mosaic spread of crystallites is relatively small for the films grown on single crystalline substrates while it is substantial for the film grown on amorphous substrate. SPIS investigations revealed that ZnO films deposited on single crystalline substrates exhibit significantly higher density of defects than the film deposited on amorphous substrate. This is most probably due to a higher density of misfit dislocations, which compensate for the lattice mismatch between the film and the substrate.

  7. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  8. Stripe domains and magnetoresistance in thermally deposited nickel films

    International Nuclear Information System (INIS)

    Sparks, P.D.; Stern, N.P.; Snowden, D.S.; Kappus, B.A.; Checkelsky, J.G.; Harberger, S.S.; Fusello, A.M.; Eckert, J.C.

    2004-01-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17 nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21±0.02 up to 120 nm thickness. There is a negative magnetoresistance for fields out of the plane

  9. Stripe domains and magnetoresistance in thermally deposited nickel films

    Science.gov (United States)

    Sparks, P. D.; Stern, N. P.; Snowden, D. S.; Kappus, B. A.; Checkelsky, J. G.; Harberger, S. S.; Fusello, A. M.; Eckert, J. C.

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21+/-0.02 up to 120nm thickness. There is a negative magnetoresistance for fields out of the plane.

  10. Stripe domains and magnetoresistance in thermally deposited nickel films

    Energy Technology Data Exchange (ETDEWEB)

    Sparks, P.D. E-mail: sparks@hmc.edu; Stern, N.P.; Snowden, D.S.; Kappus, B.A.; Checkelsky, J.G.; Harberger, S.S.; Fusello, A.M.; Eckert, J.C

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17 nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21{+-}0.02 up to 120 nm thickness. There is a negative magnetoresistance for fields out of the plane.

  11. Preparation of fiber reinforced titanium diboride and boron carbide composite bodies

    International Nuclear Information System (INIS)

    Newkirk, L.R.; Riley, R.E.; Sheinberg, H.; Valencia, F.A.; Wallace, T.C.

    1979-01-01

    A process is described for uniformly infiltrating woven carbon cloth with either titanium diboride or boron carbide at reduced pressure (15 to 25 torr). The effects of deposition temperature on the uniformity of penetration and on coating rate are described for temperatures from 750 to 1000 0 C and deposit loadings from 20 to 43 vol. %. For the boron carbides, boron composition is discussed and evidence is presented suggesting that propene is the dominant rate controlling reactant

  12. In situ thermal imaging and three-dimensional finite element modeling of tungsten carbide-cobalt during laser deposition

    International Nuclear Information System (INIS)

    Xiong Yuhong; Hofmeister, William H.; Cheng Zhao; Smugeresky, John E.; Lavernia, Enrique J.; Schoenung, Julie M.

    2009-01-01

    Laser deposition is being used for the fabrication of net shapes from a broad range of materials, including tungsten carbide-cobalt (WC-Co) cermets (composites composed of a metallic phase and a hard refractory phase). During deposition, an unusual thermal condition is created for cermets, resulting in rather complex microstructures. To provide a fundamental insight into the evolution of such microstructures, we studied the thermal behavior of WC-Co cermets during laser deposition involving complementary results from in situ high-speed thermal imaging and three-dimensional finite element modeling. The former allowed for the characterization of temperature gradients and cooling rates in the vicinity of the molten pool, whereas the latter allowed for simulation of the entire sample. By combining the two methods, a more robust analysis of the thermal behavior was achieved. The model and the imaging results correlate well with each other and with the alternating sublayers observed in the microstructure.

  13. Deposition of Ge{sub 23}Sb{sub 7}S{sub 70} chalcogenide glass films by electrospray

    Energy Technology Data Exchange (ETDEWEB)

    Novak, Spencer, E-mail: spencen@g.clemson.edu [Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, SC (United States); College of Optics and Photonics, CREOL, University of Central FL (United States); Johnston, Danvers E.; Li, Cheng; Deng, Weiwei [Department of Mechanical and Aerospace Engineering, University of Central FL (United States); Richardson, Kathleen [Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, SC (United States); College of Optics and Photonics, CREOL, University of Central FL (United States)

    2015-08-03

    Solution-based chalcogenide glass films, traditionally deposited by spin-coating, are attractive for their potential use in chip-based devices operating in the mid-infrared and for ease of nanostructure incorporation. To overcome limitations of spin-coating such as excessive material waste and difficulty for scale-up, this paper introduces electrospray as a film deposition technique for solution-based chalcogenide glasses. Electrospray is shown to produce Ge{sub 23}Sb{sub 7}S{sub 70} films with similar surface quality and optical properties as films deposited by spin-coating. The advantages of electrospray deposition for nanoparticle dispersion, scalable and continuous manufacturing with little material waste, and comparable film quality to spin-coating make electrospray a promising deposition method for practical applications of chalcogenide glass films. - Highlights: • Electrospray film deposition processing of Ge{sub 23}Sb{sub 7}S{sub 70} films was developed. • Traditional spin-coated films were also fabricated in parallel. • Optical properties and surface quality found to be similar between two approaches.

  14. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    International Nuclear Information System (INIS)

    Lorusso, A.; Anni, M.; Caricato, A.P.; Gontad, F.; Perulli, A.; Taurino, A.; Perrone, A.; Chiadroni, E.

    2016-01-01

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  15. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    Energy Technology Data Exchange (ETDEWEB)

    Lorusso, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Anni, M. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Caricato, A.P. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Perulli, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Taurino, A. [National Research Council, Institute for Microelectronics & Microsystems, 73100 Lecce (Italy); Perrone, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Chiadroni, E. [Laboratori Nazionali di Frascati, Istituto Nazionale di Fisica Nucleare, 00044 Frascati (Italy)

    2016-03-31

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  16. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  17. Physical properties of very thin SnS films deposited by thermal evaporation

    International Nuclear Information System (INIS)

    Cheng Shuying; Conibeer, Gavin

    2011-01-01

    SnS films with thicknesses of 20–65 nm have been deposited on glass substrates by thermal evaporation. The physical properties of the films were investigated using X-ray diffraction (XRD), scanning electron microscopy, X-ray photoelectron spectroscopy (XPS), Raman spectroscopy, and ultraviolet–visible-near infrared spectroscopy at room temperature. The results from XRD, XPS and Raman spectroscopy analyses indicate that the deposited films mainly exhibit SnS phase, but they may contain a tiny amount of Sn 2 S 3 . The deposited SnS films are pinhole free, smooth and strongly adherent to the surfaces of the substrates. The color of the SnS films changes from pale yellow to brown with the increase of the film thickness from 20 nm to 65 nm. The very smooth surfaces of the thin films result in their high reflectance. The direct bandgap of the films is between 2.15 eV and 2.28 eV which is much larger than 1.3 eV of bulk SnS, this is deserving to be investigated further.

  18. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  19. Tribological Characteristics of Tungsten Carbide Reinforced Arc Sprayed Coatings using Different Carbide Grain Size Fractions

    Directory of Open Access Journals (Sweden)

    W. Tillmann

    2017-06-01

    Full Text Available Tungsten carbide reinforced coatings play an important role in the field of surface engineering to protect stressed surfaces against wear. For thermally sprayed coatings, it is already shown that the tribological properties get mainly determined by the carbide grain size fraction. Within the scope of this study, the tribological characteristics of iron based WC-W2C reinforced arc sprayed coatings deposited using cored wires consisting of different carbide grain size fractions were examined. Microstructural characteristics of the produced coatings were scrutinized using electron microscopy and x-ray diffraction analyses. Ball-on-disk test as well as Taber Abraser and dry sand rubber wheel test were employed to analyze both the dry sliding and the abrasive wear behavior. It was shown that a reduced carbide grain size fraction as filling leads to an enhanced wear resistance against sliding. In terms of the Taber Abraser test, it is also demonstrated that a fine carbide grain size fraction results in an improved wear resistant against abrasion. As opposed to that, a poorer wear resistance was found within the dry sand rubber wheel tests. The findings show that the operating mechanisms for both abrasion tests affect the stressed surface in a different way, leading either to microcutting or microploughing.

  20. Indium oxide-based transparent conductive films deposited by reactive sputtering using alloy targets

    Science.gov (United States)

    Miyazaki, Yusuke; Maruyama, Eri; Jia, Junjun; Machinaga, Hironobu; Shigesato, Yuzo

    2017-04-01

    High-quality transparent conductive oxide (TCO) films, Sn-doped In2O3 (ITO) and In2O3-ZnO (IZO), were successfully deposited on either synthetic silica or polyethylene terephthalate (PET) substrates in the “transition region” by reactive dc magnetron sputtering using In-Zn and In-Sn alloy targets, respectively, with a specially designed plasma emission feedback system. The composition, crystallinity, surface morphology, and electrical and optical properties of the films were analyzed. All of the IZO films were amorphous, whereas the ITO films were polycrystalline over a wide range of deposition conditions. The minimum resistivities of the IZO and ITO films deposited on the heated PET substrates at 150 °C were 3.3 × 10-4 and 5.4 × 10-4 Ω·cm, respectively. By applying rf bias to unheated PET substrates, ITO films with a resistivity of 4.4 × 10-4 Ω·cm were deposited at a dc self-bias voltage of -60 V.

  1. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  2. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    Science.gov (United States)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  3. Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence

    International Nuclear Information System (INIS)

    Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.

    2013-01-01

    Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)

  4. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    Energy Technology Data Exchange (ETDEWEB)

    O' Keefe, M.J.; Stutz, C.E.

    1997-07-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was {approximately}1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in {ge}1 GPa tensile increase in film stress.

  5. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    International Nuclear Information System (INIS)

    O'Keefe, M.J.; Stutz, C.E.

    1997-01-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was approximately1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in ge1 GPa tensile increase in film stress

  6. Spectroscopic ellipsometry investigations of optical anisotropy in obliquely deposited hafnia thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tokas, R. B., E-mail: tokasstar@gmail.com; Jena, Shuvendu; Thakur, S.; Sahoo, N. K. [Atomic & Molecular Physics Division, Bhabha Atomic Research Centre, Trombay, Mumbai-85 (India); Haque, S. Maidul; Rao, K. Divakar [Photonics & Nanotechnology Section, Atomic & Molecular Physics Division, Bhabha Atomic Research Centre facility, Visakhapatnam-530012 (India)

    2016-05-23

    In present work, HfO{sub 2} thin films have been deposited at various oblique incidences on Si substrates by electron beam evaporation. These refractory oxide films exhibited anisotropy in refractive index predictably due to special columnar microstructure. Spectroscopic ellipsometry being a powerful tool for optical characterization has been employed to investigate optical anisotropy. It was observed that the film deposited at glancing angle (80°) exhibits the highest optical anisotropy. Further, anisotropy was noticed to decrease with lower values of deposition angles while effective refractive index depicts opposite trend. Variation in refractive index and anisotropy has been explained in light of atomic shadowing during growth of thin films at oblique angles.

  7. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-01-01

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10 -4 and 2.3x10 -4 Ω·cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10 -4 Ω·cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates

  8. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-09-02

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10{sup -4} and 2.3x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates.

  9. In situ measurement of conductivity during nanocomposite film deposition

    International Nuclear Information System (INIS)

    Blattmann, Christoph O.; Pratsinis, Sotiris E.

    2016-01-01

    Highlights: • Flame-made nanosilver dynamics are elucidated in the gas-phase & on substrates. • The resistance of freshly depositing nanosilver layers is monitored. • Low T g polymers facilitate rapid synthesis of conductive films. • Conductive nanosilver films form on top of or within the polymer depending on MW. - Abstract: Flexible and electrically conductive nanocomposite films are essential for small, portable and even implantable electronic devices. Typically, such film synthesis and conductivity measurement are carried out sequentially. As a result, optimization of filler loading and size/morphology characteristics with respect to film conductivity is rather tedious and costly. Here, freshly-made Ag nanoparticles (nanosilver) are made by scalable flame aerosol technology and directly deposited onto polymeric (polystyrene and poly(methyl methacrylate)) films during which the resistance of the resulting nanocomposite is measured in situ. The formation and gas-phase growth of such flame-made nanosilver, just before incorporation onto the polymer film, is measured by thermophoretic sampling and microscopy. Monitoring the nanocomposite resistance in situ reveals the onset of conductive network formation by the deposited nanosilver growth and sinternecking. The in situ measurement is much faster and more accurate than conventional ex situ four-point resistance measurements since an electrically percolating network is detected upon its formation by the in situ technique. Nevertheless, general resistance trends with respect to filler loading and host polymer composition are consistent for both in situ and ex situ measurements. The time lag for the onset of a conductive network (i.e., percolation) depends linearly on the glass transition temperature (T g ) of the host polymer. This is attributed to the increased nanoparticle-polymer interaction with decreasing T g . Proper selection of the host polymer in combination with in situ resistance monitoring

  10. Superhydrophobic nanostructured ZnO thin films on aluminum alloy substrates by electrophoretic deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Ying; Sarkar, D.K., E-mail: dsarkar@uqac.ca; Chen, X-Grant

    2015-02-01

    Graphical abstract: - Highlights: • Fabrication of superhydrophobic ZnO thin films surfaces by electrophoretic deposition process on aluminum substrates. • Effect of bath temperature on the physical and superhydrophobic properties of thin films. • The water contact angle of 155° ± 3 with roll off property has been observed on the film that was grown at bath temperatures of 50 °C. • The activation energy for electrophoretic deposition of SA-functionalized ZnO nanoparticle is calculated to be 0.50 eV. - Abstract: Superhydrophobic thin films have been fabricated on aluminum alloy substrates by electrophoretic deposition (EPD) process using stearic acid (SA) functionalized zinc oxide (ZnO) nanoparticles suspension in alcohols at varying bath temperatures. The deposited thin films have been characterized using both X-ray diffraction (XRD) and infrared (IR) spectroscopy and it is found that the films contain low surface energy zinc stearate and ZnO nanoparticles. It is also observed that the atomic percentage of Zn and O, roughness and water contact angle of the thin films increase with the increase of the deposited bath temperature. Furthermore, the thin film deposited at 50 °C, having a roughness of 4.54 ± 0.23 μm, shows superhydrophobic properties providing a water contact angle of 155 ± 3° with rolling off properties. Also, the activation energy of electrophoretic deposition of stearic-acid-functionalized ZnO nanoparticles is calculated to be 0.5 eV.

  11. Pulsed-laser-deposited YBCO thin films using modified MTG processed targets

    CERN Document Server

    Kim, C H; Kim, I T; Hahn, T S

    1999-01-01

    YBCO thin films were deposited by pulsed laser deposition from targets fabricated using the modified melt-textured growth (MTG) method and the solid-state sintering (SSS) method. All of the films showed c-axis orientations, but the films from the MTG targets had better crystallinity than those from the SSS targets. As the substrate temperature was increased, T sub c and J sub c of the films increased. The films from the MTG targets showed better superconducting properties than those from the SSS targets. From the composition analysis of the targets, the Y-richer vapor species arriving at the substrate from the MTG targets are thought to form a thermodynamically more stable YBCO phase with less cation disorder.

  12. Morphological and structural characterizations of dendrimer-mediated metallic Ti and Al thin film nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Curry, M. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Chemistry, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Li, X. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Metallurgical and Materials Science and Engineering, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Zhang, J. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Chemistry, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Weaver, M.L. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Metallurgical and Materials Science and Engineering, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Street, S.C. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States) and Department of Chemistry, University of Alabama, Tuscaloosa, AL 35487-0209 (United States)]. E-mail: sstreet@bama.ua.edu

    2007-02-26

    Evidence is presented here for significant influence on the surface topography of Ti and Al films in the presence of poly(amidoamine) dendrimer monolayers [generations G(4-8)] on SiO {sub x}. X-ray photoelectron spectroscopy analysis clearly indicates formation of nitrides and carbides for Ti metal grown on dendrimer monolayers. In addition, obvious trends in measured correlation lengths and crystalline growth modes of Ti films indicate grain sizes tracking the intrinsic roughness of dendrimer monolayers. No formation of metal nitride is observed for Al depositions. Atomic force microscopy analyses show significant changes in rms vertical roughness and aggregation of as-deposited Ti or Al in presence of dendrimer monolayers.

  13. Multilayered and composite PVD-CVD coatings in cemented carbides manufacture

    International Nuclear Information System (INIS)

    Glushkov, V.N.; Anikeev, A.I.; Anikin, V.N.; Vereshchaka, A.S.

    2001-01-01

    Carbide cutting tools with wear-resistant coatings deposited by CVD process are widely employed in mechanical engineering to ensure a substantially longer service life of tool systems. However, the relatively high temperature and long time of the process make the substrate decarburise and, as a result, the bend strength and performance characteristics of a tool decrease. The present study suggests the problem of deteriorated strength of CVD-coated carbide tools be solved by the development of a technology that combines arc-PVD and CVD processes to deposit multilayered coatings of titanium and aluminium compounds. (author)

  14. Effect of angle of deposition on micro-roughness parameters and optical properties of HfO{sub 2} thin films deposited by reactive electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Tokas, R.B., E-mail: tokasstar@gmail.com; Jena, S.; Thakur, S.; Sahoo, N.K.

    2016-06-30

    Oblique angle deposited oxide thin films, in which refractive index profiles can be tailored across depth by tuning their microstructure using varying angle of deposition, have opened up new dimensions in fabrication of optical interference devices. Since surface morphology plays an important role for the qualification of these thin film devices for optical or other applications, it is important to investigate morphological properties of obliquely deposited thin films. In the present work, a set of HfO{sub 2} thin films have been deposited at several oblique angles. Morphological parameters of such thin films viz., correlation length, intrinsic roughness, fractal spectral strength, etc., have been determined through suitable modelling of extended power spectral density measured by atomic force microscopy. It has been found that intrinsic roughness and fractal spectral strength show an interesting behaviour with deposition angle and the same has been discussed in the light of atomic shadowing and re-emission and diffusion of ad-atoms. Further refractive index and thickness of such thin films have been estimated from optical transmission spectra through suitable modelling. Refractive index of such thin film varies from 1.93 to 1.37 as the deposition angle varies from normal to glancing angle (80°). Further, refractive index and grain size depict an opposite trend with deposition angle. This variation has been explained in terms of varying film porosity and column slanting with angle of deposition. - Highlights: • HfO{sub 2} thin films deposited at several oblique angles • Film deposited at 80° exhibits the highest grain size and intrinsic roughness (σ). • Fractal strength and σ depict an interesting trend with angle of deposition. • Refractive index and grain size depict an opposite trend with angle of deposition.

  15. Effect of annealing on electrical properties of plasmatron deposited ZnO films

    International Nuclear Information System (INIS)

    Joa, Sang Beom; Penkov, Oteksiy V.; Plaksin, Vadim Yu; Mansur, Rakib; Kim, Ji Hun; Lee, Heon Ju

    2009-01-01

    Transparent conductive zinc oxide (ZnO) has been extensively studied in recent several years because they have very interesting properties. Besides this, zinc oxide is non-poisonous, abundant and cheap material. ZnO films are employed in different applications like transparent conductive layers in solar cells, protective coatings and so on. Wide industrial application of the ZnO films requires of development of cheap, effective and scalable technology. Typically used technology like RF sputtering, pyrolysis and metal-organic CVD don't completely satisfy the industrial requirements. In our previous publications the new perspective ZnO deposition technology based DC Arc Plasmatron was described. This technology has several advantages (low cost, high deposition rate, low substrate temperature). Currently, films deposited using this technology has can be used only as protective or insulation coatings because of very high resistance. Applying of plasmatron technology in the microelectronics or solar cell production requires the improvement of electrical properties of the films. This can be achieved by optimization of deposition parameters, using of doping, or by post-deposition treatment such as annealing, or by combination of mentioned. It was shown that proposed technology can be used for the deposition of pure ZnO film with good electrical and optical properties. Proposed technology has several disadvantages which can be overcome in the near-term outlook

  16. New results in pulsed laser deposition of poly-methyl-methacrylate thin films

    International Nuclear Information System (INIS)

    Cristescu, R.; Socol, G.; Mihailescu, I.N.; Popescu, M.; Sava, F.; Ion, E.; Morosanu, C.O.; Stamatin, I.

    2003-01-01

    Thin organic films based on poly-methyl-methacrylate (PMMA) polymer have been obtained by pulsed laser deposition (PLD) on silicon substrates. The films were characterized by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Raman spectroscopy (RS). We observed that the film composition and structure depend on the laser fluence and on the temperature of the substrate during deposition

  17. The Effects of Two Thick Film Deposition Methods on Tin Dioxide Gas Sensor Performance

    OpenAIRE

    Bakrania, Smitesh D.; Wooldridge, Margaret S.

    2009-01-01

    This work demonstrates the variability in performance between SnO2 thick film gas sensors prepared using two types of film deposition methods. SnO2 powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition meth...

  18. A review of basic phenomena and techniques for sputter-deposition of high temperature superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Auciello, O. (Microelectronics Center of North Carolina, Research Triangle Park, NC (USA) North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Ameen, M.S.; Kingon, A.I.; Lichtenwalner, D.J. (North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Krauss, A.R. (Argonne National Lab., IL (USA))

    1990-01-01

    The processes involved in plasma and ion beam sputter-deposition of high temperature superconducting thin films are critically reviewed. Recent advances in the development of these techniques are discussed in relation to basic physical phenomena, specific to each technique, which must be understood before high quality films can be produced. Control of film composition is a major issue in sputter-deposition of multicomponent materials. Low temperature processing of films is a common goal for each technique, particularly in relation to integrating high temperature superconducting films with the current microelectronics technology. It has been understood for some time that for Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} deposition, the most intensely studied high-{Tc} compound, incorporation of sufficient oxygen into the film during deposition is necessary to produce as-deposited superconducting films at relatively substrate temperatures. Recent results have shown that with the use of suitable buffer layers, high quality Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} sputtered films can be obtained on Si substrates without the need for post-deposition anneal processing. This review is mainly focussed on issues related to sputter-deposition of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} thin films, although representative results concerning the bismuth and thallium based compounds are included. 143 refs., 11 figs.

  19. Morphology and structural studies of WO{sub 3} films deposited on SrTiO{sub 3} by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kalhori, Hossein, E-mail: h.kalhori@ph.iut.ac.ir [School of Physics and CRANN, Trinity College, Dublin 2 (Ireland); Department of Physics, Isfahan University of Technology, Isfahan 84156-8311 (Iran, Islamic Republic of); Porter, Stephen B.; Esmaeily, Amir Sajjad; Coey, Michael [School of Physics and CRANN, Trinity College, Dublin 2 (Ireland); Ranjbar, Mehdi; Salamati, Hadi [Department of Physics, Isfahan University of Technology, Isfahan 84156-8311 (Iran, Islamic Republic of)

    2016-12-30

    Highlights: • Highly oriented WO{sub 3} stoichiometric films were determined using pulsed laser deposition method. • Effective parameters on thin films including temperature, oxygen partial pressure and laser energy fluency was studied. • A phase transition was observed in WO{sub 3} films at 700 °C from monoclinic to tetragonal. - Abstract: WO{sub 3} films have been grown by pulsed laser deposition on SrTiO{sub 3} (001) substrates. The effects of substrate temperature, oxygen partial pressure and energy fluence of the laser beam on the physical properties of the films were studied. Reflection high-energy electron diffraction (RHEED) patterns during and after growth were used to determine the surface structure and morphology. The chemical composition and crystalline phases were obtained by XPS and XRD respectively. AFM results showed that the roughness and skewness of the films depend on the substrate temperature during deposition. Optimal conditions were determined for the growth of the highly oriented films.

  20. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  1. Shape memory characteristics of sputter-deposited Ti-Ni thin films

    International Nuclear Information System (INIS)

    Miyazaki, Shuichi; Ishida, Akira.

    1994-01-01

    Ti-Ni shape memory alloy thin films were deposited using an RF magnetron sputtering apparatus. The as-sputtered films were heat-treated in order to crystallize and memorize. After the heat treatment, the shape memory characteristics have been investigated using DSC and thermomechanical tests. Upon cooling the thin films, the solution-treated films showed a single peak in the DSC curve indicating a single stage transformation occurring from B2 to the martensitic phase, while the age-treated films showed double peaks indicating a two-stage transformation, i.e., from B2 to the R-phase, then to the martensitic phase. A perfect shape memory effect was achieved in these sputter-deposited Ti-Ni thin films in association both with the R-phase and martensitic transformations. Transformation temperatures increased linearly with increasing applied stress. The transformation strain also increased with increasing stress. The shape memory characteristics were strongly affected by heat-treatment conditions. (author)

  2. Deposition of indium tin oxide films on acrylic substrates by radiofrequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chiou, B.S.; Hsieh, S.T.; Wu, W.F.

    1994-01-01

    Indium tin oxide (ITO) films were deposited onto acrylic substrates by rf magnetron sputtering. Low substrate temperature (< 80 C) and low rf power (< 28 W) were maintained during sputtering to prevent acrylic substrate deformation. The influence of sputtering parameters, such as rf power, target-to-substrate distance, and chamber pressure, on the film deposition rate, the electrical properties, as well as the optical properties of the deposited films was investigated. Both the refractive index and the extinction coefficient were derived. The high reflection at wavelengths greater than 3 μm made these sputtered ITO films applicable to infrared mirrors

  3. Effect of deposition temperature on the structural, morphological and optical band gap of lead selenide thin films synthesized by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Hone, Fekadu Gashaw, E-mail: fekeye@gmail.com [Hawassa University, Department of Physics, Hawassa (Ethiopia); Ampong, Francis Kofi [Kwame Nkrumah University of Science and Technology, Department of Physics, Kumasi (Ghana)

    2016-11-01

    Lead selenide (PbSe) nanocrystalline thin films have been deposited on silica glass substrates by the chemical bath deposition technique. The samples were deposited at the bath temperatures of 60, 75 and 90 °C respectively and characterized by a variety of techniques. The XRD results revealed that the PbSe thin film deposited at 60 °C was amorphous in nature. Films deposited at higher temperatures exhibited sharp and intense diffraction peaks, indicating an improvement in crystallinety. The deposition temperature also had a strong influence on the preferred orientation of the crystallites as well as other structural parameters such as microstrain and dislocation density. From the SEM study it was observed that film deposited at 90 °C had well defined crystallites, uniformly distributed over the entire surface of the substrate. The EDAX study confirmed that the samples deposited at the higher temperature had a better stoichiometric ratio. The optical band gap varied from 2.26 eV to 1.13 eV with increasing deposition temperature. - Highlights: • The crystallinety of the films improved as the deposition temperature increased. • The deposition temperature strongly influenced the preferred orientations. • Microstrain and dislocation density are decreased linearly with deposition temperature. • Band gap decreased from 2.26 eV to 1.13 eV as the deposition temperature increased.

  4. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    International Nuclear Information System (INIS)

    Gupta, Sushant; Arjunan, Arul Chakkaravarthi; Deshpande, Sameer; Seal, Sudipta; Singh, Deepika; Singh, Rajiv K.

    2009-01-01

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166 o . The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  5. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Sushant, E-mail: sushant3@ufl.ed [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States); Arjunan, Arul Chakkaravarthi [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Deshpande, Sameer; Seal, Sudipta [Advanced Material Processing and Analysis Center, University of Central Florida, Orlando, Florida 32816 (United States); Singh, Deepika [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Singh, Rajiv K. [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States)

    2009-06-30

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166{sup o}. The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  6. High-speed deposition of titanium carbide coatings by laser-assisted metal–organic CVD

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Yansheng [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tu, Rong, E-mail: turong@whut.edu.cn [State Key Laboratory of Advanced Technology for Material Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); Goto, Takashi [Institute for Materials Research, Tohoku University, Aoba-ku, 2-1-1 Katahira, Sendai 980-8577 (Japan)

    2013-08-01

    Graphical abstract: - Highlights: • A semiconductor laser was first used to prepare wide-area LCVD-TiC{sub x} coatings. • The effect of laser power for the deposition of TiC{sub x} coatings was discussed. • TiC{sub x} coatings showed a columnar cross section and a dense surface texture. • TiC{sub x} coatings had a 1–4 order lower laser density than those of previous reports. • This study gives the possibility of LCVD applying on the preparation of TiC{sub x} coating. - Abstract: A semiconductor laser-assisted chemical vapor deposition (LCVD) of titanium carbide (TiC{sub x}) coatings on Al{sub 2}O{sub 3} substrate using tetrakis (diethylamido) titanium (TDEAT) and C{sub 2}H{sub 2} as source materials were investigated. The influences of laser power (P{sub L}) and pre-heating temperature (T{sub pre}) on the microstructure and deposition rate of TiC{sub x} coatings were examined. Single phase of TiC{sub x} coatings were obtained at P{sub L} = 100–200 W. TiC{sub x} coatings had a cauliflower-like surface and columnar cross section. TiC{sub x} coatings in the present study had the highest R{sub dep} (54 μm/h) at a relative low T{sub dep} than those of conventional CVD-TiC{sub x} coatings. The highest volume deposition rate (V{sub dep}) of TiC{sub x} coatings was about 4.7 × 10{sup −12} m{sup 3} s{sup −1}, which had 3–10{sup 5} times larger deposition area and 1–4 order lower laser density than those of previous LCVD using CO{sub 2}, Nd:YAG and argon ion laser.

  7. The valve effect of the carbide interlayer of an electric resistance plug

    International Nuclear Information System (INIS)

    Lakomskii, V.

    1998-01-01

    The welded electric resistance plug (ERP) usually contains a carbide interlayer at the plug-carbon material interface. The interlayer forms during welding the contact metallic alloy with the carbon material when the oxide films of the alloy are reduced on the interface surface by carbon to the formation of carbides and the surface layer of the plug material dissolves carbon to saturation. Subsequently, during solidification of the plug material it forms carbides with the alloy components. The structural composition of the carbide interlayer is determined by the chemical composition of the contact alloy. In alloys developed by the author and his colleagues the carbide forming elements are represented in most cases by silicon and titanium and, less frequently, by chromium and manganese. Therefore, the carbide interlayers in the ERP consisted mainly of silicon and titanium carbides

  8. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.; Alshareef, Husam N.

    2013-01-01

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  9. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.

    2013-01-09

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  10. Liquid films and droplet deposition in a BWR fuel element

    International Nuclear Information System (INIS)

    Damsohn, M.

    2011-01-01

    In the upper part of boiling water reactors (BWR) the flow regime is dominated by a steam-water droplet flow with liquid films on the nuclear fuel rod, the so called (wispy) annular flow regime. The film thickness and liquid flow rate distribution around the fuel rod play an important role especially in regard to so called dryout, which is the main phenomenon limiting the thermal power of a fuel assembly. The deposition of droplets in the liquid film is important, because this process sustains the liquid film and delays dryout. Functional spacers with different vane shapes have been used in recent decades to enhance droplet deposition and thus create more favorable conditions for heat removal. In this thesis the behavior of liquid films and droplet deposition in the annular flow regime in BWR bundles is addressed by experiments in an adiabatic flow at nearly ambient pressure. The experimental setup consists of a vertical channel with the cross-section resembling a pair of neighboring subchannels of a fuel rod bundle. Within this double subchannel an annular flow is established with a gas-water mixture. The impact of functional spacers on the annular flow behavior is studied closely. Parameter variations comprise gas and liquid flow rates, gas density and spacer shape. The setup is instrumented with a newly developed liquid film sensor that measures the electrical conductance between electrodes flush to the wall with high temporal and spatial resolution. Advanced post-processing methods are used to investigate the dynamic behavior of liquid films and droplet deposition. The topic is also assessed numerically by means of single-phase Reynolds-Averaged-Navier-Stokes CFD simulations of the flow in the gas core. For this the commercial code STAR-CCM+ is used coupled with additional models for the liquid film distribution and droplet motion. The results of the experiments show that the liquid film is quite evenly distributed around the circumference of the fuel rods. The

  11. Applications of interface controlled pulsed-laser deposited polymer films in field-effect transistors

    Science.gov (United States)

    Adil, Danish; Ukah, Ndubuisi; Guha, Suchi; Gupta, Ram; Ghosh, Kartik

    2010-03-01

    Matrix assisted pulsed laser evaporation, a derivative of pulsed laser deposition (PLD), is an alternative method of depositing polymer and biomaterial films that allows homogeneous film coverage of high molecular weight organic materials for layer-by-layer growth without any laser induced damage. Polyfluorene (PF)-based conjugated polymers have attracted considerable attention in organic field-effect transistors (FETs). A co-polymer of PF (PFB) was deposited as a thin film using matrix assisted PLD employing a KrF excimer laser. Electrical characteristics of FETs fabricated using these PLD grown films were compared to those of FETs using spin-coated films. We show that threshold voltages, on/off ratios, and charge carrier motilities are significantly improved in PLD grown films. This is attributed to an improved dielectric-polymer interface.

  12. Organic Thin Films Deposited by Emulsion-Based, Resonant Infrared, Matrix-Assisted Pulsed Laser Evaporation: Fundamentals and Applications

    Science.gov (United States)

    Ge, Wangyao

    Thin film deposition techniques are indispensable to the development of modern technologies as thin film based optical coatings, optoelectronic devices, sensors, and biological implants are the building blocks of many complicated technologies, and their performance heavily depends on the applied deposition technique. Particularly, the emergence of novel solution-processed materials, such as soft organic molecules, inorganic compounds and colloidal nanoparticles, facilitates the development of flexible and printed electronics that are inexpensive, light weight, green and smart, and these thin film devices represent future trends for new technologies. One appealing feature of solution-processed materials is that they can be deposited into thin films using solution-processed deposition techniques that are straightforward, inexpensive, high throughput and advantageous to industrialize thin film based devices. However, solution-processed techniques rely on wet deposition, which has limitations in certain applications, such as multi-layered film deposition of similar materials and blended film deposition of dissimilar materials. These limitations cannot be addressed by traditional, vacuum-based deposition techniques because these dry approaches are often too energetic and can degrade soft materials, such as polymers, such that the performance of resulting thin film based devices is compromised. The work presented in this dissertation explores a novel thin film deposition technique, namely emulsion-based, resonant infrared, matrix-assisted pulsed laser evaporation (RIR-MAPLE), which combines characteristics of wet and dry deposition techniques for solution-processed materials. Previous studies have demonstrated the feasibility of emulsion-based RIR-MAPLE to deposit uniform and continuous organic, nanoparticle and blended films, as well as hetero-structures that otherwise are difficult to achieve. However, fundamental understanding of the growth mechanisms that govern

  13. Deposition of CdTe films under microgravity: Foton M3 mission

    Energy Technology Data Exchange (ETDEWEB)

    Benz, K.W.; Croell, A. [Freiburger Materialforschungszentrum FMF, Albert-Ludwigs-Universitaet Freiburg (Germany); Zappettini, A.; Calestani, D. [CNR Parma, Instituto Materiali Speciali per Elettronica e Magnetismo IMEM, Fontani Parma (Italy); Dieguez, E. [Universidad Autonoma de Madrid (Spain). Departamento de Fisica de Materiales; Carotenuto, L.; Bassano, E. [Telespazio Napoli, Via Gianturco 31, 80146 Napoli (Italy); Fiederle, M.

    2009-10-15

    Experiments of deposition of CdTe films have been carried out under microgravity in the Russian Foton M3 mission. The influence of gravity has been studied with these experiments and compared to the results of simulations. The measured deposition rate could be confirmed by the theoretical results for lower temperatures. For higher temperatures the measured thickness of the deposited films was larger compared to the theoretical data. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    Science.gov (United States)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  15. Surface-enhanced Raman spectroscopy (SERS) using Ag nanoparticle films produced by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Smyth, C.A., E-mail: smythc2@tcd.ie [School of Physics, Trinity College Dublin, Dublin 2 (Ireland); Mirza, I.; Lunney, J.G.; McCabe, E.M. [School of Physics, Trinity College Dublin, Dublin 2 (Ireland)

    2013-01-01

    Highlights: Black-Right-Pointing-Pointer Pulsed laser deposition (PLD) produces silver nanoparticle films. Black-Right-Pointing-Pointer These films can be used for surface-enhanced Raman spectroscopy (SERS). Black-Right-Pointing-Pointer Commercial film shows good SERS reproducibility but poor signal intensity. Black-Right-Pointing-Pointer PLD shows a good SERS response coupled with good reproducibility. - Abstract: Thin silver nanoparticle films, of thickness 7 nm, were deposited onto glass microslides using pulsed laser deposition (PLD). The films were then characterised using UV-vis spectroscopy and scanning transmission electron microscopy before Rhodamine 6G was deposited onto them for investigation using surface-enhanced Raman spectroscopy (SERS). The sensitivity obtained using SERS was compared to that obtained using a colloidal silver suspension and also to a commercial SERS substrate. The reproducibility of the films is also examined using statistical analysis.

  16. Chemical solution deposition of CaCu 3 Ti 4 O 12 thin film

    Indian Academy of Sciences (India)

    CaCu3Ti4O12 (CCTO) thin film was successfully deposited on boron doped silica substrate by chemical solution deposition and rapid thermal processing. The phase and microstructure of the deposited films were studied as a function of sintering temperature, employing X-ray diffractometry and scanning electron ...

  17. Electrochromism of the electroless deposited cuprous oxide films

    International Nuclear Information System (INIS)

    Neskovska, R.; Ristova, M.; Velevska, J.; Ristov, M.

    2007-01-01

    Thin cuprous oxide films were prepared by a low cost, chemical deposition (electroless) method onto glass substrates pre-coated with fluorine doped tin oxide. The X-ray diffraction pattern confirmed the Cu 2 O composition of the films. Visible transmittance spectra of the cuprous oxide films were studied for the as-prepared, colored and bleached films. The cyclic voltammetry study showed that those films exhibited cathode coloring electrochromism, i.e. the films showed change of color from yellowish to black upon application of an electric field. The transmittance across the films for laser light of 670 nm was found to change due to the voltage change for about 50%. The coloration memory of those films was also studied during 6 h, ex-situ. The coloration efficiency at 670 nm was calculated to be 37 cm 2 /C

  18. Roughness evolution in Ga doped ZnO films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Liu Yunyan; Cheng Chuanfu; Yang Shanying; Song Hongsheng; Wei Gongxiang; Xue Chengshan; Wang Yongzai

    2011-01-01

    We analyze the morphology evolution of the Ga doped ZnO(GZO) films deposited on quartz substrates by a laser deposition system. The surface morphologies of the film samples grown with different times are measured by the atomic force microscope, and they are analyzed quantitatively by using the image data. In the initial stage of the growth time shorter than 8 min, our analysis shows that the GZO surface morphologies are influenced by such factors as the random fluctuations, the smoothening effects in the deposition, the lateral strain and the substrate. The interface width uw(t) and the lateral correlation length ξ(t) at first decrease with deposition time t. For the growth time larger than 8 min, w(t) and ξ(t) increase with time and it indicates the roughening of the surface and the surface morphology exhibits the fractal characteristics. By fitting data of the roughness w(t) versus deposition time t larger than 4 min to the power-law function, we obtain the growth exponent β is 0.3; and by the height-height correlation functions of the samples to that of the self-affine fractal model, we obtain the value of roughness exponent α about 0.84 for all samples with different growth time t.

  19. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    Energy Technology Data Exchange (ETDEWEB)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Arrieta, M.L. Pérez [Universidad Autónoma de Zacatecas, Unidad Académica de Física, Calzada Solidaridad esq. Paseo, La Bufa s/n, C.P. 98060, Zacatecas, México (Mexico); Meza-Rocha, A.N.; Rivera-Álvarez, Z. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Falcony, C., E-mail: cfalcony@fis.cinvestav.mx [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico)

    2013-10-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min{sup −1} at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min{sup −1} were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s.

  20. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    International Nuclear Information System (INIS)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R.; Arrieta, M.L. Pérez; Meza-Rocha, A.N.; Rivera-Álvarez, Z.; Falcony, C.

    2013-01-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min −1 at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min −1 were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s

  1. PREPARATION AND CHARACTERIZATION OF IRON SULPHIDE THIN FILMS BY CHEMICAL BATH DEPOSITION METHOD

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-06-01

    Full Text Available FeS2 thin films have been deposited by using low cost chemical bath deposition technique. The films obtained under deposition parameters such as bath temperature (90 °C, deposition period (90 min, electrolyte concentration (0.15 M and pH of the reactive mixture (pH 2.5. The thin films were characterized using X-ray diffraction and atomic force microscopy in order to study the structural and morphological properties. The band gap energy, transition type and absorption properties were determined using UV-Vis Spectrophotometer. X-ray diffraction displayed a pattern consistent with the formation of an orthorhombic structure, with a strong (110 preferred orientation. Atomic force microscopy image showed the substrate surface is well covered with irregular grains. A direct band gap of 1.85 eV was obtained according to optical absorption studies.   Keywords: Iron sulfide, X-ray diffraction, chemical bath deposition, thin films

  2. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  3. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  4. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  5. Hydrogen absorption in thin ZnO films prepared by pulsed laser deposition

    OpenAIRE

    Meilkhova, O.; Čížek, J.; Lukáč,, F.; Vlček, M.; Novotný, M.; Bulíř, J.; Lančok, J.; Anwand, W.; Brauer, G.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.

    2013-01-01

    ZnO films with thickness of ~80 nm were grown by pulsed laser deposition (PLD) on MgO (1 0 0) single crystal and amorphous fused silica (FS) substrates. Structural studies of ZnO films and a high quality reference ZnO single crystal were performed by slow positron implantation spectroscopy (SPIS). It was found that ZnO films exhibit significantly higher density of defects than the reference ZnO crystal. Moreover, the ZnO film deposited on MgO substrate exhibits higher concentration of defects...

  6. Multilayer-Forming Behavior of Cr Nitrides and Carbides for Thermoreactive Deposition

    Directory of Open Access Journals (Sweden)

    Kyeongmo Park

    2018-05-01

    Full Text Available The effect of a nitride layer on the forming behavior of CrN and (Cr, Fe7C3 multilayers for thermoreactive deposition (TRD was investigated. Plasma nitriding followed by TRD (PN-TRD produced a larger coating thickness than the case of direct TRD with no plasma nitriding. For PN-TRD, an Fe2-3N layer of 10 μm in thickness was produced on AISI 52100 steels using plasma nitriding, followed by TRD using a mixed powder composed of 30 wt % Cr, 2 wt % NH4Cl, and 68 wt % Al2O3. During TRD at 800 °C, a CrN layer of 2 μm in thickness was formed along with a thin layer of mixed carbide (Cr7C3 and nitride (CrN on top. As the deposition temperature was increased to 950 °C, a new layer of Cr7C3 was formed underneath the outermost layer composed of mixed Cr7C3 and CrN. At 950 °C, a Cr-rich zone indicated a thickness of ~7 μm. As the deposition time increased to 3 h at 950 °C, a new layer of (Cr, Fe7C3 was produced at the interface between the CrN formed at 800 °C and the base metal. This layer formed because of the abundant resources of Cr and C provided from the TRD powder and base metal, respectively. The multilayer and interface were concretely filled without the formation of voids as the TRD time increased to 6 h at 950 °C. The TRD process on a pre-nitrided layer was successfully applied to produce multilayers of CrN and Cr7C3.

  7. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  8. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  9. Ion beams as a means of deposition and in-situ characterization of thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Gruen, D.M.; Lin, Y.P.; Schmidt, H.; Liu, Y.L.; Barr, T.; Chang, R.P.H.

    1992-01-01

    Ion beam-surface interactions produce many effects in thin film deposition which are similar to those encountered in plasma deposition processes. However, because of the lower pressures and higher directionality associated with the ion beam process, it is easier to avoid some sources of film contamination and to provide better control of ion energies and fluxes. Additional effects occur in the ion beam process because of the relatively small degree of thermalization resulting from gas phase collisions with both the ion beam and atoms sputtered from the target. These effects may be either beneficial or detrimental to the film properties, depending on the material and deposition conditions. Ion beam deposition is particularly suited to the deposition of multi-component films and layered structures, and can in principle be extended to a complete device fabrication process. However, complex phenomena occur in the deposition of many materials of high technical interest which make it desirable to monitor the film growth at the monolayer level. It is possible to make use of ion-surface interactions to provide a full suite of surface analytical capabilities in one instrument, and this data may be obtained at ambient pressures which are far too high for conventional surface analysis techniques. Such an instrument is under development and its current performance characteristics and anticipated capabilities are described

  10. Glow discharge-deposited amorphous silicon films for low-cost solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Grabmaier, J G; Plaettner, R D; Stetter, W [Siemens A.G., Muenchen (Germany, F.R.). Forschungslaboratorien

    1980-01-01

    Due to their high absorption constant, glow discharge-deposited amorphous silicon (a-Si) films are of great interest for low-cost solar cells. Using SiH/sub 4/ and SiX/sub 4//H/sub 2/ (X = Cl or F) gas mixtures in an inductively or capacitively excited reactor, a-Si films with thicknesses up to several micrometers were deposited on substrates of glass, silica and silicon. The optical and electrical properties of the films were determined by measuring the IR absorption spectra, dark conductivity, photoconductivity, and photoluminescence. Hydrogen, chlorine, or fluorine were incorporated in the films in order to passivate dangling bonds in the amorphous network.

  11. Rapid deposition process for zinc oxide film applications in pyroelectric devices

    International Nuclear Information System (INIS)

    Hsiao, Chun-Ching; Yu, Shih-Yuan

    2012-01-01

    Aerosol deposition (AD) is a rapid process for the deposition of films. Zinc oxide is a low toxicity and environmentally friendly material, and it possesses properties such as semiconductivity, pyroelectricity and piezoelectricity without the poling process. Therefore, AD is used to accelerate the manufacturing process for applications of ZnO films in pyroelectric devices. Increasing the temperature variation rate in pyroelectric films is a useful method for enhancing the responsivity of pyroelectric devices. In the present study, a porous ZnO film possessing the properties of large heat absorption and high temperature variation rate is successfully produced by the AD rapid process and laser annealing for application in pyroelectric devices. (paper)

  12. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  13. Pulsed-laser deposition and growth studies of Bi3Fe5O12 thin films

    International Nuclear Information System (INIS)

    Lux, Robert; Heinrich, Andreas; Leitenmeier, Stephan; Koerner, Timo; Herbort, Michael; Stritzker, Bernd

    2006-01-01

    Magneto-optical garnets are attractive because of their high Faraday rotation and low optical loss in the near infrared. Therefore their use is generally in nonreciprocal devices, i.e., as optical isolators in optical communication. In this paper we present data concerning the deposition of Bi 3 Fe 5 O 12 (BIG) thin films on (100) and (111) Gd 3 Ga 5 O 12 substrates using pulsed-laser deposition. Laser-induced processes on the surface of the oxide target used for ablation were analyzed and numerous films were deposited. We found the BIG film quality to be strongly affected by oxygen pressure, laser energy density, and the Bi/Fe film ratio, whereas temperature had a minor influence. We also investigated the BIG-film deposition using a target pressed from metallic Bi and Fe powders and found information on the growth behavior of BIG. We report on details of the film deposition and film properties determined by environmental scanning electron microscopy, energy dispersive x-ray analysis, Rutherford backscattering spectroscopy, and x-ray diffraction. In addition, we determined the Faraday rotation of the films

  14. Magnetic domains in Co-cluster assembled films deposited by LECBD

    International Nuclear Information System (INIS)

    Dumas-Bouchiat, F.; Nagaraja, H.S.; Rossignol, F.; Champeaux, C.; Catherinot, A.

    2005-01-01

    Cobalt aggregates prepared using a cluster beam generator have been deposited on Si(100) substrate leading to thin films of randomly assembled Co nanoparticles which exhibit a spherical shape with a mono-dispersed diameter distribution centred around 9nm. Films with thickness ranging from 50 to 550nm are investigated using magnetic force microscopy (MFM) and results show the presence of twisted magnetic domains. An in-plane magnetic field applied during the growth of the layer leads to the formation of magnetic stripe domains but we observe a similar behaviour if an in-plane magnetic field is applied after the deposition. This indicates that probably the magnetic field applied during the film growth does not drive its magnetic structure. Finally, the measured variation of magnetic domain width D reveals a t dependence, where t is the film thickness, and is independent of the magnetic history of the films

  15. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  16. Lead-doped electron-beam-deposited Bi-Sr-Ca-Cu-O superconducting thin films

    Energy Technology Data Exchange (ETDEWEB)

    Agnihotry, S.A.; Saini, K.K.; Kant, C.; Sharma, C.P.; Ekbote, S.N.; Asthana, P.; Nagpal, K.C.; Chandra, S. (National Physical Lab., New Delhi (India))

    1991-03-20

    Superconducting thin films of the lead-doped Bi-Sr-Ca-Cu-O system have been prepared on (100) single-crystal SrTiO{sub 3} substrates by an electron beam deposition technique using a single sintered pellet as the evaporation source. As-deposited films are amorphous and non-superconducting; post-deposition annealing at an optimized temperature in air has been found to result in crystalline and superconducting films. The superconducting characteristics of the films have been observed to be sensitive not only to the duration and temperature of post-deposition annealing but also to the lead content and the sintering parameters for the pellet to be used as the evaporation source. A pellet with nominal composition Bi{sub 3}Pb{sub 1}Sr{sub 3}Ca{sub 3}Cu{sub 4}O{sub y} that had been sintered for 200 h zero resistivity Tc{sup 0}=112 K. However, films deposited using such a pellet as the evaporation source had Tc{sup 0} {approx equal} 73-78 K, as had the films deposited from a pellet without any lead. We investigated systematically films deposited from pellets with more lead and sintered for different durations. It is evident from these investigations that pellets with nominal composition Bi{sub 3}Pb{sub 2}Sr{sub 3}Ca{sub 3}Cu{sub 4}O{sub y}, i.e. with an excess of lead, and sintered for about 75 h when used as the evaporation source yield films with Tc{sup 0} {approx equal} 100 K when annealed between 835 and 840deg C for an optimized long duration. The films are characterized by X-ray diffraction and energy-dispersive spectroscopy techniques and have been found to be highly c axis oriented. The effect of lead in promoting a high Tc{sup 0}=110 K phase seems to be similar to that in bulk ceramics. (orig.).

  17. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  18. Ellipsometric investigations of pyrolytically deposited thin indium oxide films

    International Nuclear Information System (INIS)

    Winkler, U.

    1980-01-01

    Ellipsometric measurements have been carried out of thin indium oxide films deposited pyrolytically on glass substrates. It was found that the roughness of the films affected the measuring results. Therefore, only after applying a two-layer model a reasonable interpretation of the measuring results became possible

  19. Thickness control in electrophoretic deposition of WO3 nanofiber thin films for solar water splitting

    International Nuclear Information System (INIS)

    Fang, Yuanxing; Lee, Wei Cheat; Canciani, Giacomo E.; Draper, Thomas C.; Al-Bawi, Zainab F.; Bedi, Jasbir S.; Perry, Christopher C.; Chen, Qiao

    2015-01-01

    Graphical abstract: - Highlights: • A novel method combining electrospinning and electrophoretic deposition was established for the creation of nanostructured semiconductor thin films. • The created thin films displayed a high chemical stability with a controllable thickness. • The PEC water splitting performance of the thin films was optimized by fine-tuning the thickness of the films. • A maximum photoconversion efficiency was achieved by 18 μm nanofibrous thin films. - Abstract: Electrophoretic deposition (EPD) of ground electrospun WO 3 nanofibers was applied to create photoanodes with controlled morphology for the application of photoelectrochemical (PEC) water splitting. The correlations between deposition parameters and film thicknesses were investigated with theoretical models to precisely control the morphology of the nanostructured porous thin film. The photoconversion efficiency was further optimized as a function of film thickness. A maximum photoconversion efficiency of 0.924% from electrospun WO 3 nanofibers that EPD deposited on a substrate was achieved at a film thickness of 18 μm.

  20. Superconducting niobium nitride films deposited by unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Olaya, J.J. [Departamento de Ingenieria Mecanica y Mecatronica, Universidad Nacional de Colombia, Ciudad Universitaria, Carrera 30 Numero 45-03, Bogota (Colombia); Huerta, L. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito exterior s/n, CU Coyoacan, Mexico D.F. 04510 (Mexico); Rodil, S.E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito exterior s/n, CU Coyoacan, Mexico D.F. 04510 (Mexico)], E-mail: ser42@iim.unam.mx; Escamilla, R. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito exterior s/n, CU Coyoacan, Mexico D.F. 04510 (Mexico)

    2008-10-01

    Niobium nitride (NbN) thin films were deposited under different configurations of the magnetic field using a magnetron sputtering system. The magnetic field configuration varied from balanced to unbalanced leading to different growth conditions and film properties. The aim of the paper was to identify correlations between deposition conditions, film properties and the electrical properties, specially the superconductive critical temperature (T{sub C}). The results suggested that there is a critical deposition condition, having an optimum ion-atom arrival ratio that promotes a well ordered and textured nanocrystalline structure (cubic phase) with the minimum residual stress and only under this condition a high critical temperature (16K) was obtained. Lower T{sub C} values around 12K were obtained for the NbN samples having a lower degree of structural perfection and texture, and a larger fraction of intergranular voids. On the other hand, analysis of valence-band spectra showed that the contribution of the Nb 4d states remained essentially constant while the higher T{sub C} was correlated to a higher contribution of the N 2p states.

  1. Superconducting niobium nitride films deposited by unbalanced magnetron sputtering

    International Nuclear Information System (INIS)

    Olaya, J.J.; Huerta, L.; Rodil, S.E.; Escamilla, R.

    2008-01-01

    Niobium nitride (NbN) thin films were deposited under different configurations of the magnetic field using a magnetron sputtering system. The magnetic field configuration varied from balanced to unbalanced leading to different growth conditions and film properties. The aim of the paper was to identify correlations between deposition conditions, film properties and the electrical properties, specially the superconductive critical temperature (T C ). The results suggested that there is a critical deposition condition, having an optimum ion-atom arrival ratio that promotes a well ordered and textured nanocrystalline structure (cubic phase) with the minimum residual stress and only under this condition a high critical temperature (16K) was obtained. Lower T C values around 12K were obtained for the NbN samples having a lower degree of structural perfection and texture, and a larger fraction of intergranular voids. On the other hand, analysis of valence-band spectra showed that the contribution of the Nb 4d states remained essentially constant while the higher T C was correlated to a higher contribution of the N 2p states

  2. Supercritical fluid molecular spray film deposition and powder formation

    Science.gov (United States)

    Smith, Richard D.

    1986-01-01

    Solid films are deposited, or fine powders formed, by dissolving a solid material into a supercritical fluid solution at an elevated pressure and then rapidly expanding the solution through a short orifice into a region of relatively low pressure. This produces a molecular spray which is directed against a substrate to deposit a solid thin film thereon, or discharged into a collection chamber to collect a fine powder. Upon expansion and supersonic interaction with background gases in the low pressure region, any clusters of solvent are broken up and the solvent is vaporized and pumped away. Solute concentration in the solution is varied primarily by varying solution pressure to determine, together with flow rate, the rate of deposition and to control in part whether a film or powder is produced and the granularity of each. Solvent clustering and solute nucleation are controlled by manipulating the rate of expansion of the solution and the pressure of the lower pressure region. Solution and low pressure region temperatures are also controlled.

  3. Co-depositing Sn controls the growth of Al films as surfactant

    International Nuclear Information System (INIS)

    Barna, P. B.; Kovacs, A.; Misjak, F.; Eisenmenger-Sittner, C.; Bangert, H.; Tomastik, C.

    2002-01-01

    The present study investigates the influence of co-deposited Sn on the atomic processes involved in the structure evolution of vapour-deposited Al films. The films were prepared in HV by thermal evaporation from W sources at 1600 C substrate temperature either on Si wafers covered by a thermally grown oxide or on air cleaved mica. By applying the half-shadow technique, pure and Sn-doped Al films could be deposited simultaneously. The samples were investigated by AFM, scanning AES, X-TEM as well as by X-ray diffraction methods. The grain growth of Al is promoted by Sn in all stages of the film formation. Scanning AES measurements prove the existence of a wetting Sn layer both on the surface of Al islands and on the surface of the continuos Al layer. Excess Sn forms islands on the growth surface. The surface of pure Al layers exhibits grain boundary grooves and bunches of growth steps around terraces, while that of the Sn doped layers is more rounded. The substrate-film interface was covered by a thin Sn layer. AES measurements also prove the presence of Sn on the growth surface of Al films even after termination of Sn addition. Results of these experiments indicate that during co-deposition of Al and Sn the impinging Al atoms penetrate the wetting layer and are incorporated into the already existing Al crystals. A model has been developed for describing the growth of Al crystals in the presence Sn. (Authors)

  4. High-rate deposition of photocatalytic TiO2 films by oxygen plasma assist reactive evaporation method

    International Nuclear Information System (INIS)

    Sakai, Tetsuya; Kuniyoshi, Yuji; Aoki, Wataru; Ezoe, Sho; Endo, Tatsuya; Hoshi, Yoichi

    2008-01-01

    High-rate deposition of titanium dioxide (TiO 2 ) film was attempted using oxygen plasma assisted reactive evaporation (OPARE) method. Photocatalytic properties of the film were investigated. During the deposition, the substrate temperature was fixed at 400 deg. C. The film deposition rate can be increased by increasing the supply of titanium atoms to the substrate, although oversupply of the titanium atoms causes oxygen deficiency in the films, which limits the deposition rate. The film structure depends strongly on the supply ratio of oxygen molecules to titanium atoms O 2 /Ti and changes from anatase to rutile structure as the O 2 /Ti supply ratio increased. Consequently, the maximum deposition rates of 77.0 nm min -1 and 145.0 nm min -1 were obtained, respectively, for the anatase and rutile film. Both films deposited at such high rates showed excellent hydrophilicity and organic decomposition performance. Even the film with rutile structure deposited at 145.0 nm min -1 had a contact angle of less than 2.5 deg. by UV irradiation for 5.0 h and an organics-decomposition performance index of 8.9 [μmol l -1 min -1 ] for methylene blue

  5. Diamond-like carbon films deposited by a hybrid ECRCVD system

    International Nuclear Information System (INIS)

    Guo, C.T.; Dittrich, K.-H.

    2007-01-01

    A novel hybrid technique for diamond-like carbon (DLC) film deposition has been developed. This technique combines the electron cyclotron resonance chemical vapor deposition (ECRCVD) of C 2 H 2 and metallic magnetron sputtering. Here we described how DLC film is used for a variety of applications such as stamper, PCB micro-tools, and threading form-tools by taking advantage of hybrid ECRCVD system. The structure of the DLC films is delineated by a function of bias voltages by Raman spectroscopy. This function includes parameters such as dependence of G peak positions and the intensity ratio (I D /I G ). Atomic force microscope (AFM) examines the root-mean-square (R.M.S.) roughness and the surface morphology. Excellent adhesion and lower friction coefficients of a DLC film were also assessed

  6. Influence of solution deposition rate on properties of V_2O_5 thin films deposited by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Abd–Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-01-01

    Vanadium oxide (V_2O_5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl_3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V_2O_5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  7. Selective ablation of a titanium nitride film on tungsten carbide substrate using ultrashort laser pulses

    International Nuclear Information System (INIS)

    Oliveira, Eduardo Spinelli

    2017-01-01

    Surface coatings are applied to many cutting tools in the metallurgical industry in order to improve cutting efficiency and extend its useful life. In this work, tests were performed to remove the coating of titanium aluminum nitride (TiAlN) on tungsten carbide (WC-Co) pellets, using an ultrashort laser pulses beam. After determination of the damage thresholds of the film and the substrate, were ablated on the surface of the coating lines using two ablation conditions, it was initially operated on the low fluence regime for the film, and later on the low fluence regime of the substrate, far below the threshold of the film, applying high overlapping pulses. A laser induced breakdown spectroscopy (LIBS) system was set up to monitor the materials present in the plasma generated by the laser, but the system did not present sufficient sensitivity to read the low intensity of the plasma generated in the process and was not used. After the analysis of the traces by electron microscopy, optical profilometer and X-ray fluorescence spectroscopy, it was not possible to determine a safe process to carry out the selective removal of the film in question, however, due to the data obtained and observations of the results in some traces, new possibilities were raised, opening the discussion for future work. (author)

  8. Nb3Al thin film deposition for low-noise terahertz electronics

    International Nuclear Information System (INIS)

    Dochev, D; Pavolotsky, A B; Belitsky, V; Olofsson, H

    2008-01-01

    Higher energy gap superconducting materials were always interesting for low-noise mixer applications such as superconductor-insulator-superconductor tunnel junctions (SIS) and hot-electron bolometer (HEB) used in sub-millimeter and terahertz parts of electro-magnetic spectrum. Here, we report a novel approach for producing Nb 3 Al thin film by co-sputtering from two confocally arranged Nb and Al dc-magnetrons onto substrate heated up to 830 deg. C. Characterization of the deposited films revealed presence of the A15 phase and measured critical temperature was up to 15.7 K with the transition width 0.2-0.3 K for a 300 nm thick film. We measured the film critical magnetic field and studied influence of annealing on the film properties. We have investigated compositional depth profile of the deposited films by spectroscopy of reflected electrons

  9. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  10. Cathodoluminescence characteristics of polycrystalline diamond films grown by cyclic deposition method

    International Nuclear Information System (INIS)

    Seo, Soo-Hyung; Park, Chang-Kyun; Park, Jin-Seok

    2002-01-01

    Polycrystalline diamond films were deposited using a cyclic deposition method where the H 2 plasma for etching (t E ) and the CH 4 +H 2 plasma for growing (t G ) are alternately modulated with various modulation ratios (t E /t G ). From the measurement of full width at half maximum and I D /I G intensity ratio obtained from the Raman spectra, it was found that diamond defects and non-diamond carbon phases were reduced a little by adopting the cyclic deposition method. From the cathodoluminescence (CL) characteristics measured for deposited films, the nitrogen-related band (centered at approximately 590 nm) as well as the so-called band-A (centered at approximately 430 nm) were observed. As the cyclic ratio t E /t G increased, the relative intensity ratio of band-A to nitrogen-related band (I A /I N ) was found to monotonically decrease. In addition, analysis of X-ray diffraction spectra and scanning electron microscope morphologies showed that CL characteristics of deposited diamond films were closely related to their crystal orientations and morphologies

  11. Deposition of superconducting (Cu, C)-Ba-O films by pulsed laser deposition at moderate temperature

    International Nuclear Information System (INIS)

    Yamamoto, Tetsuro; Kikunaga, Kazuya; Obara, Kozo; Terada, Norio; Kikuchi, Naoto; Tanaka, Yasumoto; Tokiwa, Kazuyasu; Watanabe, Tsuneo; Sundaresan, Athinarayanan; Shipra

    2007-01-01

    Superconducting (Cu, C)-Ba-O thin films have been epitaxially grown on (100) SrTiO 3 at a low growth temperature of 500-600 deg. C by pulsed laser deposition. The dependences of their crystallinity and transport properties on preparation conditions have been investigated in order to clarify the dominant parameters for carbon incorporation and the emergence of superconductivity. It has been revealed that the CO 3 content in the films increases with increasing both the parameters of partial pressure of CO 2 during film growth and those of growth rate and enhancement of superconducting properties. The present study has also revealed that the structural and superconducting properties of the (Cu, C)-Ba-O films are seriously deteriorated by the irradiation of energetic particles during deposition. Suppression of the radiation damage is another key for a high and uniform superconducting transition. By these optimizations, a superconducting onset temperature above 50 K and a zero-resistance temperature above 40 K have been realized

  12. Morphology of CdSe films prepared by chemical bath deposition: The role of substrate

    International Nuclear Information System (INIS)

    Simurda, M.; Nemec, P.; Formanek, P.; Nemec, I.; Nemcova, Y.; Maly, P.

    2006-01-01

    We combine optical spectroscopy and transmission electron microscopy to study the growth and the structural morphology of CdSe films prepared by chemical bath deposition (CBD) on two considerably different substrates. The films grown on glass are compact and strongly adherent to the substrate. On the contrary, the films deposited on carbon-coated glass (with approx. 20 nm thick amorphous carbon layer) are only loosely adherent to the substrate. Using transmission electron microscopy we revealed that even though the films grown on both substrates are assembled from closely spaced nanocrystals with diameter of about 5 nm, the films morphology on the sub-micrometer scale is considerably different in the two cases. While the films deposited on glass are rather compact, the films prepared on carbon layer have high porosity and are formed by interconnected spheres which size is dependent on the duration of deposition (e.g. 155 nm for 6 h and 350 nm for 24 h). This shows that the choice of the substrate for CBD has a stronger influence on the sub-micrometer film morphology than on the properties of individual nanocrystals forming the film

  13. Hydrogen evolution activity and electrochemical stability of selected transition metal carbides in concentrated phosphoric acid

    DEFF Research Database (Denmark)

    Tomás García, Antonio Luis; Jensen, Jens Oluf; Bjerrum, Niels J.

    2014-01-01

    phosphoric acid were investigated in a temperature range from 80 to 170°C. A significant dependence of the activities on temperature was observed for all five carbide samples. Through the entire temperature range Group 6 metal carbides showed higher activity than that of the Group 5 metal carbides......Alternative catalysts based on carbides of Group 5 (niobium and tantalum) and 6 (chromium, molybdenum and tungsten) metals were prepared as films on the metallic substrates. The electrochemical activities of these carbide electrodes towards the hydrogen evolution reaction (HER) in concentrated...

  14. Effect of deposition temperature on the properties of ZnO-doped indium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung Jin; Cho, Shin Ho [Silla University, Busan (Korea, Republic of)

    2014-05-15

    ZnO-doped In{sub 2}O{sub 3} (ZIO) thin films were deposited on quartz substrates at various deposition temperatures by radio-frequency magnetron sputtering. All the ZIO thin films showed a significant dependence on the deposition temperature. A strong preferential growth orientation was observed for all samples except the one deposited at 25 .deg. C. As the deposition temperature was increased, the crystalline orientation of the main (222) plane did not change, but the full width at half maximum got smaller and the intensity increased rapidly. The ZIO thin film deposited at 100 .deg. C showed the highest figure of merit with an average particle size of 60 nm, a bandgap energy of 3.51 eV, an electrical resistivity of 2.63 x 10{sup -3} Ωcm, and an electron concentration of 4.99 x 10{sup 20} cm{sup -3}. A blue-shift of optical bandgap energy was observed with increasing deposition temperature. These results suggest that the optimum deposition temperature for growing high-quality ZIO films is 100 .deg. C and that the structural, optical, and electrical properties of ZIO thin films can be modulated by controlling the deposition temperature.

  15. Nucleation and strain-stabilization during organic semiconductor thin film deposition.

    Science.gov (United States)

    Li, Yang; Wan, Jing; Smilgies, Detlef-M; Bouffard, Nicole; Sun, Richard; Headrick, Randall L

    2016-09-07

    The nucleation mechanisms during solution deposition of organic semiconductor thin films determine the grain morphology and may influence the crystalline packing in some cases. Here, in-situ optical spectromicroscopy in reflection mode is used to study the growth mechanisms and thermal stability of 6,13-bis(trisopropylsilylethynyl)-pentacene thin films. The results show that the films form in a supersaturated state before transforming to a solid film. Molecular aggregates corresponding to subcritical nuclei in the crystallization process are inferred from optical spectroscopy measurements of the supersaturated region. Strain-free solid films exhibit a temperature-dependent blue shift of optical absorption peaks due to a continuous thermally driven change of the crystalline packing. As crystalline films are cooled to ambient temperature they become strained although cracking of thicker films is observed, which allows the strain to partially relax. Below a critical thickness, cracking is not observed and grazing incidence X-ray diffraction measurements confirm that the thinnest films are constrained to the lattice constants corresponding to the temperature at which they were deposited. Optical spectroscopy results show that the transition temperature between Form I (room temperature phase) and Form II (high temperature phase) depends on the film thickness, and that Form I can also be strain-stabilized up to 135 °C.

  16. Pulsed laser deposition and characterisation of thin superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Morone, A [CNR, zona industriale di Tito Scalo, Potenza (Italy). Istituto per i Materiali Speciali

    1996-09-01

    Same concepts on pulsed laser deposition of thin films will be discussed and same examples of high transition temperature (HTc) BiSrCaCuO (BISCO) and low transition temperature NbN/MgO/NbN multilayers will be presented. X-ray and others characterizations of these films will be reported and discussed. Electrical properties of superconducting thin films will be realized as a function of structural and morphological aspect.

  17. Growth and microstructure of columnar Y-doped SrZrO{sub 3} films deposited on Pt-coated MgO by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Sijun, E-mail: sluo1@tulane.edu; Riggs, Brian C.; Shipman, Joshua T.; Adireddy, Shiva; Sklare, Samuel C.; Chrisey, Douglas B., E-mail: dchrisey@tulane.edu [Department of Physics and Engineering Physics, Tulane University, New Orleans, Louisiana 70118 (United States); Zhang, Xiaodong; Koplitz, Brent [Department of Chemistry, Tulane University, New Orleans, Louisiana 70118 (United States)

    2015-07-21

    Direct integration of proton conductor films on Pt-coated substrates opens the way to film-based proton transport devices. Columnar SrZr{sub 0.95}Y{sub 0.05}O{sub 3−δ} (SZY) films with dense microstructure were deposited on Pt-coated MgO(100) substrates at 830 °C by pulsed laser deposition. The optimal window of ambient O{sub 2} pressure for good crystallinity of SZY films is from 400 to 600 mTorr. The ambient O{sub 2} compresses the plasma plume of SZY and increases the deposition rate. The 10 nm thick Ti adhesion layer on MgO(100) greatly affects the orientation of the sputtered Pt layers. Pt deposited directly on MgO shows a highly (111)-preferred orientation and leads to preferentially oriented SZY films while the addition of a Ti adhesion layer makes Pt show a less preferential orientation that leads to randomly oriented SZY films. The RMS surface roughness of preferentially oriented SZY films is larger than that of randomly oriented SZY films deposited under the same ambient O{sub 2} pressure. As the O{sub 2} pressure increased, the RMS surface roughness of preferentially oriented SZY films increased, reaching 45.7 nm (2.61% of film thickness) at 600 mTorr. This study revealed the ambient O{sub 2} pressure and orientation dependent surface roughness of SZY films grown on Pt-coated MgO substrates, which provides the potential to control the surface microstructure of SZY films for electrochemical applications in film-based hydrogen devices.

  18. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  19. Effects of filtered cathodic vacuum arc deposition (FCVAD) conditions on photovoltaic TiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Aramwit, C. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Bootkul, D. [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Supsermpol, B.; Seanphinit, N. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Western Digital Thailand Co. Ltd., Ayutthaya 13160 (Thailand); Ruangkul, W. [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Highlights: • Titanium dioxide films were synthesized using the FCVAD technique. • Various FCVAD conditions were tested. • The TiO{sub 2} films were characterized. • The FCVAD condition effects on the film characteristics were studied. • The O{sub 2} pressure had the most important effect on the film quality. - Abstract: Titanium dioxide (TiO{sub 2}) films for photovoltaic applications were synthesized using filtered cathodic vacuum arc deposition (FCVAD) technique. Various deposition conditions were tested for an optimal film formation. The conditions included the oxygen (O{sub 2}) pressure which was varied from a base pressure 10{sup −5} to 10{sup −4}, 10{sup −3}, 10{sup −2} and 10{sup −1} Torr, sample holder bias varied using 0 or −250 V, deposition time varied from 10, 20 to 30 min, and deposition distance varied from 1 to 3 cm. The deposited films were also annealed and compared with unannealed ones. The films under various conditions were characterized using optical microscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), energy-dispersive X-ray spectroscopy (EDS) and Raman spectroscopy techniques. The film transparency increased and thickness decreased to a nanoscale with increasing of the O{sub 2} pressure. The transparent deposited films contained stoichiometric titanium and oxygen under the medium O{sub 2} pressure. The as-deposited films were TiO{sub 2} containing some rutile but no anatase which needed annealing to form.

  20. Surface Acoustic Wave Monitor for Deposition and Analysis of Ultra-Thin Films

    Science.gov (United States)

    Hines, Jacqueline H. (Inventor)

    2015-01-01

    A surface acoustic wave (SAW) based thin film deposition monitor device and system for monitoring the deposition of ultra-thin films and nanomaterials and the analysis thereof is characterized by acoustic wave device embodiments that include differential delay line device designs, and which can optionally have integral reference devices fabricated on the same substrate as the sensing device, or on a separate device in thermal contact with the film monitoring/analysis device, in order to provide inherently temperature compensated measurements. These deposition monitor and analysis devices can include inherent temperature compensation, higher sensitivity to surface interactions than quartz crystal microbalance (QCM) devices, and the ability to operate at extreme temperatures.

  1. UV pulsed laser deposition of magnetite thin films

    International Nuclear Information System (INIS)

    Parames, M.L.; Mariano, J.; Rogalski, M.S.; Popovici, N.; Conde, O.

    2005-01-01

    Magnetite thin films were grown by pulsed laser deposition in O 2 reactive atmosphere from Fe 3 O 4 targets. The ablated material was deposited onto Si(1 0 0) substrates at various temperatures up to 623 K. The temperature dependence of structure and stoichiometry was investigated by X-ray diffraction (XRD) and conversion electron Moessbauer spectroscopy (CEMS). The XRD results show that films grown between 483 and 623 K are obtained as pure phase magnetite with an estimated average crystallite size increasing from 14 to 35 nm, respectively. This is in agreement with the CEMS spectra analysis, indicating isomer shift and internal field values for both the T d and O h sites close to those reported for the bulk material and a random orientation of the magnetic moments. The influence of the deposition temperature on the estimated Fe (9-x)/3 O 4 stoichiometry is related to an increase in the vacancy concentration from 483 to 623 K

  2. Glancing angle deposition of thin films engineering the nanoscale

    CERN Document Server

    Hawkeye, Matthew M; Brett, Michael J

    2014-01-01

    This book provides a highly practical treatment of GLAD technology, gathering existing procedures, methodologies, and experimental designs into a single, cohesive volume which will be useful both as a ready reference for those in the field and as a definitive guide for those entering it. It covers: History and development of GLAD techniquesProperties and Characterization of GLAD fabricated filmsDesign and engineering of optical GLAD films including fabrication and testingPost-deposition processing and integrationDeposition systems for GLAD fabrication Also includes a patent survey of relevant literature and a survey of GLAD's wide range of material properties and diverse applications.

  3. Hydrogen absorption in thin ZnO films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Melikhova, O.; Čížek, J.; Lukáč, F.; Vlček, M.; Novotný, M.; Bulíř, J.; Lančok, J.; Anwand, W.; Brauer, G.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.

    2013-01-01

    Highlights: ► Thin ZnO films and high quality ZnO crystal were electrochemically doped with hydrogen. ► Hydrogen absorbed in ZnO causes plastic deformation both in ZnO crystal and thin films. ► In ZnO crystal a sub-surface region with very high density of defects was formed. ► Moreover, plastic deformation causes specific surface modification of ZnO crystal. ► In ZnO films hydrogen-induced plastic deformation introduced defects in the whole film. -- Abstract: ZnO films with thickness of ∼80 nm were grown by pulsed laser deposition (PLD) on MgO (1 0 0) single crystal and amorphous fused silica (FS) substrates. Structural studies of ZnO films and a high quality reference ZnO single crystal were performed by slow positron implantation spectroscopy (SPIS). It was found that ZnO films exhibit significantly higher density of defects than the reference ZnO crystal. Moreover, the ZnO film deposited on MgO substrate exhibits higher concentration of defects than the film deposited on amorphous FS substrate most probably due to a dense network of misfit dislocations. The ZnO films and the reference ZnO crystal were subsequently loaded with hydrogen by electrochemical cathodic charging. SPIS characterizations revealed that absorbed hydrogen introduces new defects into ZnO

  4. Hydrogen absorption in thin ZnO films prepared by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Melikhova, O., E-mail: oksivmel@yahoo.com [Charles University in Prague, Faculty of Mathematics and Physics, V Holesovickach 2, CZ-180 00 Praha 8 (Czech Republic); Čížek, J.; Lukáč, F.; Vlček, M. [Charles University in Prague, Faculty of Mathematics and Physics, V Holesovickach 2, CZ-180 00 Praha 8 (Czech Republic); Novotný, M.; Bulíř, J.; Lančok, J. [Institute of Physics, Academy of Sciences of the Czech Republic, Na Slovance 2, 182 21 Prague (Czech Republic); Anwand, W.; Brauer, G. [Institut für Strahlenphysik, Helmholtz-Zentrum Dresden-Rossendorf, PO Box 510 119, D-01314 Dresden (Germany); Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P. [National Centre for Plasma Science and Technology, School of Physical Sciences, Glasnevin, Dublin 9 (Ireland)

    2013-12-15

    Highlights: ► Thin ZnO films and high quality ZnO crystal were electrochemically doped with hydrogen. ► Hydrogen absorbed in ZnO causes plastic deformation both in ZnO crystal and thin films. ► In ZnO crystal a sub-surface region with very high density of defects was formed. ► Moreover, plastic deformation causes specific surface modification of ZnO crystal. ► In ZnO films hydrogen-induced plastic deformation introduced defects in the whole film. -- Abstract: ZnO films with thickness of ∼80 nm were grown by pulsed laser deposition (PLD) on MgO (1 0 0) single crystal and amorphous fused silica (FS) substrates. Structural studies of ZnO films and a high quality reference ZnO single crystal were performed by slow positron implantation spectroscopy (SPIS). It was found that ZnO films exhibit significantly higher density of defects than the reference ZnO crystal. Moreover, the ZnO film deposited on MgO substrate exhibits higher concentration of defects than the film deposited on amorphous FS substrate most probably due to a dense network of misfit dislocations. The ZnO films and the reference ZnO crystal were subsequently loaded with hydrogen by electrochemical cathodic charging. SPIS characterizations revealed that absorbed hydrogen introduces new defects into ZnO.

  5. Adhesion analysis for chromium nitride thin films deposited by reactive magnetron sputtering

    Science.gov (United States)

    Rusu, F. M.; Merie, V. V.; Pintea, I. M.; Molea, A.

    2016-08-01

    The thin film industry is continuously growing due to the wide range of applications that require the fabrication of advanced components such as sensors, biological implants, micro-electromechanical devices, optical coatings and so on. The selection regarding the deposition materials, as well as the deposition technology influences the properties of the material and determines the suitability of devices for certain real-world applications. This paper is focused on the adhesion force for several chromium nitride thin films obtained by reactive magnetron sputtering. All chromium nitride thin films were deposited on a silicon substrate, the discharge current and the argon flow being kept constant. The main purpose of the paper is to determine the influence of deposition parameters on the adhesion force. Therefore some of the deposition parameters were varied in order to study their effect on the adhesion force. Experimentally, the values of the adhesion force were determined in multiple points for each sample using the spectroscopy in point mode of the atomic force microscope. The obtained values were used to estimate the surface energy of the CrN thin films based on two existing mathematical models for the adhesion force when considering the contact between two bodies.

  6. Shape memory effect and microstructures of sputter-deposited Cu-Al-Ni films

    International Nuclear Information System (INIS)

    Minemura, T.; Andoh, H.; Kita, Y.; Ikuta, I.

    1985-01-01

    The shape memory effect has been found in many alloy systems which exhibit a thermoelastic martensite transformation. Cu-Al-Ni alloys exhibit an excellent shape memory effect in single crystalline states, but they have not yet been commercially used due to their brittle fracture along the grain boundaries in polycrystalline states. This letter reports the shape memory effect and microstructures of the sputter-deposited Cu-Al-Ni films. Cu-14%Al-4%Ni alloy ingot was prepared. A target for sputter deposition was cut from the ingot. Aluminium foils (20 μm thick) were used for the substrates of sputter deposition. The microstructures and crystal structures of the films were investigated by transmission electron microscopy (TEM) and X-ray diffraction using CuKα radiation, respectively. The effect of the sputtering conditions such as substrate temperature, partial pressure of argon gas, and the sputtering power on the structures of sputter-deposited Cu-14%Al-4%Ni films were investigated by X-ray diffraction. Results are shown and discussed. Photographs demonstrate shape memory behaviour of Cu-14%Al-4%Ni films sputter-deposited on aluminium foils from (a) liquid nitrogen temperature to (d) room temperature. (author)

  7. Photoluminescence in Spray Pyrolysis Deposited β-In2S3 Thin Films

    Science.gov (United States)

    Jayakrishnan, R.

    2018-04-01

    Spray pyrolysis deposited In2S3 thin films exhibit two prominent photoluminescent emissions. One of the emissions is green in color and centered at around ˜ 540 nm and the other is centered at around ˜ 690 nm and is red in color. The intensity of the green emission decreases when the films are subjected to annealing in air or vacuum. The intensity of red emission increases when films are air annealed and decreases when vacuum annealed. Vacuum annealing leads to an increase in work function whereas air annealing leads to a decrease in work function for this thin film system relative to the as deposited films indicating changes in space charge regions. Surface photovoltage analysis using a Kelvin probe leads to the conclusion that inversion of band bending occurs as a result of annealing. Correlating surface contact potential measurements using a Kelvin probe, x-ray photoelectron spectroscopy and photoluminescence, we conclude that the surface passivation plays a critical role in controlling the photoluminescence from the spray pyrolysis deposited for In2S3 thin films.

  8. Fabrication of thin film CZTS solar cells with Pulsed Laser Deposition

    DEFF Research Database (Denmark)

    Cazzaniga, Andrea Carlo

    behind the Quantum Efficiency (QE) curve. What do I learn by reading this thesis? You will learn how to deposit a thin film CZTS absorber layer with Pulsed Laser Deposition with the desired composition. In addition, you will see how material transfer in PLD, which is generally believed...... to be stoichiometric, can be very much non-stoichiometric. How to do it? I suggest to do PLD on a single sintered target (2CuS:ZnS:SnS) .The films are deposited at room temperature and then annealed in a furnace with some sulfur powder aside. The annealing step is as important as the PLD step to the final device...... the non-equilibrium properties of PLD for the production of CZTS films. This may enable one to deposit crystalline CZTS at lower substrate temperature, with no requirement for an annealing step afterwards. Preliminary results do not seem too encouraging. The main obstacle to this approach may...

  9. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Rachel L. Wilson

    2018-03-01

    Full Text Available Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes, at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  10. Nitrogen incorporation in sputter deposited molybdenum nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Stöber, Laura, E-mail: laura.stoeber@tuwien.ac.at; Patocka, Florian, E-mail: florian.patocka@tuwien.ac.at; Schneider, Michael, E-mail: michael.schneider@tuwien.ac.at; Schmid, Ulrich, E-mail: ulrich.e366.schmid@tuwien.ac.at [Institute of Sensor and Actuator Systems, TU Wien, Gußhausstraße 27-29, A-1040 Vienna (Austria); Konrath, Jens Peter, E-mail: jenspeter.konrath@infineon.com; Haberl, Verena, E-mail: verena.haberl@infineon.com [Infineon Technologies Austria AG, Siemensstraße 2, 9500 Villach (Austria)

    2016-03-15

    In this paper, the authors report on the high temperature performance of sputter deposited molybdenum (Mo) and molybdenum nitride (Mo{sub 2}N) thin films. Various argon and nitrogen gas compositions are applied for thin film synthetization, and the amount of nitrogen incorporation is determined by Auger measurements. Furthermore, effusion measurements identifying the binding conditions of the nitrogen in the thin film are performed up to 1000 °C. These results are in excellent agreement with film stress and scanning electron microscope analyses, both indicating stable film properties up to annealing temperatures of 500 °C.

  11. Cataphoretic assembly of cationic dyes and deposition of carbon nanotube and graphene films.

    Science.gov (United States)

    Su, Y; Zhitomirsky, I

    2013-06-01

    Cathodic electrophoretic deposition (EPD) method has been developed for the fabrication of thin films from aqueous solutions of crystal violet (CV) dyes. The films contained rod-like particles with a long axis oriented perpendicular to the substrate surface. The proposed deposition mechanism involved cataphoresis of cationic CV(+) species, base generation in the cathodic reactions, and charge neutralization at the electrode surface. The assembly of rod-like particles was governed by π-π interactions of polyaromatic CV molecules. The deposition kinetics was studied by quartz crystal microbalance. CV dyes allowed efficient dispersion of multiwalled carbon nanotubes (MWCNTs) and graphene in water at relatively low CV concentrations. The feasibility of cathodic EPD of MWCNT and graphene from aqueous suspensions, containing CV, has been demonstrated. The deposition yield was investigated at different CV concentrations and deposition voltages. The relatively high deposition yield of MWCNT and graphene indicated that CV is an efficient dispersing, charging, and film forming agent for EPD. Electron microscopy data showed that at low CV concentrations in MWCNT or graphene suspensions and low deposition voltages, the films contained mainly MWCNT or graphene. The increase in the CV concentration and/or deposition voltage resulted in enhanced co-deposition of CV. The EPD method developed in this investigation paves the way for the fabrication of advanced nanocomposites by cathodic electrodeposition. Copyright © 2013 Elsevier Inc. All rights reserved.

  12. Heating effect of substrate of pulsed laser ablation deposition technique towards the orientation of carbon microstructure

    International Nuclear Information System (INIS)

    Choy, L.S.; Irmawati Ramli; Noorhana Yahya; Abdul Halim Shaari

    2009-01-01

    Full text: Carbon thin film has been successfully deposited by second harmonic Nd:YAG pulsed laser ablation deposition, PLAD. The topology and morphology of the deposited layers was studied by scanning electron microscopy (SEM) whereas emission dispersion X-ray (EDX) was used to determine the existence of elements that constitutes the microstructure. Substrate heated at 500 degree Celsius during the laser ablation showed the most homogenous lollipop microstructure as compared to mainly pillars of microstructure ablated at lower substrate temperature. It is found that this also avoid further diffusion of carbon into catalyst in forming iron carbide. (author)

  13. Chemical solution deposition of YBCO thin film by different polymer additives

    International Nuclear Information System (INIS)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y.; Zhang, H.; Yang, Y.; Cheng, C.H.; Zhao, Y.

    2008-01-01

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T c = 90 K as well as high J c (0 T, 77 K) over 3 MA/cm 2

  14. Chemical solution deposition of YBCO thin film by different polymer additives

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Zhang, H. [Department of Physics, Peking University, Beijing 100871 (China); Yang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia); Zhao, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia)], E-mail: yzhao@swjtu.edu.cn

    2008-09-15

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T{sub c} = 90 K as well as high J{sub c} (0 T, 77 K) over 3 MA/cm{sup 2}.

  15. Ion assistance effects on electron beam deposited MgF sub 2 films

    CERN Document Server

    Alvisi, M; Della Patria, A; Di Giulio, M; Masetti, E; Perrone, M R; Protopapa, M L; Tepore, A

    2002-01-01

    Thin films of MgF sub 2 have been deposited by the ion-assisted electron-beam evaporation technique in order to find out the ion beam parameters leading to films of high laser damage threshold whose optical properties are stable under uncontrolled atmosphere conditions. It has been found that the ion-assisted electron-beam evaporation technique allows getting films with optical properties (refraction index and extinction coefficient) of high environmental stability by properly choosing the ion-source voltage and current. But, the laser damage fluence at 308 nm was quite dependent on the assisting ion beam parameters. Larger laser damage fluences have been found for the films deposited by using assisting ion beams delivered at lower anode voltage and current values. It has also been found that the films deposited without ion assistance were characterized by the highest laser damage fluence (5.9 J/cm sup 2) and the lowest environmental stability. The scanning electron microscopy analysis of the irradiated areas...

  16. Hydrogen-Induced Buckling of Pd Films Deposited on Various Substrates

    KAUST Repository

    Vlček, Marián

    2015-07-01

    A Pd-H system is a model system suitable for studying interactions of hydrogen with metals. In the present work, we studied hydrogen-induced buckling of thin Pd films deposited on various substrates with different bonding strengths (sapphire, glimmer) and also the effect of deposition temperature. Lattice expansion and phase transitions were investigated by X-ray diffraction of synchrotron radiation. The influence of the substrate and microstructure of the film on the buckling process and phase transformation to palladium hydride are discussed.

  17. Hydrogen-Induced Buckling of Pd Films Deposited on Various Substrates

    KAUST Repository

    Vlček, Mariá n; Luká č, František; Vlach, Martin; Prochá zka, Ivan; Wagner, Stefan; Uchida, Helmut; Pundt, Astrid; Gemma, Ryota; Čí žek, Jakub

    2015-01-01

    A Pd-H system is a model system suitable for studying interactions of hydrogen with metals. In the present work, we studied hydrogen-induced buckling of thin Pd films deposited on various substrates with different bonding strengths (sapphire, glimmer) and also the effect of deposition temperature. Lattice expansion and phase transitions were investigated by X-ray diffraction of synchrotron radiation. The influence of the substrate and microstructure of the film on the buckling process and phase transformation to palladium hydride are discussed.

  18. Novel doped hydroxyapatite thin films obtained by pulsed laser deposition

    International Nuclear Information System (INIS)

    Duta, L.; Oktar, F.N.; Stan, G.E.; Popescu-Pelin, G.; Serban, N.; Luculescu, C.; Mihailescu, I.N.

    2013-01-01

    Highlights: ► HA coatings synthesized by pulsed laser deposition. ► Comparative study of commercial vs. animal origin materials. ► HA coatings of animal origin were rougher and more adherent to substrates. ► Animal origin films can be considered as promising candidates for implant coatings. - Abstract: We report on the synthesis of novel ovine and bovine derived hydroxyapatite thin films on titanium substrates by pulsed laser deposition for a new generation of implants. The calcination treatment applied to produce the hydroxyapatite powders from ovine/bovine bones was intended to induce crystallization and to prohibit the transmission of diseases. The deposited films were characterized by scanning electron microscopy, X-ray diffraction, Fourier transform infrared spectroscopy, and energy dispersive X-ray spectroscopy. Pull-off adherence and profilometry measurements were also carried out. X-ray diffraction ascertained the polycrystalline hydroxyapatite nature of the powders and films. Fourier transform infrared spectroscopy evidenced the vibrational bands characteristic to a hydroxyapatite material slightly carbonated. The micrographs of the films showed a uniform distribution of spheroidal particulates with a mean diameter of ∼2 μm. Pull-off measurements demonstrated excellent bonding strength values between the hydroxyapatite films and the titanium substrates. Because of their physical–chemical properties and low cost fabrication from renewable resources, we think that these new coating materials could be considered as a prospective competitor to synthetic hydroxyapatite used for implantology applications.

  19. Chemically deposited Sb2S3 thin films for optical recording

    International Nuclear Information System (INIS)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B; O'Brien, J J; Liu, J

    2010-01-01

    Laser induced changes in the properties of Sb 2 S 3 thin films prepared by chemical bath deposition are described in this paper. Sb 2 S 3 thin films of thickness 550 nm were deposited from a solution containing SbCl 3 and Na 2 S 2 O 3 at 27 0 C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  20. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Peng [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Hudak, Michael R.; Lerner, Allan [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Grubbs, Robert K. [Sandia National Laboratories, P.O. Box 5800, Albuquerque, NM 87185 (United States); Wang, Shanmin [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Zhang, Zhan; Karapetrova, Evguenia [Advance Photon Source, Argonne National Laboratory, 9700S Cass Ave, Argonne, IL 60439 (United States); Hickmott, Donald [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Majewski, Jaroslaw, E-mail: jarek@lanl.gov [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States)

    2014-08-28

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO{sub 3}) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al{sub 2}O{sub 3} buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al{sub 2}O{sub 3} buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial

  1. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    International Nuclear Information System (INIS)

    Wang, Peng; Hudak, Michael R.; Lerner, Allan; Grubbs, Robert K.; Wang, Shanmin; Zhang, Zhan; Karapetrova, Evguenia; Hickmott, Donald; Majewski, Jaroslaw

    2014-01-01

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO 3 ) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al 2 O 3 buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al 2 O 3 buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial interactions

  2. Characterisation of nanocrystalline CdS thin films deposited by CBD

    International Nuclear Information System (INIS)

    Devi, R.; Sarma, B.K.

    2006-01-01

    Nanocrystalline thin films of CdS are deposited on glass substrates by chemical bath deposition using polyvinyl alcohol (PVA) matrix solution. Crystallite sizes of the films are determined from X-ray diffraction and are found to vary from 5.4 nm to 7 nm. The band gaps of the nanocrystalline material is determined from the U-V spectrograph and are found to be within the range from 2.6 eV to 2.8 eV as grain size decreases. The band gaps are also determined from the dependence of electrical conductivity of the films with temperature. An increase of molarity decreases the grain size which in turn increases the band gap. (author)

  3. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  4. TiCN thin films grown by reactive crossed beam pulsed laser deposition

    Science.gov (United States)

    Escobar-Alarcón, L.; Camps, E.; Romero, S.; Muhl, S.; Camps, I.; Haro-Poniatowski, E.

    2010-12-01

    In this work, we used a crossed plasma configuration where the ablation of two different targets in a reactive atmosphere was performed to prepare nanocrystalline thin films of ternary compounds. In order to assess this alternative deposition configuration, titanium carbonitride (TiCN) thin films were deposited. Two crossed plasmas were produced by simultaneously ablating titanium and graphite targets in an Ar/N2 atmosphere. Films were deposited at room temperature onto Si (100) and AISI 4140 steel substrates whilst keeping the ablation conditions of the Ti target constant. By varying the laser fluence on the carbon target it was possible to study the effect of the carbon plasma on the characteristics of the deposited TiCN films. The structure and composition of the films were analyzed by X-ray Diffraction, Raman Spectroscopy and non-Rutherford Backscattering Spectroscopy. The hardness and elastic modulus of the films was also measured by nanoindentation. In general, the experimental results showed that the TiCN thin films were highly oriented in the (111) crystallographic direction with crystallite sizes as small as 6.0 nm. It was found that the hardness increased as the laser fluence was increased, reaching a maximum value of about 33 GPa and an elastic modulus of 244 GPa. With the proposed configuration, the carbon content could be easily varied from 42 to 5 at.% by changing the laser fluence on the carbon target.

  5. Preparation of mesoporous carbon nitride structure by the dealloying of Ni/a-CN nanocomposite films

    Science.gov (United States)

    Zhou, Han; Shen, Yongqing; Huang, Jie; Liao, Bin; Wu, Xianying; Zhang, Xu

    2018-05-01

    The preparation of mesoporous carbon nitride (p-CN) structure by the selective dealloying process of Ni/a-CN nanocomposite films is investigated. The composition and structure of the Ni/a-CN nanocomposite films and porous carbon nitride (p-CN) films are determined by scan electron microscopy (SEM), transmission electron microscopy (TEM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Phase separated structure including nickel carbide phase and the surrounding amorphous carbon nitride (a-CN) matrix are detected for the as-deposited films. Though the bulk diffusion is introduced in the film during the annealing process, the grain sizes for the post-annealed films are around 10 nm and change little comparing with the ones of the as-deposited films, which is associated with the thermostability of the CN surrounding in the film. The p-CN skeleton with its pore size around 12.5 nm is formed by etching the post-annealed films, indicative of the stability of the phase separated structure during the annealing process.

  6. Deposition and characterization of ZnS thin films using chemical bath deposition method in the presence of sodium tartrate as complexing agent

    International Nuclear Information System (INIS)

    Kassim, A.; Tee, T.W.; Min, H.S.; Nagalingam, S.

    2011-01-01

    ZnS thin films were deposited on indium tin oxide glass substrate using the chemical bath deposition method. The deposited films were characterized by X-ray diffraction and atomic force microscopy. The influence of bath temperature on the structure and morphology of the thin films was investigated at three different bath temperatures of 60, 70 and 80 deg. C in the presence of sodium tartrate as a complexing agent. The XRD results indicated that the deposited ZnS thin films exhibited a polycrystalline cubic structure. The number of ZnS peaks increased from three to four peaks as the bath temperature was increased from 60 to 80 deg. C based on the XRD patterns. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the bath temperature. The grain size increased as the bath temperature was increased from 60 to 80 deg. C. (author)

  7. Low temperature-pyrosol-deposition of aluminum-doped zinc oxide thin films for transparent conducting contacts

    Energy Technology Data Exchange (ETDEWEB)

    Rivera, M.J. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, Apartado Postal 70-360, Coyoacán, 04510 México, D.F. (Mexico); Ramírez, E.B. [Universidad Autónoma de la Ciudad de México, Calle Prolongación San Isidro Núm. 151, Col. San Lorenzo Tezonco, Iztapalapa, 09790 México, D.F. (Mexico); Juárez, B.; González, J.; García-León, J.M. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, Apartado Postal 70-360, Coyoacán, 04510 México, D.F. (Mexico); Escobar-Alarcón, L. [Departamento de Física, Instituto Nacional de Investigaciones Nucleares, Apdo. Postal 18-1027, México, D.F. 11801 (Mexico); Alonso, J.C., E-mail: alonso@unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, Apartado Postal 70-360, Coyoacán, 04510 México, D.F. (Mexico)

    2016-04-30

    Aluminum doped-zinc oxide (ZnO:Al) thin films with thickness ~ 1000 nm have been deposited by the ultrasonic spray pyrolysis technique using low substrate temperatures in the range from 285 to 360 °C. The electrical and optical properties of the ZnO:Al (AZO) films were investigated by Uv–vis spectroscopy and Hall effect measurements. The crystallinity and morphology of the films were analyzed using X-ray diffraction (XRD), atomic force microscopy (AFM), and high resolution scanning electron microcopy (SEM). XRD results reveal that all the films are nanocrystalline with a hexagonal wurtzite structure with a preferential orientation in the (002) plane. The size of the grains calculated from Scherrer's formula was in the range from 28 to 35 nm. AFM and SEM analysis reveals that the grains form round and hexagonal shaped aggregates at high deposition temperatures and larger rice shaped aggregates at low temperatures. All the films have a high optical transparency (~ 82%). According to the Hall measurements the AZO films deposited at 360 and 340 °C had resistivities of 2.2 × 10{sup −3}–4.3 × 10{sup −3} Ω cm, respectively. These films were n-type and had carrier concentrations and mobilities of 3.71–2.54 × 10{sup 20} cm{sup −3} and 7.4–5.7 cm{sup 2}/V s, respectively. The figure of merit of these films as transparent conductors was in the range of 2.6 × 10{sup −2} Ω{sup −1}–4.1 × 10{sup −2} Ω{sup −1}. Films deposited at 300 °C and 285 °C, had much higher resistivities. Based on the thermogravimetric analysis of the individual precursors used for film deposition, we speculate on possible film growing mechanisms that can explain the composition and electrical properties of films deposited under the two different ranges of temperatures. - Highlights: • Aluminum doped zinc oxide thin films were deposited at low temperatures by pyrosol. • Low resistivity was achieved from 340 °C substrate temperature. • All films deposited

  8. Particulate generation during pulsed laser deposition of superconductor thin films

    International Nuclear Information System (INIS)

    Singh, R.K.

    1993-01-01

    The nature of evaporation/ablation characteristics during pulsed laser deposition strongly controls the quality of laser-deposited films. To understand the origin of particulates in laser deposited films, the authors have simulated the thermal history of YBa 2 Cu 3 O 7 targets under intense nanosecond laser irradiation by numerically solving the heat flow equation with appropriate boundary conditions. During planar surface evaporation of the target material, the sub-surface temperatures were calculated to be higher than the surface temperatures. While the evaporating surface of the target is constantly being cooled due to the latent heat of vaporization, subsurface superheating occurs due to the finite absorption depth of the laser beam. Sub-surface superheating was found to increase with decreasing absorption coefficient and thermal conductivity of the target, and with increasing energy density. The superheating may lead to sub-surface nucleation and growth of the gaseous phase which can expand rapidly leading to microexplosions and ''volume expulsion'' of material from the target. Experiments conducted by the authors and other research groups suggest a strong relation between degree of sub-surface superheating and particle density in laser-deposited films

  9. PbS Thin Films for Photovoltaic Applications Obtained by Non-Traditional Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Pérez-García Claudia Elena

    2015-01-01

    Full Text Available To optimize cost-efficiency relation for thin film solar cells, we explore the recently developed versions of chemical deposition of semiconductor films, together with classic CBD (Chemical Bath Deposition: SILAR (Successive Ionic Layer Adsorption and Reaction and PCBD (Photo Chemical Bath Deposition, all of them ammonia-free and ecologically friendly. The films of CdS and PbS were made, and experimental solar cells with CdS window layer and PbS absorber elaborated. We found that band gap of PbS films can be monitored by deposition process due to porosity-induced quantum confinement which depends on the parameters of the process. We expect that the techniques employed can be successfully used for production of optoelectronic devices.

  10. Progress in the deposition of MgB2 thin films

    International Nuclear Information System (INIS)

    Xi, X X; Pogrebnyakov, A V; Zeng, X H; Redwing, J M; Xu, S Y; Li, Qi; Liu, Zi-Kui; Lettieri, J; Vaithyanathan, V; Schlom, D G; Christen, H M; Zhai, H Y; Goyal, A

    2004-01-01

    An MgB 2 thin film deposition technology is the first critical step in the development of superconducting electronics utilizing the 39 K superconductor. It turned out to be a challenging task due to the volatility of Mg and phase stability of MgB 2 , the low sticking coefficients of Mg at elevated temperatures, and the reactivity of Mg with oxygen. A brief overview of current deposition techniques is provided here from a thermodynamic perspective, with an emphasis on a very successful technique for high quality in situ epitaxial MgB 2 films, the hybrid physical-chemical vapour deposition. Examples of heterostructures of MgB 2 with other materials are also presented

  11. Studies on the high electronic energy deposition in polyaniline thin films

    International Nuclear Information System (INIS)

    Deshpande, N.G.; Gudage, Y.G.; Vyas, J.C.; Singh, F.; Sharma, Ramphal

    2008-01-01

    We report here the physico-chemical changes brought about by high electronic energy deposition of gold ions in HCl doped polyaniline (PANI) thin films. PANI thin films were synthesized by in situ polymerization technique. The as-synthesized PANI thin films of thickness 160 nm were irradiated using Au 7+ ion of 100 MeV energy at different fluences, namely, 5 x 10 11 ions/cm 2 and 5 x 10 12 ions/cm 2 , respectively. A significant change was seen after irradiation in electrical and photo conductivity, which may be related to increased carrier concentration, and structural modifications in the polymer film. In addition, the high electronic energy deposition showed other effects like cross-linking of polymer chains, bond breaking and creation of defect sites. AFM observations revealed mountainous type features in all (before and after irradiation) PANI samples. The average size (diameter) and density of such mountainous clusters were found to be related with the ion fluence. The AFM profiles also showed change in the surface roughness of the films with respect to irradiation, which is one of the peculiarity of the high electronic energy deposition technique

  12. Pulsed laser deposition of high Tc superconducting thin films

    International Nuclear Information System (INIS)

    Singh, R.K.; Narayan, J.

    1990-01-01

    This paper reports on the pulsed laser evaporation (PLE) technique for deposition of thin films characterized by a number of unique properties. Based on the experimental characteristics, a theoretical model is developed which considers the formation and anisotropic three dimensional expansion of the laser generated plasma. This model explains most of the experimental features observed in PLE. We have also employed the PLE technique for in-situ fabrication of YBa 2 Cu 3 O 7 superconducting thin films on different substrates in the temperature range of 500--650 degrees C. At temperatures below 600 degrees C, a biased interposing ring between the substrate and the target was found to significantly improve the superconducting properties. The minimum ion channeling yields were between 3--3.5% for films deposited on (100) SrTiO 3 and (100) LaAlO 3 substrates

  13. Annealing of TiO2 Films Deposited on Si by Irradiating Nitrogen Ion Beams

    International Nuclear Information System (INIS)

    Yokota, Katsuhiro; Yano, Yoshinori; Miyashita, Fumiyoshi

    2006-01-01

    Thin TiO2 films were deposited on Si at a temperature of 600 deg. C by an ion beam assisted deposition (IBAD) method. The TiO2 films were annealed for 30 min in Ar at temperatures below 700 deg. C. The as-deposited TiO2 films had high permittivities such 200 εo and consisted of crystallites that were not preferentially oriented to the c-axis but had an expanded c-axis. On the annealed TiO2 films, permittivities became lower with increasing annealing temperature, and crystallites were oriented preferentially to the (110) plane

  14. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  15. Enhanced properties of tungsten thin films deposited with a novel HiPIMS approach

    Science.gov (United States)

    Velicu, Ioana-Laura; Tiron, Vasile; Porosnicu, Corneliu; Burducea, Ion; Lupu, Nicoleta; Stoian, George; Popa, Gheorghe; Munteanu, Daniel

    2017-12-01

    Despite the tremendous potential for industrial use of tungsten (W), very few studies have been reported so far on controlling and tailoring the properties of W thin films obtained by physical vapor deposition techniques and, even less, for those deposited by High Power Impulse Magnetron Sputtering (HiPIMS). This study presents results on the deposition process and properties characterization of nanocrystalline W thin films deposited on silicon and molybdenum substrates (100 W average sputtering power) by conventional dc magnetron sputtering (dcMS) and HiPIMS techniques. Topological, structural, mechanical and tribological properties of the deposited thin films were investigated. It was found that in HiPIMS, both deposition process and coatings properties may be optimized by using an appropriate magnetic field configuration and pulsing design. Compared to the other deposited samples, the W films grown in multi-pulse (5 × 3 μs) HiPIMS assisted by an additional magnetic field, created with a toroidal-shaped permanent magnet placed in front of the magnetron cathode, show significantly enhanced properties, such as: smoother surfaces, higher homogeneity and denser microstructure, higher hardness and Young's modulus values, better adhesion to the silicon substrate and lower coefficient of friction. Mechanical behaviour and structural changes are discussed based on plasma diagnostics results.

  16. Supramolecular structure of a perylene derivative in thin films deposited by physical vapor deposition

    International Nuclear Information System (INIS)

    Fernandes, Jose D.; Aoki, Pedro H.B.; Constantino, Carlos J.J.; Junior, Wagner D.M.; Teixeira, Silvio R.

    2014-01-01

    Full text: Thin films of a perylene derivative, the bis butylimido perylene (BuPTCD), were produced using thermal evaporation (PVD, physical vapor deposition). The main objective is to investigate the supramolecular structure of the BuPTCD in these PVD films, which implies to control the thickness and to determine the molecular organization, morphology at micro and nanometer scales and crystallinity. This supramolecular structure is a key factor in the optical and electrical properties of the film. The ultraviolet-visible absorption revealed an uniform growth of the PVD films. The optical and atomic force microscopy images showed a homogeneous surface of the film at micro and nanometer scales. A preferential orientation of the molecules in the PVD films was determined via infrared absorption. The X-ray diffraction showed that both powder and PVD film are in the crystalline form. (author)

  17. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  18. Non-conventional photocathodes based on Cu thin films deposited on Y substrate by sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Perrone, A. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, 73100 Lecce (Italy); National Institute of Nuclear Physics and University of Salento, 73100 Lecce (Italy); D’Elia, M. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, 73100 Lecce (Italy); National Institute of Nuclear Physics and University of Salento, 73100 Lecce (Italy); Di Giulio, M.; Maruccio, G. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, 73100 Lecce (Italy); Cola, A. [National Council Research, Institute for Microelectronics and Microsystems, 73100 Lecce (Italy); Stankova, N.E. [Institute of Electronics, Bulgarian Academy of Sciences, 1784 Sofia (Bulgaria); Kovacheva, D.G. [Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, 1113 Sofia (Bulgaria); Broitman, E. [Department of Physics, Chemistry and Biology (IFM), Linköping University, SE-581 83 Linköping (Sweden)

    2014-07-01

    Copper (Cu) thin films were deposited on yttrium (Y) substrate by sputtering. During the deposition, a small central area of the Y substrate was shielded to avoid the film deposition and was successively used to study its photoemissive properties. This configuration has two advantages: the cathode presents (i) the quantum efficiency and the work function of Y and (ii) high electrical compatibility when inserted into the conventional radio-frequency gun built with Cu bulk. The photocathode was investigated by scanning electron microscopy to determine surface morphology. X-ray diffraction and atomic force microscopy studies were performed to compare the structure and surface properties of the deposited film. The measured electrical resistivity value of the Cu film was similar to that of high purity Cu bulk. Film to substrate adhesion was also evaluated using the Daimler–Benz Rockwell-C adhesion test method. Finally, the photoelectron performance in terms of quantum efficiency was obtained in a high vacuum photodiode cell before and after laser cleaning procedures. A comparison with the results obtained with a twin sample prepared by pulsed laser deposition is presented and discussed.

  19. Tuning polymorphism and orientation in organic semiconductor thin films via post-deposition processing.

    Science.gov (United States)

    Hiszpanski, Anna M; Baur, Robin M; Kim, Bumjung; Tremblay, Noah J; Nuckolls, Colin; Woll, Arthur R; Loo, Yueh-Lin

    2014-11-05

    Though both the crystal structure and molecular orientation of organic semiconductors are known to impact charge transport in thin-film devices, separately accessing different polymorphs and varying the out-of-plane molecular orientation is challenging, typically requiring stringent control over film deposition conditions, film thickness, and substrate chemistry. Here we demonstrate independent tuning of the crystalline polymorph and molecular orientation in thin films of contorted hexabenzocoronene, c-HBC, during post-deposition processing without the need to adjust deposition conditions. Three polymorphs are observed, two of which have not been previously reported. Using our ability to independently tune the crystal structure and out-of-plane molecular orientation in thin films of c-HBC, we have decoupled and evaluated the effects that molecular packing and orientation have on device performance in thin-film transistors (TFTs). In the case of TFTs comprising c-HBC, polymorphism and molecular orientation are equally important; independently changing either one affects the field-effect mobility by an order of magnitude.

  20. Textured indium tin oxide thin films by chemical solution deposition and rapid thermal processing

    International Nuclear Information System (INIS)

    Mottern, Matthew L.; Tyholdt, Frode; Ulyashin, Alexander; Helvoort, Antonius T.J. van; Verweij, Henk; Bredesen, Rune

    2007-01-01

    The microstructure of state-of-the-art chemical solution deposited indium tin oxide thin films typically consists of small randomly oriented grains, high porosity and poor homogeneity. The present study demonstrates how the thin film microstructure can be improved significantly by tailoring the precursor solutions and deposition conditions to be kinetically and thermodynamically favorable for generation of homogeneous textured thin films. This is explained by the occurrence of a single heterogeneous nucleation mechanism. The as-deposited thin films, crystallized at 800 deg. C, have a high apparent density, based on a refractive index of ∼ 1.98 determined by single wavelength ellipsometry at 633 nm. The microstructure of the films consists of columnar grains with preferred orientation as determined by X-ray diffraction and transmission electron microscopy. The resistivity, measured by the four point probe method, is ∼ 2 x 10 -3 Ω cm prior to post-deposition treatments