WorldWideScience

Sample records for atomic layer growth

  1. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  2. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  3. Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition

    CERN Document Server

    Kim, H

    2002-01-01

    We have investigated the growth kinetics of plasma-enhanced Ti atomic layer deposition (ALD) using a quartz crystal microbalance. Ti ALD films were grown at temperatures from 20 to 200 deg. C using TiCl sub 4 as a source gas and rf plasma-produced atomic H as the reducing agent. Postdeposition ex situ chemical analyses of thin films showed that the main impurity is oxygen, mostly incorporated during the air exposure prior to analysis. The thickness per cycle, corresponding to the growth rate, was measured by quartz crystal microbalance as a function of various key growth parameters, including TiCl sub 4 and H exposure time, rf plasma power, and sample temperature. The growth rates were independent of TiCl sub 4 exposure above 1x10 sup 3 L, indicating typical ALD mode growth. The key kinetic parameters for Cl extraction reaction and TiCl sub 4 adsorption kinetics were obtained and the growth kinetics were modeled to predict the growth rates based upon these results. Also, the dependency of growth kinetics on d...

  4. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  5. Atomic Layer Control of Thin Film Growth Using Binary Reaction Sequence Chemistry

    National Research Council Canada - National Science Library

    George, Steven

    1997-01-01

    Our research is focusing on the atomic layer control of thin film growth. Our goal is to deposit films with precise control of thickness and conformality on both flat and high aspect ratio structures...

  6. Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy

    International Nuclear Information System (INIS)

    Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.

    2014-01-01

    Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices

  7. TiN films by Atomic Layer Deposition: Growth and electrical characterization down to sub-nm thickness

    NARCIS (Netherlands)

    Van Hao, B.; Wolters, Robertus A.M.; Kovalgin, Alexeij Y.

    2012-01-01

    This study reports on the growth and characterization of TiN thib films obtained by atomic layer deposition at 350-425 ◦C. We observe a growth of the continuous layers from the very beginning of the process, i.e. for a thickness of 0.65 nm, which is equivalent to 3 monolayers of TiN. The film growth

  8. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao

    2013-09-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing process of the films. The refractive index and bandgap of TiO2 films changed with the growth and annealing temperatures. The optimization of the annealing conditions for TiO2 films was also done by morphology and density studies. © 2013 Elsevier B.V. All rights reserved.

  9. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  10. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  11. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  12. Simulation of nucleation and growth of atomic layer deposition phosphorus for doping of advanced FinFETs

    International Nuclear Information System (INIS)

    Seidel, Thomas E.; Goldberg, Alexander; Halls, Mat D.; Current, Michael I.

    2016-01-01

    Simulations for the nucleation and growth of phosphorus films were carried out using density functional theory. The surface was represented by a Si 9 H 12 truncated cluster surface model with 2 × 1-reconstructured (100) Si-OH terminations for the initial reaction sites. Chemistries included phosphorous halides (PF 3 , PCl 3 , and PBr 3 ) and disilane (Si 2 H 6 ). Atomic layer deposition (ALD) reaction sequences were illustrated with three-dimensional molecular models using sequential PF 3 and Si 2 H 6 reactions and featuring SiFH 3 as a byproduct. Exothermic reaction pathways were developed for both nucleation and growth for a Si-OH surface. Energetically favorable reactions for the deposition of four phosphorus atoms including lateral P–P bonding were simulated. This paper suggests energetically favorable thermodynamic reactions for the growth of elemental phosphorus on (100) silicon. Phosphorus layers made by ALD are an option for doping advanced fin field-effect transistors (FinFETs). Phosphorus may be thermally diffused into the silicon or recoil knocked in; simulations of the recoil profile of phosphorus into a FinFET surface are illustrated

  13. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  14. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  15. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  16. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  17. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  18. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  19. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    Auger Electron Spectroscopy (AES) was used to study the nucleation and growth of tungsten on aluminum oxide surfaces. Tungsten metal was deposited using Atomic Layer Deposition (ALD) techniques. ALD uses sequential surface reactions to deposit material with atomic layer control. W ALD is performed using sequential exposures of WF6 and Si2H6. The step-wise nature of W ALD allows nucleation studies to be performed by analyzing the W surface concentration after each ALD reaction. Nucleation and growth regions can be identified by quantifying the AES signal intensities from both the W surface and the Al2O3 substrate. W nucleation occurred in 3 ALD reaction cycles. The AES results yielded a nucleation rate of 1.0 A/ALD cycle and a growth rate of ≈3 A/ALD cycle. AES studies also explored the nucleation and growth of Al2O3 on W. Al2O3 nucleated in 1 ALD cycle giving a nucleation rate of 3.5 A/ALD cycle and a subsequent growth rate of 1.0 A/ALD cycle. Mass spectrometry was then used to study the ALD reaction chemistry of tungsten deposition. Because of the step-wise nature of the W ALD chemistry, each W ALD reaction could be studied independently. The gaseous mass products were identified from both the WF6 and Si2H6 reactions. H2, HF and SiF4 mass products were observed for the WF6 reaction. The Si2H6 reaction displayed a room temperature reaction and a 200°C reaction. Products from the room temperature Si2H6 reaction were H2 and SiF3H. The reaction at 200°C yielded only H2 as a reaction product. H2 desorption from the surface contributes to the 200°C Si2H6 reaction. AES was used to confirm that the gas phase reaction products are correlated with a change in the surface species. Atomic hydrogen reduction of metal halides and oganometallic compounds provides another method for depositing metals with atomic layer control. The quantity of atomic hydrogen necessary to perform this chemistry is critical to the metal ALD process. A thermocouple probe was constructed to

  20. Photo-irradiation effects on GaAs atomic layer epitaxial growth. GaAs no genshiso epitaxial seicho ni okeru hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y.; Sasaki, M.; Ishikawa, H. (Toshiba Corp., Kawasaki (Japan). Research and Development Center)

    1990-08-10

    Single atomic layer epitaxy (ALE) aims at controlling a growing film at a precision of single molecular layer. In this article, it is reported that the growth temperature range of ALE was expanded by the vertical irradiation of KrF exima laser (248 nm) onto the substrate for the ALE growth of GaAs using the metalorganic chemical vapor deposition (MOCVD) method. Thanks for the results of the above experiment, it was demonstrated that the irradiation effect was not thermal, but photochemical. In addition, this article studies the possibility of adsorption layer irradiation and surface irradiation as the photo-irradiation mechanism, and points out that coexistence of both irradiation mechanisms can be considered and, in case of exima laser, strong possibility of direct irradiation of the adsorption layer because of its high power density. Hereinafter, by using both optical growth ALE and thermal growth ALE jointly, the degree of freedom of combination of hetero ALE increases and its application to various material systems becomes possible. 16 refs., 6 figs.

  1. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  2. Atomic layer deposition of TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, Massimo; Dessmann, Nils; Staedter, Matthias; Friedrich, Daniel; Michling, Marcel; Schmeisser, Dieter [BTU-Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany)

    2011-07-01

    We present a study of the initial growth of TiO{sub 2} on Si(111) by atomic layer deposition (ALD). The Si substrate was etched with NH{sub 4}F before ALD to remove the native oxide film and to produce a Si-H termination. In-situ experiments by means of photoemission and X-ray absorption spectroscopy were conducted with synchrotron radiation on Ti-oxide films produced using Ti-tetra-iso-propoxide (TTIP) and water as precursors. O 1s, Ti 2p, C 1s, and S i2p core level, and O 1s and Ti 2p absorption edges show the transition of the Ti-oxide properties during the first layers. The growth starts with a very small growth rate (0.03 nm/cycle) due to the growth inhibition of the Si-H termination and proceeds with higher growth rate (0.1 nm/cycle) after 1.5 nm Ti-oxide has been deposited.

  3. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  4. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  5. Modeling growth kinetics of thin films made by atomic layer deposition in lateral high-aspect-ratio structures

    Science.gov (United States)

    Ylilammi, Markku; Ylivaara, Oili M. E.; Puurunen, Riikka L.

    2018-05-01

    The conformality of thin films grown by atomic layer deposition (ALD) is studied using all-silicon test structures with long narrow lateral channels. A diffusion model, developed in this work, is used for studying the propagation of ALD growth in narrow channels. The diffusion model takes into account the gas transportation at low pressures, the dynamic Langmuir adsorption model for the film growth and the effect of channel narrowing due to film growth. The film growth is calculated by solving the diffusion equation with surface reactions. An efficient analytic approximate solution of the diffusion equation is developed for fitting the model to the measured thickness profile. The fitting gives the equilibrium constant of adsorption and the sticking coefficient. This model and Gordon's plug flow model are compared. The simulations predict the experimental measurement results quite well for Al2O3 and TiO2 ALD processes.

  6. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  7. Evaluation of Alternative Atomistic Models for the Incipient Growth of ZnO by Atomic Layer Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chu, Manh-Hung; Tian, Liang; Chaker, Ahmad; Skopin, Evgenii; Cantelli, Valentina; Ouled, Toufik; Boichot, Raphaël; Crisci, Alexandre; Lay, Sabine; Richard, Marie-Ingrid; Thomas, Olivier; Deschanvres, Jean-Luc; Renevier, Hubert; Fong, Dillon; Ciatto, Gianluca

    2017-03-20

    ZnO thin films are interesting for applications in several technological fields, including optoelectronics and renewable energies. Nanodevice applications require controlled synthesis of ZnO structures at nanometer scale, which can be achieved via atomic layer deposition (ALD). However, the mechanisms governing the initial stages of ALD had not been addressed until very recently. Investigations into the initial nucleation and growth as well as the atomic structure of the heterointerface are crucial to optimize the ALD process and understand the structure-property relationships for ZnO. We have used a complementary suite of in situ synchrotron x-ray techniques to investigate both the structural and chemical evolution during ZnO growth by ALD on two different substrates, i.e., SiO2 and Al2O3, which led us to formulate an atomistic model of the incipient growth of ZnO. The model relies on the formation of nanoscale islands of different size and aspect ratio and consequent disorder induced in the Zn neighbors' distribution. However, endorsement of our model requires testing and discussion of possible alternative models which could account for the experimental results. In this work, we review, test, and rule out several alternative models; the results confirm our view of the atomistic mechanisms at play, which influence the overall microstructure and resulting properties of the final thin film.

  8. Multi-Directional Growth of Aligned Carbon Nanotubes Over Catalyst Film Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Zhou Kai

    2010-01-01

    Full Text Available Abstract The structure of vertically aligned carbon nanotubes (CNTs severely depends on the properties of pre-prepared catalyst films. Aiming for the preparation of precisely controlled catalyst film, atomic layer deposition (ALD was employed to deposit uniform Fe2O3 film for the growth of CNT arrays on planar substrate surfaces as well as the curved ones. Iron acetylacetonate and ozone were introduced into the reactor alternately as precursors to realize the formation of catalyst films. By varying the deposition cycles, uniform and smooth Fe2O3 catalyst films with different thicknesses were obtained on Si/SiO2 substrate, which supported the growth of highly oriented few-walled CNT arrays. Utilizing the advantage of ALD process in coating non-planar surfaces, uniform catalyst films can also be successfully deposited onto quartz fibers. Aligned few-walled CNTs can be grafted on the quartz fibers, and they self-organized into a leaf-shaped structure due to the curved surface morphology. The growth of aligned CNTs on non-planar surfaces holds promise in constructing hierarchical CNT architectures in future.

  9. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  10. In-situ atomic layer deposition growth of Hf-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Karavaev, Konstantin

    2010-06-17

    We have grown HfO{sub 2} on Si(001) by atomic layer deposition (ALD) using HfCl{sub 4}, TEMAHf, TDMAHf and H{sub 2}O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl{sub 4} experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO{sub 2}. The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO{sub 2}: contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  11. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    Science.gov (United States)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  12. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  13. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  14. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  15. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  16. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  17. Thin film growth into the ion track structures in polyimide by atomic layer deposition

    Science.gov (United States)

    Mättö, L.; Malm, J.; Arstila, K.; Sajavaara, T.

    2017-09-01

    High-aspect ratio porous structures with controllable pore diameters and without a stiff substrate can be fabricated using the ion track technique. Atomic layer deposition is an ideal technique for depositing thin films and functional surfaces on complicated 3D structures due to the high conformality of the films. In this work, we studied Al2O3 and TiO2 films grown by ALD on pristine polyimide (Kapton HN) membranes as well as polyimide membranes etched in sodium hypochlorite (NaOCl) and boric acid (BO3) solution by means of RBS, PIXE, SEM-EDX and helium ion microcopy (HIM). The focus was on the first ALD growth cycles. The areal density of Al2O3 film in the 400 cycle sample was determined to be 51 ± 3 × 1016 at./cm2, corresponding to the thickness of 55 ± 3 nm. Furthermore, the growth per cycle was 1.4 Å/cycle. The growth is highly linear from the first cycles. In the case of TiO2, the growth per cycle is clearly slower during the first 200 cycles but then it increases significantly. The growth rate based on RBS measurements is 0.24 Å/cycle from 3 to 200 cycles and then 0.6 Å/cycle between 200 and 400 cycles. The final areal density of TiO2 film after 400 cycles is 148 ± 3 × 1015 at./cm2 which corresponds to the thickness of 17.4 ± 0.4 nm. The modification of the polyimide surface by etching prior to the deposition did not have an effect on the Al2O3 and TiO2 growth.

  18. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  19. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  20. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  1. Fabrication of Nanolaminates with Ultrathin Nanolayers Using Atomic Layer Deposition: Nucleation & Growth Issues

    Science.gov (United States)

    2009-02-01

    Tecnologia de Superficies y Materiales (SMCTSM), XXVII Congreso Nacional, Oaxaca, Oaxaca, Mexico, September 26, 2007. 26. "Atomic Layer Deposition of...Nanolaminates: Fabrication and Properties" (Plenary Lecture), Sociedad Mexicana de Ciencia y Tecnologia de Superficies y Materiales (SMCTSM), XXVII

  2. Nucleation and Early Stages of Layer-by-Layer Growth of Metal Organic Frameworks on Surfaces

    Science.gov (United States)

    2015-01-01

    High resolution atomic force microscopy (AFM) is used to resolve the evolution of crystallites of a metal organic framework (HKUST-1) grown on Au(111) using a liquid-phase layer-by-layer methodology. The nucleation and faceting of individual crystallites is followed by repeatedly imaging the same submicron region after each cycle of growth and we find that the growing surface is terminated by {111} facets leading to the formation of pyramidal nanostructures for [100] oriented crystallites, and triangular [111] islands with typical lateral dimensions of tens of nanometres. AFM images reveal that crystallites can grow by 5–10 layers in each cycle. The growth rate depends on crystallographic orientation and the morphology of the gold substrate, and we demonstrate that under these conditions the growth is nanocrystalline with a morphology determined by the minimum energy surface. PMID:26709359

  3. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  4. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  5. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  6. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  7. He atom-surface scattering: Surface dynamics of insulators, overlayers and crystal growth

    International Nuclear Information System (INIS)

    1992-01-01

    Investigations in this laboratory have focused on the surface structure and dynamics of ionic insulators and on epitaxial growth onto alkali halide crystals. In the later the homoepitaxial growth of NaCl/NaCl(001) and the heteroepitaxial growth of KBr/NaCl(001), NaCl/KBr(001) and KBr/RbCl(001) have been studied by monitoring the specular He scattering as a function of the coverage and by measuring the angular and energy distributions of the scattered He atoms. These data provide information on the surface structure, defect densities, island sizes and surface strain during the layer-by-layer growth. The temperature dependence of these measurements also provides information on the mobilities of the admolecules. He atom scattering is unique among surface probes because the low-energy, inert atoms are sensitive only to the electronic structure of the topmost surface layer and are equally applicable to all crystalline materials. It is proposed for the next year to exploit further the variety of combinations possible with the alkali halides in order to carry out a definitive study of epitaxial growth in the ionic insulators. The work completed so far, including measurements of the Bragg diffraction and surface dispersion at various stages of growth, appears to be exceptionally rich in detail, which is particularly promising for theoretical modeling. In addition, because epitaxial growth conditions over a wide range of lattice mismatches is possible with these materials, size effects in growth processes can be explored in great depth. Further, as some of the alkali halides have the CsCl structure instead of the NaCl structure, we can investigate the effects of the heteroepitaxy with materials having different lattice preferences. Finally, by using co-deposition of different alkali halides, one can investigate the formation and stability of alloys and even alkali halide superlattices

  8. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  9. Steady-state solution growth of microcrystalline silicon on nanocrystalline seed layers on glass

    Science.gov (United States)

    Bansen, R.; Ehlers, C.; Teubner, Th.; Boeck, T.

    2016-09-01

    The growth of polycrystalline silicon layers on glass from tin solutions at low temperatures is presented. This approach is based on the steady-state solution growth of Si crystallites on nanocrystalline seed layers, which are prepared in a preceding process step. Scanning electron microscopy and atomic force microscopy investigations reveal details about the seed layer surfaces, which consist of small hillocks, as well as about Sn inclusions and gaps along the glass substrate after solution growth. The successful growth of continuous microcrystalline Si layers with grain sizes up to several ten micrometers shows the feasibility of the process and makes it interesting for photovoltaics. Project supported by the German Research Foundation (DFG) (No. BO 1129/5-1).

  10. Electron microscopy observation of TiO2 nanocrystal evolution in high-temperature atomic layer deposition.

    Science.gov (United States)

    Shi, Jian; Li, Zhaodong; Kvit, Alexander; Krylyuk, Sergiy; Davydov, Albert V; Wang, Xudong

    2013-01-01

    Understanding the evolution of amorphous and crystalline phases during atomic layer deposition (ALD) is essential for creating high quality dielectrics, multifunctional films/coatings, and predictable surface functionalization. Through comprehensive atomistic electron microscopy study of ALD TiO2 nanostructures at designed growth cycles, we revealed the transformation process and sequence of atom arrangement during TiO2 ALD growth. Evolution of TiO2 nanostructures in ALD was found following a path from amorphous layers to amorphous particles to metastable crystallites and ultimately to stable crystalline forms. Such a phase evolution is a manifestation of the Ostwald-Lussac Law, which governs the advent sequence and amount ratio of different phases in high-temperature TiO2 ALD nanostructures. The amorphous-crystalline mixture also enables a unique anisotropic crystal growth behavior at high temperature forming TiO2 nanorods via the principle of vapor-phase oriented attachment.

  11. Growth and characterization of epitaxial anatase TiO2(001) on SrTiO3-buffered Si(001) using atomic layer deposition

    International Nuclear Information System (INIS)

    McDaniel, M.D.; Posadas, A.; Wang, T.; Demkov, A.A.; Ekerdt, J.G.

    2012-01-01

    Epitaxial anatase titanium dioxide (TiO 2 ) films have been grown by atomic layer deposition (ALD) on Si(001) substrates using a strontium titanate (STO) buffer layer grown by molecular beam epitaxy (MBE) to serve as a surface template. The growth of TiO 2 was achieved using titanium isopropoxide and water as the co-reactants at a substrate temperature of 225–250 °C. To preserve the quality of the MBE-grown STO, the samples were transferred in-situ from the MBE chamber to the ALD chamber. After ALD growth, the samples were annealed in-situ at 600 °C in vacuum (10 −7 Pa) for 1–2 h. Reflection high-energy electron diffraction was performed during the MBE growth of STO on Si(001), as well as after deposition of TiO 2 by ALD. The ALD films were shown to be highly ordered with the substrate. At least four unit cells of STO must be present to create a stable template on the Si(001) substrate for epitaxial anatase TiO 2 growth. X-ray diffraction revealed that the TiO 2 films were anatase with only the (004) reflection present at 2θ = 38.2°, indicating that the c-axis is slightly reduced from that of anatase powder (2θ = 37.9°). Anatase TiO 2 films up to 100 nm thick have been grown that remain highly ordered in the (001) direction on STO-buffered Si(001) substrates. - Highlights: ► Epitaxial anatase films are grown by atomic layer deposition (ALD) on Si(001). ► Four unit cells of SrTiO 3 on silicon create a stable template for ALD. ► TiO 2 thin films have a compressed c-axis and an expanded a-axis. ► Up to 100 nm thick TiO 2 films remain highly ordered in the (001) direction.

  12. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  13. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  14. Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Anderson, Virginia R. [American Society for Engineering Education, 1818 N Street NW, Washington, DC 20036; Johnson, Scooter D. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Downey, Brian P. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Meyer, David J. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; DeMasi, Alexander [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Robinson, Zachary R. [Department of Physics, SUNY College at Brockport, 350 New Campus Dr, Brockport, New York 14420; Ludwig, Karl F. [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Eddy, Charles R. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375

    2017-03-13

    The temporal evolution of high quality indium nitride (InN) growth by plasma-assisted atomic layer epitaxy (ALEp) on a-plane sapphire at 200 and 248 °C was probed by synchrotron x-ray methods. The growth was carried out in a thin film growth facility installed at beamline X21 of the National Synchrotron Light Source at Brookhaven National Laboratory and at beamline G3 of the Cornell High Energy Synchrotron Source, Cornell University. Measurements of grazing incidence small angle x-ray scattering (GISAXS) during the initial cycles of growth revealed a broadening and scattering near the diffuse specular rod and the development of scattering intensities due to half unit cell thick nucleation islands in the Yoneda wing with correlation length scale of 7.1 and 8.2 nm, at growth temperatures (Tg) of 200 and 248 °C, respectively. At about 1.1 nm (two unit cells) of growth thickness nucleation islands coarsen, grow, and the intensity of correlated scattering peak increased at the correlation length scale of 8.0 and 8.7 nm for Tg = 200 and 248 °C, respectively. The correlated peaks at both growth temperatures can be fitted with a single peak Lorentzian function, which support single mode growth. Post-growth in situ x-ray reflectivity measurements indicate a growth rate of ~0.36 Å/cycle consistent with the growth rate previously reported for self-limited InN growth in a commercial ALEp reactor. Consistent with the in situ GISAXS study, ex situ atomic force microscopy power spectral density measurements also indicate single mode growth. Electrical characterization of the resulting film revealed an electron mobility of 50 cm2/V s for a 5.6 nm thick InN film on a-plane sapphire, which is higher than the previously reported mobility of much thicker InN films grown at higher temperature by molecular beam epitaxy directly on sapphire. These early results indicated that in situ synchrotron x-ray study of the epitaxial growth kinetics of InN films is a very powerful method to

  15. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    Science.gov (United States)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  16. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  17. Dynamic grazing incidence fast atom diffraction during molecular beam epitaxial growth of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Atkinson, P., E-mail: atkinson@insp.jussieu.fr; Eddrief, M. [Sorbonne Universités, UPMC Univ. Paris 06, UMR 7588, INSP, F-75005 Paris (France); CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); Etgens, V. H. [CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); VeDeCom-Université Versailles Saint-Quentin en Yvelines, Versailles (France); Khemliche, H., E-mail: hocine.khemliche@u-psud.fr; Debiossac, M.; Mulier, M.; Lalmi, B.; Roncin, P. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Momeni, A. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Univ. Cergy Pontoise, F-95031 Cergy (France)

    2014-07-14

    A Grazing Incidence Fast Atom Diffraction (GIFAD) system has been mounted on a commercial molecular beam epitaxy chamber and used to monitor GaAs growth in real-time. In contrast to the conventionally used Reflection High Energy Electron Diffraction, all the GIFAD diffraction orders oscillate in phase, with the change in intensity related to diffuse scattering at step edges. We show that the scattered intensity integrated over the Laue circle is a robust method to monitor the periodic change in surface roughness during layer-by-layer growth, with oscillation phase and amplitude independent of incidence angle and crystal orientation. When there is a change in surface reconstruction at the start of growth, GIFAD intensity oscillations show that there is a corresponding delay in the onset of layer-by-layer growth. In addition, changes in the relative intensity of different diffraction orders have been observed during growth showing that GIFAD has the potential to provide insight into the preferential adatom attachment sites on the surface reconstruction during growth.

  18. Interfaces in Si/Ge atomic layer superlattices on (001)Si: Effect of growth temperature and wafer misorientation

    Science.gov (United States)

    Baribeau, J.-M.; Lockwood, D. J.; Syme, R. W. G.

    1996-08-01

    We have used x-ray diffraction, specular reflectivity, and diffuse scattering, complemented by Raman spectroscopy, to study the interfaces in a series of (0.5 nm Ge/2 nm Si)50 atomic layer superlattices on (001)Si grown by molecular beam epitaxy in the temperature range 150-650 °C. X-ray specular reflectivity revealed that the structures have a well-defined periodicity with interface widths of about 0.2-0.3 nm in the 300-590 °C temperature range. Offset reflectivity scans showed that the diffuse scattering peaks at values of perpendicular wave vector transfer corresponding to the superlattice satellite peaks, indicating that the interfaces are vertically correlated. Transverse rocking scans of satellite peaks showed a diffuse component corresponding to an interface corrugation of typical length scale of ˜0.5 μm. The wavelength of the undulations is a minimum along the miscut direction and is typically 30-40 times larger than the surface average terrace width assuming monolayer steps, independently of the magnitude of the wafer misorientation. The amplitude of the undulation evolves with growth temperature and is minimum for growth at ˜460 °C and peaks at ˜520 °C. Raman scattering showed the chemical abruptness of the interfaces at low growth temperatures and indicated a change in the growth mode near 450 °C.

  19. Evolution of microstructure and related optical properties of ZnO grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Adib Abou Chaaya

    2013-10-01

    Full Text Available A study of transmittance and photoluminescence spectra on the growth of oxygen-rich ultra-thin ZnO films prepared by atomic layer deposition is reported. The structural transition from an amorphous to a polycrystalline state is observed upon increasing the thickness. The unusual behavior of the energy gap with thickness reflected by optical properties is attributed to the improvement of the crystalline structure resulting from a decreasing concentration of point defects at the growth of grains. The spectra of UV and visible photoluminescence emissions correspond to transitions near the band-edge and defect-related transitions. Additional emissions were observed from band-tail states near the edge. A high oxygen ratio and variable optical properties could be attractive for an application of atomic layer deposition (ALD deposited ultrathin ZnO films in optical sensors and biosensors.

  20. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  1. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota

    2012-12-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  2. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota; Al-Kassab, Talaat; Kirchheim, Reiner; Pundt, Astrid A.

    2012-01-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  3. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  4. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  5. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  6. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  7. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  8. Subdaily growth patterns and organo-mineral nanostructure of the growth layers in the calcitic prisms of the shell of Concholepas concholepas Bruguière, 1789 (Gastropoda, Muricidae).

    Science.gov (United States)

    Guzman, Nury; Ball, Alexander D; Cuif, Jean-Pierre; Dauphin, Yannicke; Denis, Alain; Ortlieb, Luc

    2007-10-01

    Fluorochrome marking of the gastropod Concholepas concholepas has shown that the prismatic units of the shell are built by superimposition of isochronic growth layers of about 2 mum. Fluorescent growth marks make it possible to establish the high periodicity of the cyclic biomineralization process at a standard growth rhythm of about 45 layers a day. Sulphated polysaccharides have been identified within the growth layers by using synchrotron radiation, whereas high resolution mapping enables the banding pattern of the mineral phase to be correlated with the layered distribution of polysaccharides. Atomic force microscopy has shown that the layers are made of nanograins densely packed in an organic component.

  9. Synthesis and microstructural characterization of growth direction controlled ZnO nanorods using a buffer layer

    International Nuclear Information System (INIS)

    Park, Dong Jun; Kim, Dong Chan; Lee, Jeong Yong; Cho, Hyung Koun

    2006-01-01

    The growth direction and morphology of one-dimensional ZnO nanostructures grown by metal-organic chemical vapour deposition (MOCVD) were modulated by changing the growth temperature of previously deposited ZnO buffer layers that were used as a template. The ZnO nanorods grown on the low-temperature deposited buffer layer were regularly inclined with respect to the substrate surface and show in-plane alignment with azimuthally six-fold symmetry. In contrast, deposition of the buffer layer at higher growth temperature led to the formation of vertically well-aligned ZnO nanorods. In addition, the ZnO nanorods grown on the buffer layer deposited at low growth temperature show a growth direction of [1 0 1-bar 0], unlike the conventional ZnO nanorods showing a growth direction of [0001]. The microstructural analysis and atomic modelling of the formation of regularly inclined nanorods using transmission electron microscopy are presented

  10. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  11. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  12. From atoms to layers: in situ gold cluster growth kinetics during sputter deposition

    Science.gov (United States)

    Schwartzkopf, Matthias; Buffet, Adeline; Körstgens, Volker; Metwalli, Ezzeldin; Schlage, Kai; Benecke, Gunthard; Perlich, Jan; Rawolle, Monika; Rothkirch, André; Heidmann, Berit; Herzog, Gerd; Müller-Buschbaum, Peter; Röhlsberger, Ralf; Gehrke, Rainer; Stribeck, Norbert; Roth, Stephan V.

    2013-05-01

    The adjustment of size-dependent catalytic, electrical and optical properties of gold cluster assemblies is a very significant issue in modern applied nanotechnology. We present a real-time investigation of the growth kinetics of gold nanostructures from small nuclei to a complete gold layer during magnetron sputter deposition with high time resolution by means of in situ microbeam grazing incidence small-angle X-ray scattering (μGISAXS). We specify the four-stage growth including their thresholds with sub-monolayer resolution and identify phase transitions monitored in Yoneda intensity as a material-specific characteristic. An innovative and flexible geometrical model enables the extraction of morphological real space parameters, such as cluster size and shape, correlation distance, layer porosity and surface coverage, directly from reciprocal space scattering data. This approach enables a large variety of future investigations of the influence of different process parameters on the thin metal film morphology. Furthermore, our study allows for deducing the wetting behavior of gold cluster films on solid substrates and provides a better understanding of the growth kinetics in general, which is essential for optimization of manufacturing parameters, saving energy and resources.The adjustment of size-dependent catalytic, electrical and optical properties of gold cluster assemblies is a very significant issue in modern applied nanotechnology. We present a real-time investigation of the growth kinetics of gold nanostructures from small nuclei to a complete gold layer during magnetron sputter deposition with high time resolution by means of in situ microbeam grazing incidence small-angle X-ray scattering (μGISAXS). We specify the four-stage growth including their thresholds with sub-monolayer resolution and identify phase transitions monitored in Yoneda intensity as a material-specific characteristic. An innovative and flexible geometrical model enables the extraction

  13. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  14. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  15. Stop Band Gap in Periodic Layers of Confined Atomic Vapor/Dielectric Medium

    International Nuclear Information System (INIS)

    Li Yuan-Yuan; Li Li; Lu Yi-Xin; Zhang Yan-Peng; Xu Ke-Wei

    2013-01-01

    A stop band gap is predicted in periodic layers of a confined atomic vapor/dielectric medium. Reflection and transmission profile of the layers over the band gap can be dramatically modified by the confined atoms and the number of layer periods. These gap and line features can be ascribed to the enhanced contribution of slow atoms induced by atom-wall collision, transient behavior of atom-light interaction and Fabry—Pérot effects in a thermal confined atomic system

  16. Role of hydrogen in the chemical vapor deposition growth of MoS2 atomic layers

    Science.gov (United States)

    Li, Xiao; Li, Xinming; Zang, Xiaobei; Zhu, Miao; He, Yijia; Wang, Kunlin; Xie, Dan; Zhu, Hongwei

    2015-04-01

    Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials. Electronic supplementary information (ESI) available: Low-magnification optical images; Raman spectra of 0% and 5% H2 samples; AFM characterization; Schematic of the film before and after sulfurization annealing; Schematic illustrations of two typical Raman-active phonon modes (E12g, A1g); Raman (mapping) spectra for 40% and 80% H2 samples before and after sulfurization annealing; PL spectra. See DOI: 10.1039/c5nr00904a

  17. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lindahl, Johan, E-mail: johan.lindahl@angstrom.uu.se; Hägglund, Carl, E-mail: carl.hagglund@angstrom.uu.se; Wätjen, J. Timo, E-mail: timo.watjen@angstrom.uu.se; Edoff, Marika, E-mail: marika.edoff@angstrom.uu.se; Törndahl, Tobias, E-mail: tobias.torndahl@angstrom.uu.se

    2015-07-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO{sub x} ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm{sup 3} in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap.

  18. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    International Nuclear Information System (INIS)

    Lindahl, Johan; Hägglund, Carl; Wätjen, J. Timo; Edoff, Marika; Törndahl, Tobias

    2015-01-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO x ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm 3 in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap

  19. The vertical growth of MoS2 layers at the initial stage of CVD from first-principles

    Science.gov (United States)

    Xue, Xiong-Xiong; Feng, Yexin; Chen, Keqiu; Zhang, Lixin

    2018-04-01

    Chemical vapor deposition (CVD) is the highly preferred method for mass production of transition metal dichalcogenide (TMD) layers, yet the atomic-scale knowledge is still lacking about the nucleation and growth. In this study, by using first-principles calculations, we show that, on Au(111) surface, one-dimensional (1D) MoxSy chains are first formed by coalescing of smaller feeding species and are energetically favored at the early stage of nucleation. Two-dimensional (2D) layers can be stabilized only after the number of Mo atoms exceeds ˜12. A vertical growth mode is revealed which accomplishes the structural transformation from the 1D chains to the 2D layers for the clusters while growing. The competition between intralayer and interlayer interactions is the key. These findings serve as new insights for better understanding the atomistic mechanism of the nucleation and growth of TMDs on the surface.

  20. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan

    2014-11-10

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green\\'s function (NEGF).

  1. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan; Chang, Chih-Yuan S.; Ghosh, Ram Krishna; Li, Jie; Zhu, Hui; Addou, Rafik; Diaconescu, Bogdan; Ohta, Taisuke; Peng, Xin; Lu, Ning; Kim, Moon J.; Robinson, Jeremy T.; Wallace, Robert M; Mayer, Theresa S.; Datta, Suman; Li, Lain-Jong; Robinson, Joshua A.

    2014-01-01

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green's function (NEGF).

  2. Controlling single and few-layer graphene crystals growth in a solid carbon source based chemical vapor deposition

    International Nuclear Information System (INIS)

    Papon, Remi; Sharma, Subash; Shinde, Sachin M.; Vishwakarma, Riteshkumar; Tanemura, Masaki; Kalita, Golap

    2014-01-01

    Here, we reveal the growth process of single and few-layer graphene crystals in the solid carbon source based chemical vapor deposition (CVD) technique. Nucleation and growth of graphene crystals on a polycrystalline Cu foil are significantly affected by the injection of carbon atoms with pyrolysis rate of the carbon source. We observe micron length ribbons like growth front as well as saturated growth edges of graphene crystals depending on growth conditions. Controlling the pyrolysis rate of carbon source, monolayer and few-layer crystals and corresponding continuous films are obtained. In a controlled process, we observed growth of large monolayer graphene crystals, which interconnect and merge together to form a continuous film. On the other hand, adlayer growth is observed with an increased pyrolysis rate, resulting few-layer graphene crystal structure and merged continuous film. The understanding of monolayer and few-layer crystals growth in the developed CVD process can be significant to grow graphene with controlled layer numbers.

  3. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  4. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  5. Atomic scale characterization of mismatched graphene layers

    International Nuclear Information System (INIS)

    Luican-Mayer, Adina; Li, Guohong; Andrei, Eva Y.

    2017-01-01

    Highlights: • Review of STM/STS of graphene with various degree of coupling. • Review of vertically twisted graphene with respect with each other. • Review of Landau levels in graphene layers weakly decoupled electronically. • Review of laterally twisted graphene forming grain boundaries. - Abstract: In the bourgeoning field of two dimensional layered materials and their atomically thin counterparts, it has been established that the electronic coupling between the layers of the material plays a key role in determining its properties [1,2]. We are just beginning to understand how each material is unique in that respect while working our way up to building new materials with functionalities enabled by interlayer interactions. In this review, we will focus on a system that despite its apparent simplicity possesses a wealth of intriguing physics: layers of graphene with various degree of coupling. The situations discussed here are graphene layers vertically twisted with respect with each other, weakly decoupled electronically and laterally twisted forming grain boundaries. We emphasize experiments that atomically resolve the electronic properties.

  6. Atomic layer deposition of Al-doped ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit; Okazaki, Ryuji; Terasaki, Ichiro [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland); Department of Physics, Nagoya University, Nagoya 464-8602 (Japan)

    2013-01-15

    Atomic layer deposition has been used to fabricate thin films of aluminum-doped ZnO by depositing interspersed layers of ZnO and Al{sub 2}O{sub 3} on borosilicate glass substrates. The growth characteristics of the films have been investigated through x-ray diffraction, x-ray reflection, and x-ray fluorescence measurements, and the efficacy of the Al doping has been evaluated through optical reflectivity and Seebeck coefficient measurements. The Al doping is found to affect the carrier density of ZnO up to a nominal Al dopant content of 5 at. %. At nominal Al doping levels of 10 at. % and higher, the structure of the films is found to be strongly affected by the Al{sub 2}O{sub 3} phase and no further carrier doping of ZnO is observed.

  7. The role of Ag buffer layer in Fe islands growth on Ge (111) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Tsu-Yi, E-mail: phtifu@phy.ntnu.edu.tw; Wu, Jia-Yuan; Jhou, Ming-Kuan; Hsu, Hung-Chan [Department of Physics, National Taiwan Normal University, 88, Sec. 4, Ting-Chou Rd, Taipei 116, Taiwan (China)

    2015-05-07

    Sub-monolayer iron atoms were deposited at room temperature on Ge (111)-c(2 × 8) substrates with and without Ag buffer layers. The behavior of Fe islands growth was investigated by using scanning tunneling microscope (STM) after different annealing temperatures. STM images show that iron atoms will cause defects and holes on substrates at room temperature. As the annealing temperature rises, iron atoms pull out germanium to form various kinds of alloyed islands. However, the silver layer can protect the Ag/Ge(111)-(√3×√3) reconstruction from forming defects. The phase diagram shows that ring, dot, and triangular defects were only found on Ge (111)-c(2 × 8) substrates. The kinds of islands found in Fe/Ge system are similar to Fe/Ag/Ge system. It indicates that Ge atoms were pulled out to form islands at high annealing temperatures whether there was a Ag layer or not. But a few differences in big pyramidal or strip islands show that the silver layer affects the development of islands by changing the surface symmetry and diffusion coefficient. The structure characters of various islands are also discussed.

  8. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  9. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  10. Effect of substrate composition on atomic layer deposition using self-assembled monolayers as blocking layers

    International Nuclear Information System (INIS)

    Zhang, Wenyu; Engstrom, James R.

    2016-01-01

    The authors have examined the effect of two molecules that form self-assembled monolayers (SAMs) on the subsequent growth of TaN x by atomic layer deposition (ALD) on two substrate surfaces, SiO 2 and Cu. The SAMs that the authors have investigated include two vapor phase deposited, fluorinated alkyl silanes: Cl 3 Si(CH 2 ) 2 (CF 2 ) 5 CF 3 (FOTS) and (C 2 H 5 O) 3 Si(CH 2 ) 2 (CF 2 ) 7 CF 3 (HDFTEOS). Both the SAMs themselves and the TaN x thin films, grown using Ta[N(CH 3 ) 2 ] 5 and NH 3 , were analyzed ex situ using contact angle, spectroscopic ellipsometry, x-ray photoelectron spectroscopy (XPS), and low energy ion-scattering spectroscopy (LEISS). First, the authors find that both SAMs on SiO 2 are nominally stable at T s  ∼ 300 °C, the substrate temperature used for ALD, while on Cu, the authors find that HDFTEOS thermally desorbs, while FOTS is retained on the surface. The latter result reflects the difference in the head groups of these two molecules. The authors find that both SAMs strongly attenuate the ALD growth of TaN x on SiO 2 , by about a factor of 10, while on Cu, the SAMs have no effect on ALD growth. Results from LEISS and XPS are decisive in determining the nature of the mechanism of growth of TaN x on all surfaces. Growth on SiO 2 is 2D and approximately layer-by-layer, while on the surfaces terminated by the SAMs, it nucleates at defect sites, is islanded, and is 3D. In the latter case, our results support growth of the TaN x thin film over the SAM, with a considerable delay in formation of a continuous thin film. Growth on Cu, with or without the SAMs, is also 3D and islanded, and there is also a delay in the formation of a continuous thin film as compared to growth on SiO 2 . These results highlight the power of coupling measurements from both LEISS and XPS in examinations of ultrathin films formed by ALD

  11. Reaction layer growth and reaction heat of U-Mo/Al dispersion fuels using centrifugally atomized powders

    International Nuclear Information System (INIS)

    Ryu, Ho Jin; Han, Young Soo; Park, Jong Man; Park, Soon Dal; Kim, Chang Kyu

    2003-01-01

    The growth behavior of reaction layers and heat generation during the reaction between U-Mo powders and the Al matrix in U-Mo/Al dispersion fuels were investigated. Annealing of 10 vol.% U-10Mo/Al dispersion fuels at temperatures from 500 to 550 deg. C was carried out for 10 min to 36 h to measure the growth rate and the activation energy for the growth of reaction layers. The concentration profiles of reaction layers between the U-10Mo vs. Al diffusion couples were measured and the integrated interdiffusion coefficients were calculated for the U and Al in the reaction layers. Heat generation of U-Mo/Al dispersion fuels with 10-50 vol.% of U-Mo fuel during the thermal cycle from room temperature to 700 deg. C was measured employing the differential scanning calorimetry. Exothermic heat from the reaction between U-Mo and the Al matrix is the largest when the volume fraction of U-Mo fuel is about 30 vol.%. The unreacted fraction in the U-Mo powders increases as the volume fraction of U-Mo fuel increases from 30 to 50 vol.%

  12. The effect of inducing uniform Cu growth on formation of electroless Cu seed layer

    International Nuclear Information System (INIS)

    Lim, Taeho; Kim, Myung Jun; Park, Kyung Ju; Kim, Kwang Hwan; Choe, Seunghoe; Lee, Young-Soo; Kim, Jae Jeong

    2014-01-01

    The uniformity of Cu growth on Pd nanocatalysts was controlled by using organic additives in the formation of electroless Cu seed layers. Polyethylene glycol (PEG, Mw. 8000) not only reduced the deposition rate but also improved the uniformity of Cu growth on each Pd nanocatalyst during the seed layer formation. The stronger suppression effect of PEG on Cu than on Pd reduced the difference in the deposition rate between the two surfaces, resulting in the uniform deposition. Meanwhile, bis(3-sulfopropyl) disulfide degraded the uniformity by strong and nonselective suppression. The sheet resistance measurement and atomic force microscopy imaging revealed that the uniform Cu growth by PEG was more advantageous for the formation of a thin and smooth Cu seed layer than the non-uniform growth. The uniform Cu growth also had a positive influence on the subsequent Cu electrodeposition: the 60-nm-thick electrodeposited Cu film on the Cu seed layer showed low resistivity (2.70 μΩ·cm), low surface roughness (6.98 nm), and good adhesion strength. - Highlights: • Uniform Cu growth on Pd was achieved in formation of electroless Cu seed layer. • PEG addition to electroless bath improved the uniformity of Cu growth on Pd. • A thin, smooth and continuous Cu seed layer was obtained with PEG. • Adhesion strength of the Cu seed layer was also improved with PEG. • The uniformity improvement positively affected subsequent Cu electrodeposition

  13. Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2 : Large area, thickness control and tuneable morphology

    NARCIS (Netherlands)

    Sharma, A.; Verheijen, M.A.; Wu, L.; Karwal, S.; Vandalon, V.; Knoops, H.C.M.; Sundaram, R.S.; Hofmann, J.P.; Kessels, W.M.M.; Bol, A.A.

    2018-01-01

    Low-temperature controllable synthesis of monolayer-to-multilayer thick MoS2 with tuneable morphology is demonstrated by using plasma enhanced atomic layer deposition (PEALD). The characteristic self-limiting ALD growth with a growth-per-cycle of 0.1 nm per cycle and digital thickness control down

  14. Atomic-layer-resolved analysis of surface magnetism by diffraction spectroscopy

    International Nuclear Information System (INIS)

    Matsui, Fumihiko; Matsushita, Tomohiro; Daimon, Hiroshi

    2010-01-01

    X-ray absorption near edge structure (XANES) and X-ray magnetic circular dichroism (XMCD) measurements by Auger-electron-yield detection are powerful analysis tools for the electronic and magnetic structures of surfaces, but all the information from atoms within the electron mean-free-path range is summed into the obtained spectrum. In order to investigate the electronic and magnetic structures of each atomic layer at subsurface, we have proposed a new method, diffraction spectroscopy, which is the combination of X-ray absorption spectroscopy and Auger electron diffraction (AED). From a series of measured thickness dependent AED patterns, we deduced a set of atomic-layer-specific AED patterns arithmetically. Based on these AED patterns, we succeeded in disentangling obtained XANES and XMCD spectra into those from different atomic layers.

  15. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    Science.gov (United States)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-12-01

    Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 104 Langmuir (L), where 1 L corresponds to 1.33 × 10-4 Pa s (or 1.0 × 10-6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH3.

  16. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    the preparation of ultrathin seed layers in the first stage of the deposition process is often envisaged to control the growth and physical properties of the subsequent coating. This work suggests that the limitations of conventional pulsed laser deposition (PLD), performed at moderate temperature (400°C......10 layers with a thickness of 4 nm, 13 nm and 22 nm, respectively, grown on Mg(100), were studied by atomic force microscopy and X-ray reflectometry....

  17. An atomic-scale model of fcc crystal-growth

    Energy Technology Data Exchange (ETDEWEB)

    Waal, B.W. van de (Technische Hogeschool Twente, Enschede (Netherlands). Dept. of Physics)

    1991-01-01

    Nearly perfect fcc growth may be simulated by the application of a simple growth-algorithm - only sites that are at least 4-coordinated are occupied - to a selected seed. The seed is a 22-atom cluster, being the smallest close-packed structure with two crossing stacking-faults. The stacking-faults produce active surface-sites, that can not be exhausted by occupation; they are arranged in non-vanishing steps, similar to those produced by screw-dislocations. The algorithm prevents further stacking-faults, and ensures ABC-stacking of close-packed (111)-layers, characteristic of the fcc structure. The same algorithm would not produce further growth of perfect fcc clusters or of Mackay icosahedra. It is proposed that the ability to grow fast under near-equilibrium conditions is a better criterion to select clusters as precursors of the bulk-structure than their cohesive energy. The crystal structure problem of the rare gases - why fcc, not hcp - is discussed in connection with the apparent impossibility to simulate hcp growth by an analogous procedure. (orig.).

  18. Simulating the growth process of aromatic polyamide layer by monomer concentration controlling method

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Yan [Vontron Technology Co., Ltd., Guiyang 550018, Guizhou (China); Liang, Songmiao, E-mail: liangsongmiao@vontron.com.cn [Vontron Technology Co., Ltd., Guiyang 550018, Guizhou (China); Wu, Zongce; Cai, Zhiqi [Vontron Technology Co., Ltd., Guiyang 550018, Guizhou (China); Zhao, Ning [National Laboratory of Molecular Sciences, Institute of Chemistry, Chinese Academy of Sciences (ICCAS), Beijing 100190 (China)

    2014-09-30

    Highlights: • A concentration controlling method to simulate the growth process of polyamide layer was developed. • The surface structure features and properties of polyamide layer at its different growth stages were systematically investigated. • Structure transition from spherical aggregator to leaf-like to typical ridge-and-valley was observed. • The performance of RO membrane is closely related to the structure of polyamide. - Abstract: With the wide distribution and gradual increase of TMC concentration (C{sub TMC}) from 1 × 10{sup −4} wt% to 2.5 × 10{sup −1} wt%, the main purpose of this work is to simulate the surface structure and properties of polyamide layer of reverse osmosis membranes at its different growth stage. The surface structure and properties of the resulted membranes were then characterized by atomic force microscopy (AFM), scanning electron microscope (SEM), attenuated total reflectance infrared (ATR-IR) spectroscopy, drop shape analysis system and electrokinetic analyzer. The structure growth of polyamide layer underwent in turn three different stages including spherical aggregator, leaf-like and typical ridge-valley structure. Spherical aggregator is the intrinsic structure in the inner layer of polyamide while leaf-like structure is transitional on the outmost polyamide layer. Furthermore, to clarify the effect of the structure change on the properties of polyamide layer, contact angle and zeta potential in the surface of polyamide layer were studied. Hydrophilic surface of polyamide layer is accessible at higher TMC concentration because of the presence of negative charged groups. Performances of the membranes were further measured with an emphasis on studying its structure–performance relationship during the growth process of polyamide layer.

  19. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  20. Simulation and growing study of Cu–Al–S thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duclaux, L., E-mail: loraine-externe.duclaux@edf.fr [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Donsanti, F.; Vidal, J. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Bouttemy, M. [Lavoisier Institute of Versailles, UMR 8180, 45 avenue des Etats-Unis, 78035 Versailles cedex (France); Schneider, N.; Naghavi, N. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France)

    2015-11-02

    In this paper, we have explored the potential of Cu–Al–S compounds as p-type transparent conducting material by means of atomistic simulation using CuAlS{sub 2} as a reference ternary compound and atomic layer deposition (ALD) growth. We have identified key intrinsic point defects acting either as shallow acceptor or deep donor which define the conductivity of CuAlS{sub 2}. Higher p-type conductivity was found to be achievable under metal-poor and chalcogen-rich growth conditions. According to this precept, ALD growth of Cu{sub x}Al{sub y}S{sub z} was attempted using Cu(acac){sub 2} and Al(CH{sub 3}){sub 3} as precursors for Cu and Al respectively and under H{sub 2}S atmosphere. While as grown thin films present low content of Al, it influences the band gap values as well as the obtained structures. - Highlights: • Ab-initio investigation of CuAlS{sub 2} • Indentification of two opposite main-contributive intrinsic defects on the conductivity: V{sub Cu} and Al{sub Cu} • Synthesis of Cu-Al-S ternary compound using atomic layer deposition • Impact of aluminum insertion on the optical and structural properties of the films.

  1. Density of states of adsorbed sulphur atoms on pristine and defective graphene layers

    International Nuclear Information System (INIS)

    Arellano, J S

    2017-01-01

    The density of states for adsorbed sulphur atom on a graphene layer system is discussed for pristine graphene layer and for mono and divacancies on the graphene layer. To our knowledge this is the first time that an entire adsorption of the sulphur atom is reported at the plane of the carbon atoms, when there is a pair of closer vacancies at the graphene layer. (paper)

  2. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  3. Surfactant-induced layered growth in homoepitaxy of Fe on Fe(100)-c(2 x 2)O reconstruction surface

    International Nuclear Information System (INIS)

    Kamiko, Masao; Mizuno, Hiroyuki; Chihaya, Hiroaki; Xu, Junhua; Kojima, Isao; Yamamoto, Ryoichi

    2007-01-01

    In this study, the effects of several surfactants (Pb, Bi, and Ag) on the homoepitaxial growth of Fe(100) were studied and compared. The reflection high-energy electron diffraction measurements clearly reveal that these surfactants enhance the layer-by-layer growth of Fe on an Fe(100)-c(2 x 2)O reconstruction surface. The dependence of growth on the surfactant layer thickness suggests that there exists a suitable amount of surfactant layer that induces a smoother layer-by-layer growth. Comparisons between the atomic force microscopy images reveal that the root-mean-square surface roughness of Fe films mediated by Pb and Bi surfactants are considerably smaller than those of the films mediated by Ag surfactant. The Auger electron spectra show that Pb and Bi segregate at the top of the surface. It has been concluded that Pb and Bi are effective surfactants for enhancing layer-by-layer growth in Fe homoepitaxy. Ag has the same effect, but it is less efficient due to the weak surface segregation of Ag

  4. Atomic layer deposition on polymer based flexible packaging materials: Growth characteristics and diffusion barrier properties

    International Nuclear Information System (INIS)

    Kaeaeriaeinen, Tommi O.; Maydannik, Philipp; Cameron, David C.; Lahtinen, Kimmo; Johansson, Petri; Kuusipalo, Jurkka

    2011-01-01

    One of the most promising areas for the industrial application of atomic layer deposition (ALD) is for gas barrier layers on polymers. In this work, a packaging material system with improved diffusion barrier properties has been developed and studied by applying ALD on flexible polymer based packaging materials. Nanometer scale metal oxide films have been applied to polymer-coated papers and their diffusion barrier properties have been studied by means of water vapor and oxygen transmission rates. The materials for the study were constructed in two stages: the paper was firstly extrusion coated with polymer film, which was then followed by the ALD deposition of oxide layer. The polymers used as extrusion coatings were polypropylene, low and high density polyethylene, polylactide and polyethylene terephthalate. Water vapor transmission rates (WVTRs) were measured according to method SCAN-P 22:68 and oxygen transmission rates (O 2 TRs) according to a standard ASTM D 3985. According to the results a 10 nm oxide layer already decreased the oxygen transmission by a factor of 10 compared to uncoated material. WVTR with 40 nm ALD layer was better than the level currently required for most common dry flexible packaging applications. When the oxide layer thickness was increased to 100 nm and above, the measured WVTRs were limited by the measurement set up. Using an ALD layer allowed the polymer thickness on flexible packaging materials to be reduced. Once the ALD layer was 40 nm thick, WVTRs and O 2 TRs were no longer dependent on polymer layer thickness. Thus, nanometer scale ALD oxide layers have shown their feasibility as high quality diffusion barriers on flexible packaging materials.

  5. Atomic layer deposition on polymer based flexible packaging materials: Growth characteristics and diffusion barrier properties

    Energy Technology Data Exchange (ETDEWEB)

    Kaeaeriaeinen, Tommi O., E-mail: tommi.kaariainen@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Cameron, David C., E-mail: david.cameron@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Lahtinen, Kimmo, E-mail: kimmo.lahtinen@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland); Johansson, Petri, E-mail: petri.johansson@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland)

    2011-03-01

    One of the most promising areas for the industrial application of atomic layer deposition (ALD) is for gas barrier layers on polymers. In this work, a packaging material system with improved diffusion barrier properties has been developed and studied by applying ALD on flexible polymer based packaging materials. Nanometer scale metal oxide films have been applied to polymer-coated papers and their diffusion barrier properties have been studied by means of water vapor and oxygen transmission rates. The materials for the study were constructed in two stages: the paper was firstly extrusion coated with polymer film, which was then followed by the ALD deposition of oxide layer. The polymers used as extrusion coatings were polypropylene, low and high density polyethylene, polylactide and polyethylene terephthalate. Water vapor transmission rates (WVTRs) were measured according to method SCAN-P 22:68 and oxygen transmission rates (O{sub 2}TRs) according to a standard ASTM D 3985. According to the results a 10 nm oxide layer already decreased the oxygen transmission by a factor of 10 compared to uncoated material. WVTR with 40 nm ALD layer was better than the level currently required for most common dry flexible packaging applications. When the oxide layer thickness was increased to 100 nm and above, the measured WVTRs were limited by the measurement set up. Using an ALD layer allowed the polymer thickness on flexible packaging materials to be reduced. Once the ALD layer was 40 nm thick, WVTRs and O{sub 2}TRs were no longer dependent on polymer layer thickness. Thus, nanometer scale ALD oxide layers have shown their feasibility as high quality diffusion barriers on flexible packaging materials.

  6. Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Virginia R.; Nepal, Neeraj; Johnson, Scooter D.; Robinson, Zachary R.; Nath, Anindya; Kozen, Alexander C.; Qadri, Syed B.; DeMasi, Alexander; Hite, Jennifer K.; Ludwig, Karl F.; Eddy, Charles R.

    2017-05-01

    Wide bandgap semiconducting nitrides have found wide-spread application as light emitting and laser diodes and are under investigation for further application in optoelectronics, photovoltaics, and efficient power switching technologies. Alloys of the binary semiconductors allow adjustments of the band gap, an important semiconductor material characteristic, which is 6.2 eV for aluminum nitride (AlN), 3.4 eV for gallium nitride, and 0.7 eV for (InN). Currently, the highest quality III-nitride films are deposited by metalorganic chemical vapor deposition and molecular beam epitaxy. Temperatures of 900 °C and higher are required to deposit high quality AlN. Research into depositing III-nitrides with atomic layer epitaxy (ALEp) is ongoing because it is a fabrication friendly technique allowing lower growth temperatures. Because it is a relatively new technique, there is insufficient understanding of the ALEp growth mechanism which will be essential to development of the process. Here, grazing incidence small angle x-ray scattering is employed to observe the evolving behavior of the surface morphology during growth of AlN by ALEp at temperatures from 360 to 480 °C. Increased temperatures of AlN resulted in lower impurities and relatively fewer features with short range correlations.

  7. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  8. Low-temperature atomic layer deposition of MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Jurca, Titel; Wang, Binghao; Tan, Jeffrey M.; Lohr, Tracy L.; Marks, Tobin J. [Department of Chemistry and the Materials Research Center, Northwestern University, Evanston, IL (United States); Moody, Michael J.; Henning, Alex; Emery, Jonathan D.; Lauhon, Lincoln J. [Department of Materials Science and Engineering, and the Materials Research Center, Northwestern University, Evanston, IL (United States)

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe{sub 2}){sub 4} with H{sub 2}S for the low-temperature synthesis of MoS{sub 2}. This observation motivated an investigation of Mo(NMe{sub 2}){sub 4} as a volatile precursor for the atomic layer deposition (ALD) of MoS{sub 2} thin films. Herein we report that Mo(NMe{sub 2}){sub 4} enables MoS{sub 2} film growth at record low temperatures - as low as 60 C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Role of high growth temperature

    Science.gov (United States)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Hwang, Eun-Sook; Imai, Daichi; Itoi, Takaomi

    2016-12-01

    The growth kinetics and properties of nominally 1-ML (monolayer)-thick InN wells on/in +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the effects of growth temperature. Attention was also given to how and where the ˜1-ML-thick InN layers were frozen or embedded on/in the +c-GaN matrix. The D-ALEp of InN on GaN was a two-stage process; in the 1st stage, an "In+N" bilayer/monolayer was formed on the GaN surface, while in the 2nd, this was capped by a GaN barrier layer. Each process was monitored in-situ using spectroscopic ellipsometry. The target growth temperature was above 620 °C and much higher than the upper critical epitaxy temperature of InN (˜500 °C). The "In+N" bilayer/monolayer tended to be an incommensurate phase, and the growth of InN layers was possible only when they were capped with a GaN layer. The InN layers could be coherently inserted into the GaN matrix under self-organizing and self-limiting epitaxy modes. The growth temperature was the most dominant growth parameter on both the growth process and the structure of the InN layers. Reflecting the inherent growth behavior of D-ALEp grown InN on/in +c-GaN at high growth temperature, the embedded InN layers in the GaN matrix were basically not full-ML in coverage, and the thickness of sheet-island-like InN layers was essentially either 1-ML or 2-ML. It was found that these InN layers tended to be frozen at the step edges on the GaN and around screw-type threading dislocations. The InN wells formed type-I band line-up heterostructures with GaN barriers, with exciton localization energies of about 300 and 500 meV at 15 K for the 1-ML and 2-ML InN wells, respectively.

  10. Growth, structure, and tribological behavior of atomic layer-deposited tungsten disulphide solid lubricant coatings with applications to MEMS

    International Nuclear Information System (INIS)

    Scharf, T.W.; Prasad, S.V.; Dugger, M.T.; Kotula, P.G.; Goeke, R.S.; Grubbs, R.K.

    2006-01-01

    This paper describes the synthesis, structure, and tribological behavior of nanocomposite tungsten disulphide (WS 2 ) solid lubricant films grown by atomic layer deposition. A new catalytic route, incorporating a diethyl zinc catalyst, was established to promote the adsorption and growth of WS 2 . The films were grown down to 8 nm in thickness by sequential exposures of WF 6 and H 2 S gases in a viscous flow reactor on Si, SiO 2 , stainless steel, and polycrystalline Si and electroplated Ni microelectromechanical systems structures. Films were studied by cross-sectional transmission electron microscopy (XTEM) with Automated eXpert Spectral Image Analysis (AXSIA) software for X-ray spectral images and X-ray diffraction to determine the coating conformality and crystallinity. The coatings exhibited a hexagonal layered structure with predominant preferentially orientated (0 0 2) basal planes. Regardless of orientation to the substrate surface, these basal planes when sheared imparted low friction with a steady-state friction coefficient as low as 0.008 to 50,000 cycles in a dry nitrogen environment. The formation of smooth transfer films during wear provided low interfacial shear stresses during sliding thus achieving low friction and wear. The XTEM combined with AXSIA of the wear tracks identified this mechanism and the effects of vapor phase reaction by-product etching on insulating and native polycrystalline Si and Ni surfaces

  11. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  12. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  13. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  14. Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films

    Directory of Open Access Journals (Sweden)

    Jörg Haeberle

    2013-11-01

    Full Text Available We report on results on the preparation of thin (2O3 films on silicon substrates using thermal atomic layer deposition (T-ALD and plasma enhanced atomic layer deposition (PE-ALD in the SENTECH SI ALD LL system. The T-ALD Al2O3 layers were deposited at 200 °C, for the PE-ALD films we varied the substrate temperature range between room temperature (rt and 200 °C. We show data from spectroscopic ellipsometry (thickness, refractive index, growth rate over 4” wafers and correlate them to X-ray photoelectron spectroscopy (XPS results. The 200 °C T-ALD and PE-ALD processes yield films with similar refractive indices and with oxygen to aluminum elemental ratios very close to the stoichiometric value of 1.5. However, in both also fragments of the precursor are integrated into the film. The PE-ALD films show an increased growth rate and lower carbon contaminations. Reducing the deposition temperature down to rt leads to a higher content of carbon and CH-species. We also find a decrease of the refractive index and of the oxygen to aluminum elemental ratio as well as an increase of the growth rate whereas the homogeneity of the film growth is not influenced significantly. Initial state energy shifts in all PE-ALD samples are observed which we attribute to a net negative charge within the films.

  15. Mechanisms and energetics of surface atomic processes

    International Nuclear Information System (INIS)

    Tsong, T.T.

    1991-01-01

    The energies involved in various surface atomic processes such as surface diffusion, the binding of small atomic clusters on the surface, the interaction between two adsorbed atoms, the dissociation of an atom from a small cluster or from a surface layer, the binding of kink size atoms or atoms at different adsorption sites to the surface etc., can be derived from an analysis of atomically resolved field ion microscope images and a kinetic energy measurement of low temperature field desorbed ions using the time-of-flight atom-probe field ion microscope. These energies can be used to compare with theories and to understand the transport of atoms on the surface in atomic reconstructions, epitaxial growth of surface layers and crystal growth, adsorption layer superstructure formation, and also why an atomic ordering or atomic reconstruction at the surface is energetically favored. Mechanisms of some of the surface atomic processes are also clarified from these quantitative, atomic resolution studies. In this paper work in this area is bris briefly reviewed

  16. Tungsten atomic layer deposition on polymers

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, C.A. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); McCormick, J.A. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); Cavanagh, A.S. [Department of Physics, University of Colorado, Boulder, Colorado 80309-0390 (United States); Goldstein, D.N. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Weimer, A.W. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); George, S.M. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States)], E-mail: Steven.George@Colorado.Edu

    2008-07-31

    Tungsten (W) atomic layer deposition (ALD) was investigated on a variety of polymer films and polymer particles. These polymers included polyethylene, polyvinyl chloride, polystyrene, polycarbonate, polypropylene and polymethylmethacrylate. The W ALD was performed at 80 {sup o}C using WF{sub 6} and Si{sub 2}H{sub 6} as the gas phase reactants. W ALD on flat polymer films can eventually nucleate and grow after more than 60 AB cycles. X-ray photoelectron spectroscopy studies of W ALD on polystyrene after 50 AB cycles suggested that tungsten nanoclusters are present in the W ALD nucleation regime. The W ALD nucleation is greatly facilitated by a few cycles of Al{sub 2}O{sub 3} ALD. W ALD films were grown at 80 {sup o}C on spin-coated polymers on silicon wafers after 10 AB cycles of Al{sub 2}O{sub 3} ALD. The W ALD film was observed to grow linearly with a growth rate of 3.9 A per AB cycle on the polymer films treated with the Al{sub 2}O{sub 3} ALD seed layer. The W ALD films displayed an excellent, mirror-like optical reflectivity. The resistivity was 100-400 {mu}{omega} cm for W ALD films with thicknesses from 95-845 A. W ALD was also observed on polymer particles after W ALD in a rotary reactor. Without the Al{sub 2}O{sub 3} ALD seed layer, the nucleation of W ALD directly on the polymer particles at 80 {sup o}C required > 50 AB cycles. In contrast, the polymer particles treated with only 5 AB cycles of Al{sub 2}O{sub 3} ALD were observed to blacken after 25 AB cycles of W ALD. W ALD on polymers may have applications for flexible optical mirrors, electromagnetic interference shielding and gas diffusion barriers.

  17. Atomic Scale Imaging of Nucleation and Growth Trajectories of an Interfacial Bismuth Nanodroplet.

    Science.gov (United States)

    Li, Yingxuan; Bunes, Benjamin R; Zang, Ling; Zhao, Jie; Li, Yan; Zhu, Yunqing; Wang, Chuanyi

    2016-02-23

    Because of the lack of experimental evidence, much confusion still exists on the nucleation and growth dynamics of a nanostructure, particularly of metal. The situation is even worse for nanodroplets because it is more difficult to induce the formation of a nanodroplet while imaging the dynamic process with atomic resolution. Here, taking advantage of an electron beam to induce the growth of Bi nanodroplets on a SrBi2Ta2O9 platelet under a high resolution transmission electron microscope (HRTEM), we directly observed the detailed growth pathways of Bi nanodroplets from the earliest stage of nucleation that were previously inaccessible. Atomic scale imaging reveals that the dynamics of nucleation involves a much more complex trajectory than previously predicted based on classical nucleation theory (CNT). The monatomic Bi layer was first formed in the nucleation process, which induced the formation of the prenucleated clusters. Following that, critical nuclei for the nanodroplets formed both directly from the addition of atoms to the prenucleated clusters by the classical growth process and indirectly through transformation of an intermediate liquid film based on the Stranski-Krastanov growth mode, in which the liquid film was induced by the self-assembly of the prenucleated clusters. Finally, the growth of the Bi nanodroplets advanced through the classical pathway and sudden droplet coalescence. This study allows us to visualize the critical steps in the nucleation process of an interfacial nanodroplet, which suggests a revision of the perspective of CNT.

  18. Monitoring Si growth on Ag(111) with scanning tunneling microscopy reveals that silicene structure involves silver atoms

    International Nuclear Information System (INIS)

    Prévot, G.; Bernard, R.; Cruguel, H.; Borensztein, Y.

    2014-01-01

    Using scanning tunneling microscopy (STM), the elaboration of the so-called silicene layer on Ag(111) is monitored in real time during Si evaporation at different temperatures. It is shown that the growth of silicene is accompanied by the release of about 65% of the surface Ag atoms from the Si covered areas. We observe that Si islands develop on the Ag terraces and Si strips at the Ag step edges, progressively forming ordered (4×4), (√(13)×√(13)) R13.9°, and dotted phases. Meanwhile, displaced Ag atoms group to develop additional bare Ag terraces growing round the Si islands from the pristine Ag step edges. This indicates a strong interaction between Si and Ag atoms, with an important modification of the Ag substrate beneath the surface layer. This observation is in contradiction with the picture of a silicene layer weakly interacting with the unreconstructed Ag substrate, and strongly indicates that the structure of silicene on Ag(111) corresponds either to a Si-Ag surface alloy or to a Si plane covered with Ag atoms

  19. From Single Atoms to Nanoparticles: Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder.

    Science.gov (United States)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A I; Kovalgin, Alexey Y; Kooyman, Patricia; Kreutzer, Michiel T; van Ommen, Jan Rudolf

    2018-05-10

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO 2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O 2 as the coreactant is presented. The growth follows a pathway from single atoms to NPs as a function of the oxygen exposure (P O2 × time). The growth kinetics is modeled by accounting for the autocatalytic combustion of the precursor ligands via a variant of the Finke-Watzky two-step model. Even at relatively high oxygen exposures ( 120 mbar s. The deposition of more Pt leads to the formation of NPs that can be as large as 6 nm. Crucially, high P O2 (≥5 mbar) hinders metal aggregation, thus leading to narrow particle size distributions. The results show that ALD of Pt NPs is reproducible across small and large surface areas if the precursor ligands are removed at high P O2 . © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  1. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  2. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  3. Atomic force microscopy stiffness tomography on living Arabidopsis thaliana cells reveals the mechanical properties of surface and deep cell-wall layers during growth.

    Science.gov (United States)

    Radotić, Ksenija; Roduit, Charles; Simonović, Jasna; Hornitschek, Patricia; Fankhauser, Christian; Mutavdžić, Dragosav; Steinbach, Gabor; Dietler, Giovanni; Kasas, Sandor

    2012-08-08

    Cell-wall mechanical properties play a key role in the growth and the protection of plants. However, little is known about genuine wall mechanical properties and their growth-related dynamics at subcellular resolution and in living cells. Here, we used atomic force microscopy (AFM) stiffness tomography to explore stiffness distribution in the cell wall of suspension-cultured Arabidopsis thaliana as a model of primary, growing cell wall. For the first time that we know of, this new imaging technique was performed on living single cells of a higher plant, permitting monitoring of the stiffness distribution in cell-wall layers as a function of the depth and its evolution during the different growth phases. The mechanical measurements were correlated with changes in the composition of the cell wall, which were revealed by Fourier-transform infrared (FTIR) spectroscopy. In the beginning and end of cell growth, the average stiffness of the cell wall was low and the wall was mechanically homogenous, whereas in the exponential growth phase, the average wall stiffness increased, with increasing heterogeneity. In this phase, the difference between the superficial and deep wall stiffness was highest. FTIR spectra revealed a relative increase in the polysaccharide/lignin content. Copyright © 2012 Biophysical Society. Published by Elsevier Inc. All rights reserved.

  4. Atomic control of substrate termination and heteroepitaxial growth of SrTiO sub 3 /LaAlO sub 3 films

    CERN Document Server

    Kim, D W; Choi, C; Lim, K D; Noh, T W; Lee, D R; Park, J H; Lee, K B

    2000-01-01

    The roles of substrate termination in the growth behaviors of SrTiO sub 3 (STO) films were investigated. With heat treatment and an atomic layer deposition technique, LaAlO sub 3 (LAO) substrates with two kinds of terminations, i.e., LaO- and AlO sub 2 -terminated ones, could be prepared. On top of them STO films were grown by using laser molecular beam epitaxy. In the case of the STO/LaO-LAO film, a transition from layer-by-layer growth to island growth was observed after growth of about 10 monolayers (ML). On the other hand, the STO/AlO sub 2 -LAO film could be grown in a layer-by-layer mode with a flat surface up to 40 ML. We suggest that defects induced by charge compensation influence the strain states and the physical properties of oxide heterostructures significantly.

  5. Magnetic dichroism in photoemission: a new element-specific magnetometer with atomic-layer resolution

    International Nuclear Information System (INIS)

    Starke, K.; Arenholz, E.; Kaindl, G.

    1998-01-01

    Full text: Magnetic coupling in layered metallic structures has become a key issue in thin-film magnetism since the observation of oscillatory exchange coupling across non-ferromagnetic spacer layers. Although this phenomenon was discovered in rare earths (RE) superlattices, mostly transition-metal systems have been studied and are now applied in data-storage industry. An understanding of the coupling mechanisms has been reached after a fabrication of high-quality interfaces became possible. It allowed, in particular, the experimental finding of induced ferromagnetic order in 'nonmagnetic' atomic layers near an interface, using element-specific probes such as magnetic circular dichroism in x-ray absorption. - In layered RE systems, by contrast, the well known intermiscibility has prevented a preparation of atomically sharp interfaces, and all RE superlattices studied so far showed interdiffusion zones of several atomic layers. In the present overview, we report the first fabrication of atomically flat heteromagnetic RE interfaces, their structural characterization and their magnetic analysis using magnetic dichroism in photoemission (MDPE). This new tool gives access to the magnetization of individual atomic layers near interfaces in favourite cases. Merits of MDPE as a magnetometer are demonstrated at the example of Eu/Gd(0001), where chemical shifts of core-level photoemission lines allow to spectroscopically separate up to four different atomic layers. The high surface sensitivity of MDPE, together with the well known dependence of the core-level binding energies on the coordination number of the photo emitting atom, opens the door to future site-specific studies of magnetism in sub-monolayer systems such as 'nanowires'

  6. Nano-soldering to single atomic layer

    Science.gov (United States)

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  7. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  8. Phase inversion and frequency doubling of reflection high-energy electron diffraction intensity oscillations in the layer-by-layer growth of complex oxides

    Science.gov (United States)

    Mao, Zhangwen; Guo, Wei; Ji, Dianxiang; Zhang, Tianwei; Gu, Chenyi; Tang, Chao; Gu, Zhengbin; Nie*, Yuefeng; Pan, Xiaoqing

    In situ reflection high-energy electron diffraction (RHEED) and its intensity oscillations are extremely important for the growth of epitaxial thin films with atomic precision. The RHEED intensity oscillations of complex oxides are, however, rather complicated and a general model is still lacking. Here, we report the unusual phase inversion and frequency doubling of RHEED intensity oscillations observed in the layer-by-layer growth of SrTiO3 using oxide molecular beam epitaxy. In contacts to the common understanding that the maximum(minimum) intensity occurs at SrO(TiO2) termination, respectively, we found that both maximum or minimum intensities can occur at SrO, TiO2, or even incomplete terminations depending on the incident angle of the electron beam, which raises a fundamental question if one can rely on the RHEED intensity oscillations to precisely control the growth of thin films. A general model including surface roughness and termination dependent mean inner potential qualitatively explains the observed phenomena, and provides the answer to the question how to prepare atomically and chemically precise surface/interfaces using RHEED oscillations for complex oxides. We thank National Basic Research Program of China (No. 11574135, 2015CB654901) and the National Thousand-Young-Talents Program.

  9. Atomic layer deposition of zirconium dioxide from zirconium tetrachloride and ozone

    Energy Technology Data Exchange (ETDEWEB)

    Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Kemell, Marianna; Köykkä, Joel [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [Accelerator Laboratory, Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 Helsinki (Finland); Vehkamäki, Marko; Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2015-08-31

    ZrO{sub 2} films were grown by atomic layer deposition using ZrCl{sub 4} and O{sub 3} as precursors. The films were grown on silicon substrates in the temperature range of 220–500 °C. The ALD rate was monotonously decreasing from 0.085 to 0.060 nm/cycle in this temperature range towards the highest temperatures studied. The content of chlorine in the films did not exceed 0.2 at.% as measured by elastic recoil detection analysis. The content of hydrogen was 0.30 and 0.14 at.% in the films grown at 300 and 400 °C, respectively. Structural studies revealed the films consisting of mixtures of stable monoclinic and metastable tetragonal/cubic polymorphs of ZrO{sub 2}, and dominantly metastable phases of ZrO{sub 2} below and above 300 °C, respectively. Permittivity of dielectric layers in Al/Ti/ZrO{sub 2}/(TiN/)Si capacitors with 15–40 nm thick ZrO{sub 2} ranged between 12 and 25 at 100 kHz and the dielectric breakdown fields were in the range of 1.5–3.0 MV/cm. - Highlights: • ZrO{sub 2} thin films were grown by atomic layer deposition from ZrCl{sub 4} and O{sub 3}. • Relatively high substrate temperatures promoted growth of metastable ZrO{sub 2} phases. • ZrO{sub 2} films exhibited electric properties characteristic of dielectric metal oxides. • ZrO{sub 2} grown in hydrogen- and carbon free process contained low amounts of impurities.

  10. Nucleation and growth kinetics for intercalated islands during deposition on layered materials with isolated pointlike surface defects

    International Nuclear Information System (INIS)

    Han, Yong; Lii-Rosales, A.; Zhou, Y.; Wang, C.-J.

    2017-01-01

    Theory and stochastic lattice-gas modeling is developed for the formation of intercalated metal islands in the gallery between the top layer and the underlying layer at the surface of layered materials. Our model for this process involves deposition of atoms, some fraction of which then enter the gallery through well-separated pointlike defects in the top layer. Subsequently, these atoms diffuse within the subsurface gallery leading to nucleation and growth of intercalated islands nearby the defect point source. For the case of a single point defect, continuum diffusion equation analysis provides insight into the nucleation kinetics. However, complementary tailored lattice-gas modeling produces a more comprehensive and quantitative characterization. We analyze the large spread in nucleation times and positions relative to the defect for the first nucleated island. We also consider the formation of subsequent islands and the evolution of island growth shapes. The shapes reflect in part our natural adoption of a hexagonal close-packed island structure. As a result, motivation and support for the model is provided by scanning tunneling microscopy observations of the formation of intercalated metal islands in highly-ordered pyrolytic graphite at higher temperatures.

  11. Growth of bi- and tri-layered graphene on silicon carbide substrate via molecular dynamics simulation

    Energy Technology Data Exchange (ETDEWEB)

    Min, Tjun Kit; Yoon, Tiem Leong [School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Lim, Thong Leng [Faculty of Engineering and Technology, Multimedia University, Melaka Campus, 75450 Melaka (Malaysia)

    2015-04-24

    Molecular dynamics (MD) simulation with simulated annealing method is used to study the growth process of bi- and tri-layered graphene on a 6H-SiC (0001) substrate via molecular dynamics simulation. Tersoff-Albe-Erhart (TEA) potential is used to describe the inter-atomic interactions among the atoms in the system. The formation temperature, averaged carbon-carbon bond length, pair correlation function, binding energy and the distance between the graphene formed and the SiC substrate are quantified. The growth mechanism, graphitization of graphene on the SiC substrate and characteristics of the surface morphology of the graphene sheet obtained in our MD simulation compare well to that observed in epitaxially grown graphene experiments and other simulation works.

  12. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  13. Layered growth model and epitaxial growth structures for SiCAlN alloys

    International Nuclear Information System (INIS)

    Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong

    2009-01-01

    Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.

  14. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  15. Stabilizing nanostructured solid oxide fuel cell cathode with atomic layer deposition.

    Science.gov (United States)

    Gong, Yunhui; Palacio, Diego; Song, Xueyan; Patel, Rajankumar L; Liang, Xinhua; Zhao, Xuan; Goodenough, John B; Huang, Kevin

    2013-09-11

    We demonstrate that the highly active but unstable nanostructured intermediate-temperature solid oxide fuel cell cathode, La0.6Sr0.4CoO3-δ (LSCo), can retain its high oxygen reduction reaction (ORR) activity with exceptional stability for 4000 h at 700 °C by overcoating its surfaces with a conformal layer of nanoscale ZrO2 films through atomic layer deposition (ALD). The benefits from the presence of the nanoscale ALD-ZrO2 overcoats are remarkable: a factor of 19 and 18 reduction in polarization area-specific resistance and degradation rate over the pristine sample, respectively. The unique multifunctionality of the ALD-derived nanoscaled ZrO2 overcoats, that is, possessing porosity for O2 access to LSCo, conducting both electrons and oxide-ions, confining thermal growth of LSCo nanoparticles, and suppressing surface Sr-segregation is deemed the key enabler for the observed stable and active nanostructured cathode.

  16. Steps in growth of Nb-doped layered titanates with very high surface area suitable for water purification

    International Nuclear Information System (INIS)

    Milanović, Marija; Nikolić, Ljubica M.; Stijepović, Ivan; Kontos, Athanassios G.; Giannakopoulos, Konstantinos P.

    2014-01-01

    Nb-doped layered titanates, as highly efficient adsorbents, have been synthesized by hydrothermal reaction for variable duration and at 150 °C in a highly alkaline solution with NbCl 5 as the Nb source. The results have shown the formation of nanosheets already after 1 h of hydrothermal processing, but morphology and phase composition change as the reaction proceeds. The prepared layered titanates have been structurally investigated via scanning and transmission electron microscopy, X-ray diffraction, as well as Raman and Fourier transform infrared spectroscopies. The steps of layered titanate growth have been followed and an intermediate layered anatase phase is identified. Thus optimized growth of mesoporous titanate materials with 10% Nb atomic content present very high specific surface area of 345.3 m 2  g −1 , and perform as very efficient adsorbents for wastewater treatment applications. - Highlights: • Nb-doped layered titanates have been prepared by a hydrothermal procedure. • Introduction of Nb to precursor lowers the rate of layered titanate formation. • Steps in growth of Nb-doped layered titanates are considered. • Nb-doped layered titanates show high/fast MB adsorption from concentrated solution

  17. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    International Nuclear Information System (INIS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-01-01

    Highlights: • We discuss the reaction mechanism of the low temperature GaN ALD. • The plasma-excited NH 3 is effective in the nitridation of the TMG saturated GaN surface with surface temperatures in excess of 100 °C. • The temperature controlled ALD of GaN is examined using RT-TMG adsorption and plasma-excited NH 3 treatment with the temperature of 115 °C. - Abstract: Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH 3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 10 4 Langmuir (L), where 1 L corresponds to 1.33 × 10 −4 Pa s (or 1.0 × 10 −6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH 3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH 3 .

  18. Sealing of hard CrN and DLC coatings with atomic layer deposition.

    Science.gov (United States)

    Härkönen, Emma; Kolev, Ivan; Díaz, Belén; Swiatowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe; Fenker, Martin; Toth, Lajos; Radnoczi, György; Vehkamäki, Marko; Ritala, Mikko

    2014-02-12

    Atomic layer deposition (ALD) is a thin film deposition technique that is based on alternating and saturating surface reactions of two or more gaseous precursors. The excellent conformality of ALD thin films can be exploited for sealing defects in coatings made by other techniques. Here the corrosion protection properties of hard CrN and diamond-like carbon (DLC) coatings on low alloy steel were improved by ALD sealing with 50 nm thick layers consisting of Al2O3 and Ta2O5 nanolaminates or mixtures. In cross sectional images the ALD layers were found to follow the surface morphology of the CrN coatings uniformly. Furthermore, ALD growth into the pinholes of the CrN coating was verified. In electrochemical measurements the ALD sealing was found to decrease the current density of the CrN coated steel by over 2 orders of magnitude. The neutral salt spray (NSS) durability was also improved: on the best samples the appearance of corrosion spots was delayed from 2 to 168 h. On DLC coatings the adhesion of the ALD sealing layers was weaker, but still clear improvement in NSS durability was achieved indicating sealing of the pinholes.

  19. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  20. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  1. Investigation of different mechanisms of GaN growth induced on AlN and GaN nucleation layers

    International Nuclear Information System (INIS)

    Tasco, V.; Campa, A.; Tarantini, I.; Passaseo, A.; Gonzalez-Posada, F.; Munoz, E.; Redondo-Cubero, A.; Lorenz, K.; Franco, N.

    2009-01-01

    The evolution of GaN growth on AlN and GaN nucleation layers is compared through morphological and structural analyses, including ion beam analysis. By using AlN nucleation layer grown at high temperature, improved crystalline quality is exhibited by 300 nm thin GaN epilayers. GaN (002) x-ray rocking curve as narrow as 168 arc sec and atomic-step surface morphology characterize such a thin GaN film on AlN. Defects are strongly confined into the first 50 nm of growth, whereas a fast laterally coherent growth is observed when increasing thickness, as an effect of high temperature AlN surface morphology and Ga adatom dynamics over this template

  2. Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition.

    Science.gov (United States)

    Lou, Xiabing; Zhou, Hong; Kim, Sang Bok; Alghamdi, Sami; Gong, Xian; Feng, Jun; Wang, Xinwei; Ye, Peide D; Gordon, Roy G

    2016-12-14

    We demonstrate for the first time that a single-crystalline epitaxial Mg x Ca 1-x O film can be deposited on gallium nitride (GaN) by atomic layer deposition (ALD). By adjusting the ratio between the amounts of Mg and Ca in the film, a lattice matched Mg x Ca 1-x O/GaN(0001) interface can be achieved with low interfacial defect density. High-resolution X-ray diffraction (XRD) shows that the lattice parameter of this ternary oxide nearly obeys Vegard's law. An atomically sharp interface from cross-sectional transmission electron microscopy (TEM) confirmed the high quality of the epitaxy. High-temperature capacitance-voltage characterization showed that the film with composition Mg 0.25 Ca 0.75 O has the lowest interfacial defect density. With this optimal oxide composition, a Mg 0.25 Ca 0.75 O/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility (MOS-HEMT) device was fabricated. An ultrahigh on/off ratio of 10 12 and a near ideal SS of 62 mV/dec were achieved with this device.

  3. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations, by X-ray diffraction, high-resolution transmission electron microscopy, selected area electron diffraction and Raman spectra, reveal that single crystalline rutile TiO 2 shells can be epitaxially grown on SnO 2 NWs with an atomically sharp interface at low temperature (250 °C). The growth behavior of the TiO 2 shells highly depends on the surface orientations and the geometrical shape of the core SnO 2 NW cross-section. Atomically smooth surfaces are found for growth on the {110} surface. Rough surfaces develop on {100} surfaces due to (100) - (1 × 3) reconstruction, by introducing steps in the [010] direction as a continuation of {110} facets. Lattice mismatch induces superlattice structures in the TiO 2 shell and misfit dislocations along the interface. Conformal epitaxial growth has been observed for SnO 2 NW cores with an octagonal cross-section ({100} and {110} surfaces). However, for a rectangular core ({101} and {010} surfaces), the shell also derives an octagonal shape from the epitaxial growth, which was explained by a proposed model based on ALD kinetics. The surface steps and defects induced by the lattice mismatch likely lead to improved photoluminescence (PL) performance for the yellow emission. Compared to the pure SnO 2 NWs, the PL spectrum of the core-shell nanostructures exhibits a stronger emission peak, which suggests potential applications in optoelectronics. © The Royal Society of Chemistry 2012.

  4. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao

    2013-02-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge/crystal orientations and stacking orders of bi-and tri-layer graphene (BLG and TLG) from Raman spectroscopy and transmission electron microscopy (TEM) experiments performed on the same sample. We introduce a new method of transferring graphene flakes onto a normal TEM grid. Using this novel method, we probed the BLG and TLG flakes that had been previously investigated by Raman scattering with high-resolution (atomic) TEM.

  5. Influence of substrate temperature and Zn-precursors on atomic layer deposition of polycrystalline ZnO films on glass

    International Nuclear Information System (INIS)

    Makino, Hisao; Miyake, Aki; Yamada, Takahiro; Yamamoto, Naoki; Yamamoto, Tetsuya

    2009-01-01

    Influence of substrate temperature and Zn-precursors on growth rate, crystal structure, and electrical property of undoped ZnO thin films grown by atomic layer deposition (ALD) have been studied. Differences between dimethylzinc (DMeZn) and diethylzinc (DEtZn) used as Zn-precursors were examined. The ZnO films grown using DMeZn showed higher electrical resistivity compared to that grown using DEtZn. However, the higher resistivity in the case of DMeZn was owing to much amount of residual impurities incorporated during the ALD growth

  6. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  7. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    Science.gov (United States)

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  8. Growth of Fe2O3 thin films by atomic layer deposition

    International Nuclear Information System (INIS)

    Lie, M.; Fjellvag, H.; Kjekshus, A.

    2005-01-01

    Thin films of α-Fe 2 O 3 (α-Al 2 O 3 -type crystal structure) and γ-Fe 2 O 3 (defect-spinel-type crystal structure) have been grown by the atomic layer deposition (ALD) technique with Fe(thd) 3 (iron derivative of Hthd = 2,2,6,6-tetramethylheptane-3,5-dione) and ozone as precursors. It has been shown that an ALD window exists between 160 and 210 deg. C. The films have been characterized by various techniques and are shown to comprise (001)-oriented columns of α-Fe 2 O 3 with no in-plane orientation when grown on soda-lime-glass and Si(100) substrates. Good quality films have been made with thicknesses ranging from 10 to 130 nm. Films grown on α-Al 2 O 3 (001) and MgO(100) substrates have the α-Fe 2 O 3 and γ-Fe 2 O 3 crystal structure, respectively, and consist of highly oriented columns with in-plane orientations matching those of the substrates

  9. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  10. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  11. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  12. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  13. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  14. Atomic layer deposition synthesis and evaluation of core–shell Pt-WC electrocatalysts

    International Nuclear Information System (INIS)

    Hsu, Irene J.; Chen, Jingguang G.; Jiang, Xiaoqiang; Willis, Brian G.

    2015-01-01

    Pt-WC core shell particles were produced using atomic layer deposition (ALD) to deposit Pt layers onto WC particle substrates. A range of Pt depositions were used to determine the growth mechanism for the Pt-WC powder system. TEM imaging and Cu stripping voltammetry found that Pt ALD growth on WC powder substrates was similar to that on WC thin films. However, excess free carbon was found to affect Pt ALD by blocking adsorption sites on WC. The Pt-WC samples were evaluated for the oxygen reduction reaction using a rotating disk electrode to obtain quantitative activity information. The mass and specific activities for the 30 and 50 ALD cycle samples were found to be comparable to a 10 wt. % Pt/C catalyst. However, higher overpotentials and lower limiting currents were observed with ALD Pt-WC compared to Pt/C catalysts, indicating that the oxygen reduction mechanism is not as efficient on Pt-WC as on bulk Pt. Additionally, these Pt-WC catalysts were used to demonstrate hydrogen evolution reaction activity and were found to perform as well as bulk Pt catalyst but with a fraction of the Pt loading, in agreement with the previous work on Pt-WC thin film catalysts

  15. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  16. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  17. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  18. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  19. Titanium modified with layer-by-layer sol-gel tantalum oxide and an organodiphosphonic acid: a coating for hydroxyapatite growth.

    Science.gov (United States)

    Arnould, C; Volcke, C; Lamarque, C; Thiry, P A; Delhalle, J; Mekhalif, Z

    2009-08-15

    Titanium and its alloys are widely used in surgical implants due to their appropriate properties like corrosion resistance, biocompatibility, and load bearing. Unfortunately when metals are used for orthopedic and dental implants there is the possibility of loosening over a long period of time. Surface modification is a good way to counter this problem. A thin tantalum oxide layer obtained by layer-by-layer (LBL) sol-gel deposition on top of a titanium surface is expected to improve biocorrosion resistance in the body fluid, biocompatibility, and radio-opacity. This elaboration step is followed by a modification of the tantalum oxide surface with an organodiphosphonic acid self-assembled monolayer, capable of chemically binding to the oxide surface, and also improving hydroxyapatite growth. The different steps of this proposed process are characterized by surfaces techniques like contact angle, X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM).

  20. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Science.gov (United States)

    Schaefer, Michael; Schlaf, Rudy

    2015-08-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru0) and its oxide (RuO2) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru0 and RuO2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO2 and 0.04 Å/cycle for Ru.0 An interface dipole of up to -0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO2/OH compound whose surface is saturated with hydroxyl groups.

  1. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  2. Thermally oxidized aluminum as catalyst-support layer for vertically aligned single-walled carbon nanotube growth using ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Azam, Mohd Asyadi, E-mail: asyadi@jaist.ac.jp [School of Materials Science, Japan Advanced Institute of Science and Technology (JAIST), 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Fujiwara, Akihiko [Research and Utilization Division, Japan Synchrotron Radiation Research Institute (JASRI), 1-1-1, Kouto, Sayo-cho, Sayo, Hyogo 679-5198 (Japan); Shimoda, Tatsuya [School of Materials Science, Japan Advanced Institute of Science and Technology (JAIST), 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)

    2011-11-01

    Characteristics and role of Al oxide (Al-O) films used as catalyst-support layer for vertical growth of single-walled carbon nanotubes (SWCNTs) were studied. EB-deposited Al films (20 nm) were thermally oxidized at 400 deg. C (10 min, static air) to produce the most appropriate surface structure of Al-O. Al-O catalyst-support layers were characterized using various analytical measurements, i.e., atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and spectroscopy ellipsometry (SE). The thermally oxidized Al-O has a highly roughened surface, and also has the most suitable surface chemical states compared to other type of Al-O support layers. We suggest that the surface of thermally oxidized Al-O characterized in this work enhanced Co catalyst activity to promote the vertically aligned SWCNT growth.

  3. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  4. Thin films of In2O3 by atomic layer deposition using In(acac)3

    International Nuclear Information System (INIS)

    Nilsen, O.; Balasundaraprabhu, R.; Monakhov, E.V.; Muthukumarasamy, N.; Fjellvag, H.; Svensson, B.G.

    2009-01-01

    Thin films of indium oxide have been deposited using the atomic layer deposition (ALD) technique using In(acac) 3 (acac = acetylacetonate, pentane-2,4-dione) and either H 2 O or O 3 as precursors. Successful growth using In(acac) 3 is contradictory to what has been reported previously in the literature [J.W. Elam, A.B.F. Martinson, M.J. Pellin, J.T. Hupp, Chem. Mater. 18 (2006) 3571.]. Investigation of the dependence of temperature on the deposition shows windows where the growth rates are relatively unaffected by temperature in the ranges 165-200 o C for In(acac) 3 and H 2 O, 165-225 o C for In(acac) 3 and O 3 . The growth rates obtained are of the order 20 pm/cycle for In(acac) 3 and H 2 O, 12 pm/cycle for In(acac) 3 .

  5. Atomic Layer Deposition of Electron Selective SnOx and ZnO Films on Mixed Halide Perovskite: Compatibility and Performance.

    Science.gov (United States)

    Hultqvist, Adam; Aitola, Kerttu; Sveinbjörnsson, Kári; Saki, Zahra; Larsson, Fredrik; Törndahl, Tobias; Johansson, Erik; Boschloo, Gerrit; Edoff, Marika

    2017-09-06

    The compatibility of atomic layer deposition directly onto the mixed halide perovskite formamidinium lead iodide:methylammonium lead bromide (CH(NH 2 ) 2 , CH 3 NH 3 )Pb(I,Br) 3 (FAPbI 3 :MAPbBr 3 ) perovskite films is investigated by exposing the perovskite films to the full or partial atomic layer deposition processes for the electron selective layer candidates ZnO and SnO x . Exposing the samples to the heat, the vacuum, and even the counter reactant of H 2 O of the atomic layer deposition processes does not appear to alter the perovskite films in terms of crystallinity, but the choice of metal precursor is found to be critical. The Zn precursor Zn(C 2 H 5 ) 2 either by itself or in combination with H 2 O during the ZnO atomic layer deposition (ALD) process is found to enhance the decomposition of the bulk of the perovskite film into PbI 2 without even forming ZnO. In contrast, the Sn precursor Sn(N(CH 3 ) 2 ) 4 does not seem to degrade the bulk of the perovskite film, and conformal SnO x films can successfully be grown on top of it using atomic layer deposition. Using this SnO x film as the electron selective layer in inverted perovskite solar cells results in a lower power conversion efficiency of 3.4% than the 8.4% for the reference devices using phenyl-C 70 -butyric acid methyl ester. However, the devices with SnO x show strong hysteresis and can be pushed to an efficiency of 7.8% after biasing treatments. Still, these cells lacks both open circuit voltage and fill factor compared to the references, especially when thicker SnO x films are used. Upon further investigation, a possible cause of these losses could be that the perovskite/SnO x interface is not ideal and more specifically found to be rich in Sn, O, and halides, which is probably a result of the nucleation during the SnO x growth and which might introduce barriers or alter the band alignment for the transport of charge carriers.

  6. Atomic layer deposition of B-doped ZnO using triisopropyl borate as the boron precursor and comparison with Al-doped ZnO

    NARCIS (Netherlands)

    Garcia - Alonso, D.; Potts, S.E.; Helvoirt, van C.A.A.; Verheijen, M.A.; Kessels, W.M.M.

    2015-01-01

    Doped ZnO films are an important class of transparent conductive oxides, with many applications demanding increased growth control and low deposition temperatures. Therefore, the preparation of B-doped ZnO films by atomic layer deposition (ALD) at 150 °C was studied. The B source was triisopropyl

  7. Growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi; Itoi, Takaomi; Yoshikawa, Akihiko

    2016-01-01

    The growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN)_1/(GaN)_4 SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.

  8. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  9. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  10. Interface Energy Alignment of Atomic-Layer-Deposited VOx on Pentacene: an in Situ Photoelectron Spectroscopy Investigation.

    Science.gov (United States)

    Zhao, Ran; Gao, Yuanhong; Guo, Zheng; Su, Yantao; Wang, Xinwei

    2017-01-18

    Ultrathin atomic-layer-deposited (ALD) vanadium oxide (VO x ) interlayer has recently been demonstrated for remarkably reducing the contact resistance in organic electronic devices (Adv. Funct. Mater. 2016, 26, 4456). Herein, we present an in situ photoelectron spectroscopy investigation (including X-ray and ultraviolet photoelectron spectroscopies) of ALD VO x grown on pentacene to understand the role of the ALD VO x interlayer for the improved contact resistance. The in situ photoelectron spectroscopy characterizations allow us to monitor the ALD growth process of VO x and trace the evolutions of the work function, pentacene HOMO level, and VO x defect states during the growth. The initial VO x growth is found to be partially delayed on pentacene in the first ∼20 ALD cycles. The underneath pentacene layer is largely intact after ALD. The ALD VO x is found to contain a high density of defect states starting from 0.67 eV below the Fermi level, and the energy level of these defect states is in excellent alignment with the HOMO level of pentacene, which therefore allows these VO x defect states to provide an efficient hole-injection pathway at the contact interface.

  11. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Schaefer, Michael, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Physics, University of South Florida, Tampa, Florida 33620 (United States); Schlaf, Rudy, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Electrical Engineering, University of South Florida, Tampa, Florida 33620 (United States)

    2015-08-14

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru{sup 0}) and its oxide (RuO{sub 2}) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru{sup 0} and RuO{sub 2} films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO{sub 2} and 0.04 Å/cycle for Ru.{sup 0} An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO{sub 2}/OH compound whose surface is saturated with hydroxyl groups.

  12. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    International Nuclear Information System (INIS)

    Schaefer, Michael; Schlaf, Rudy

    2015-01-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru 0 ) and its oxide (RuO 2 ) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru 0 and RuO 2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO 2 and 0.04 Å/cycle for Ru. 0 An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO 2 /OH compound whose surface is saturated with hydroxyl groups

  13. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rui [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Han, Lihao [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Huang, Zhuangqun; Ferrer, Ivonne M. [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Smets, Arno H.M.; Zeman, Miro [Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Brunschwig, Bruce S., E-mail: bsb@caltech.edu [Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Lewis, Nathan S., E-mail: nslewis@caltech.edu [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA 91125 (United States)

    2015-07-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe{sub 3} and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films.

  14. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    International Nuclear Information System (INIS)

    Liu, Rui; Han, Lihao; Huang, Zhuangqun; Ferrer, Ivonne M.; Smets, Arno H.M.; Zeman, Miro; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe 3 and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films

  15. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  16. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  17. Highly resolving Rutherford-scattering spectrometry for the study of ZrO2 layer growth in the beginning stage

    International Nuclear Information System (INIS)

    Vieluf, Maik

    2010-06-01

    By means of High Resolution Rutherford Backscattering Spectrometry (HR-RBS) the diffusion behaviour and layer growth of ZrO 2 on SiO 2 and TiN in the initial regime were investigated. The analysis of concentration profiles in ultrathin layers and interfaces was the focus of this work, made possible by the excellent depth resolution of less than 0.3 nm near the surface. For the first time a two-dimensional position sensitive semiconductor detector was implemented and characterized in the setup of the HR-RBS for the improvement of the quality of the measurement results. Furthermore, a measurement procedure was put into operation that allowed the reduction of ion induced damage. Through the optimization of the experimental conditions and the development of a program package for the support of the analyst, an efficient measurement procedure could be routinely ensured. At the time of a binary collision between the incident ion and the target element with a small impact factor, the charge state changes frequently, especially due to the abruptly decreasing ion velocity of the projectile and the overlapping of the electron clouds. For HR-RBS with an energy-separating dipole magnet, the charge state distribution of the scattered ions must be known for the interpretation of the measured spectra. For the first time a significant dependence of the charge state distribution of the scattered C ions on the layer thickness as well as atomic number of the detected target elements, here from the fourth subgroup, was demonstrated. This new knowledge allowed systematic investigations of the ZrO 2 layer growth in the initial regime. The ZrO 2 layers were produced by means of the atomic layer deposition (ALD). Based on the evidence for agglomeration of ZrO 2 on SiO 2 a method was introduced, which takes local thickness variations into account during the simulation of the HR-RBS spectra. An accurate statement about the ZrO 2 /SiO 2 interface was possible due to the extraction of the

  18. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    Science.gov (United States)

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  19. Atomic Layer Deposition of ZnO on Multi-walled Carbon Nanotubes and Its Use for Synthesis of CNT–ZnO Heterostructures

    Directory of Open Access Journals (Sweden)

    Li C

    2010-01-01

    Full Text Available Abstract In this article, direct coating of ZnO on PECVD-grown multi-walled carbon nanotubes (MWCNTs is achieved using atomic layer deposition (ALD. Transmission electron microscopy investigation shows that the deposited ZnO shell is continuous and uniform, in contrast to the previously reported particle morphology. The ZnO layer has a good crystalline quality as indicated by Raman and photoluminescence (PL measurements. We also show that such ZnO layer can be used as seed layer for subsequent hydrothermal growth of ZnO nanorods, resulting in branched CNT–inorganic hybrid nanostructures. Potentially, this method can also apply to the fabrication of ZnO-based hybrid nanostructures on other carbon nanomaterials.

  20. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov (United States)

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  1. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  2. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  3. Two-dimensional ferroelectric topological insulators in functionalized atomically thin bismuth layers

    Science.gov (United States)

    Kou, Liangzhi; Fu, Huixia; Ma, Yandong; Yan, Binghai; Liao, Ting; Du, Aijun; Chen, Changfeng

    2018-02-01

    We introduce a class of two-dimensional (2D) materials that possess coexisting ferroelectric and topologically insulating orders. Such ferroelectric topological insulators (FETIs) occur in noncentrosymmetric atomic layer structures with strong spin-orbit coupling (SOC). We showcase a prototype 2D FETI in an atomically thin bismuth layer functionalized by C H2OH , which exhibits a large ferroelectric polarization that is switchable by a ligand molecule rotation mechanism and a strong SOC that drives a band inversion leading to the topologically insulating state. An external electric field that switches the ferroelectric polarization also tunes the spin texture in the underlying atomic lattice. Moreover, the functionalized bismuth layer exhibits an additional quantum order driven by the valley splitting at the K and K' points in the Brillouin zone stemming from the symmetry breaking and strong SOC in the system, resulting in a remarkable state of matter with the simultaneous presence of the quantum spin Hall and quantum valley Hall effect. These phenomena are predicted to exist in other similarly constructed 2D FETIs, thereby offering a unique quantum material platform for discovering novel physics and exploring innovative applications.

  4. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Pansila, P. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Kanomata, K. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Japan Society for the Promotion of Science, 5-3-1 Kojimachi, Chiyoda-ku, Tokyo 102-0083 (Japan); Miura, M. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Ahmmad, B.; Kubota, S. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); CREST, Japan Science and Technology Agency, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Hirose, F., E-mail: fhirose@yz.yamagata-u.ac.jp [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); CREST, Japan Science and Technology Agency, 4-3-16 Jonan, Yonezawa 992-8510 (Japan)

    2015-12-01

    Highlights: • We discuss the reaction mechanism of the low temperature GaN ALD. • The plasma-excited NH{sub 3} is effective in the nitridation of the TMG saturated GaN surface with surface temperatures in excess of 100 °C. • The temperature controlled ALD of GaN is examined using RT-TMG adsorption and plasma-excited NH{sub 3} treatment with the temperature of 115 °C. - Abstract: Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH{sub 3} are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 10{sup 4} Langmuir (L), where 1 L corresponds to 1.33 × 10{sup −4} Pa s (or 1.0 × 10{sup −6} Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH{sub 3} on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH{sub 3}.

  5. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  6. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  7. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    International Nuclear Information System (INIS)

    Maydannik, Philipp S.; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C.; Söderlund, Mikko; Soininen, Pekka; Johansson, Petri; Kuusipalo, Jurkka; Moro, Lorenza; Zeng, Xianghui

    2014-01-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al 2 O 3 diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al 2 O 3 films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument ( −4  g/m 2 day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10 −6 g/m 2 day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate

  8. Quantum size effects in TiO2 thin films grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Massimo Tallarida

    2014-01-01

    Full Text Available We study the atomic layer deposition of TiO2 by means of X-ray absorption spectroscopy. The Ti precursor, titanium isopropoxide, was used in combination with H2O on Si/SiO2 substrates that were heated at 200 °C. The low growth rate (0.15 Å/cycle and the in situ characterization permitted to follow changes in the electronic structure of TiO2 in the sub-nanometer range, which are influenced by quantum size effects. The modified electronic properties may play an important role in charge carrier transport and separation, and increase the efficiency of energy conversion systems.

  9. Growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi [Center for SMART Green Innovation Research, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Itoi, Takaomi [Graduate School of Engineering, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp [Center for SMART Green Innovation Research, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015 (Japan)

    2016-04-11

    The growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN){sub 1}/(GaN){sub 4} SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.

  10. Silicon protected with atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Seger, Brian; Tilley, David S.; Pedersen, Thomas

    2013-01-01

    The semiconducting materials used for photoelectrochemical (PEC) water splitting must withstand the corrosive nature of the aqueous electrolyte over long time scales in order to be a viable option for large scale solar energy conversion. Here we demonstrate that atomic layer deposited titanium di...

  11. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  12. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  13. Science and Emerging Technology of 2D Atomic Layered Materials and Devices

    Science.gov (United States)

    2017-09-09

    AFRL-AFOSR-JP-TR-2017-0067 Science & Emerging Technology of 2D Atomic Layered Materials and Devices Angel Rubio UNIVERSIDAD DEL PAIS VASCO - EUSKAL...DD-MM-YYYY)      27-09-2017 2.  REPORT TYPE      Final 3.  DATES COVERED (From - To)      19 Feb 2015 to 18 Feb 2017 4.  TITLE AND SUBTITLE Science ...reporting documents for AOARD project 144088, “2D Materials and Devices Beyond Graphene Science & Emerging Technology of 2D Atomic Layered Materials and

  14. Growth of transition metal oxides in 2D layers : probing and tuning the properties of matter at the atomic-scale

    International Nuclear Information System (INIS)

    Obermüller, T.

    2015-01-01

    In surface science, accurate controlling and detailed characterization of metal supported ultrathin film growth of transition metal oxides (TMO) is a central requirement for collecting reliable empirical data which in turn can be used to improve and extend the existing theoretical models and approaches, such as density functional theory (DFT). In this work, the controlled growth of TMOs on the Ag(100) surface is investigated by means of scanning tunneling microscopy (STM) in conjunction with various other surface science techniques. In addition, a novel promising experimental approach to effectively affect and control the growth of TMOs by high electric fields is presented. The investigations concerned the TMO systems MnxOy and WOx on the Ag(100) surface. The strikingly anisotropic growth of the (2x1)-MnO/Ag(100) system is characterized by long and narrow stripes which form a complex 2D surface network of MnO islands. The growth mechanism of this system has been rationalized by first-principle DFT calculations. The (WO3)3 clusters deposited at room temperature form ramified fractal islands. Above a threshold temperature of 700 K, a fully intact 2D WOx wetting layer emerges at the surface. On top of this layer, beginning 3D growth in form of sharp needles is observed. Since the area of the wetting layer exceeds the nanometer-scale (up to 1 µm), also low energy electron microscopy (LEEM) has been employed to study the growth kinetics of the WOx/Ag(100) system. Strong electric field experiments (1-2 V/nm) have been performed on both systems by employing a custom-designed UHV apparatus. Significant field-induces surface modifications have been observed in all experiments, and have been characterized by STM and Auger electron spectroscopy. (author) [de

  15. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  16. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  17. Optimizing pentacene thin-film transistor performance: Temperature and surface condition induced layer growth modification.

    Science.gov (United States)

    Lassnig, R; Hollerer, M; Striedinger, B; Fian, A; Stadlober, B; Winkler, A

    2015-11-01

    In this work we present in situ electrical and surface analytical, as well as ex situ atomic force microscopy (AFM) studies on temperature and surface condition induced pentacene layer growth modifications, leading to the selection of optimized deposition conditions and entailing performance improvements. We prepared p ++ -silicon/silicon dioxide bottom-gate, gold bottom-contact transistor samples and evaluated the pentacene layer growth for three different surface conditions (sputtered, sputtered + carbon and unsputtered + carbon) at sample temperatures during deposition of 200 K, 300 K and 350 K. The AFM investigations focused on the gold contacts, the silicon dioxide channel region and the highly critical transition area. Evaluations of coverage dependent saturation mobilities, threshold voltages and corresponding AFM analysis were able to confirm that the first 3-4 full monolayers contribute to the majority of charge transport within the channel region. At high temperatures and on sputtered surfaces uniform layer formation in the contact-channel transition area is limited by dewetting, leading to the formation of trenches and the partial development of double layer islands within the channel region instead of full wetting layers. By combining the advantages of an initial high temperature deposition (well-ordered islands in the channel) and a subsequent low temperature deposition (continuous film formation for low contact resistance) we were able to prepare very thin (8 ML) pentacene transistors of comparably high mobility.

  18. Continuous growth of low-temperature Si epitaxial layer with heavy phosphorous and boron doping using photoepitaxy

    International Nuclear Information System (INIS)

    Yamazaki, T.; Minakata, H.; Ito, T.

    1990-01-01

    The authors grew p + -n + silicon epitaxial layers, heavily doped with phosphorus and boron, continuously at 650 degrees C using low-temperature photoepitaxy. Then N + photoepitaxial layer with a phosphorus concentration above 10 17 cm -3 grown on p - substrate shows high-density surface pits, and as a result, poor crystal quality. However, when this n + photoepitaxial layer is grown continuously on a heavily boron-doped p + photoepitaxial layer, these surface pits are drastically decreased, disappearing completely above a hole concentration of 10 19 cm -3 in the p + photoepitaxial layer. The phosphorus activation ratio and electron Hall mobility in the heavily phosphorus-doped n + photoexpitaxial layer were also greatly improved. The authors investigated the cause of the surface pitting using a scanning transmission electron microscope, secondary ion mass spectroscopy, and energy-dispersive x-ray spectroscopy. They characterized the precipitation of phosphorus atoms on the crystal surface at the initial stage of the heavily phosphorus-doped n + photoexpitaxial layer growth

  19. The effect of deposition energy of energetic atoms on the growth and structure of ultrathin amorphous carbon films studied by molecular dynamics simulations

    KAUST Repository

    Wang, N

    2014-05-16

    The growth and structure of ultrathin amorphous carbon films was investigated by molecular dynamics simulations. The second-generation reactive-empirical-bond-order potential was used to model atomic interactions. Films with different structures were simulated by varying the deposition energy of carbon atoms in the range of 1-120 eV. Intrinsic film characteristics (e.g. density and internal stress) were determined after the system reached equilibrium. Short- and intermediate-range carbon atom ordering is examined in the context of atomic hybridization and ring connectivity simulation results. It is shown that relatively high deposition energy (i.e., 80 eV) yields a multilayer film structure consisting of an intermixing layer, bulk film and surface layer, consistent with the classical subplantation model. The highest film density (3.3 g cm-3), sp3 fraction (∼43%), and intermediate-range carbon atom ordering correspond to a deposition energy of ∼80 eV, which is in good agreement with experimental findings. © 2014 IOP Publishing Ltd.

  20. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao; Li, Kun; Zhang, Xixiang; Yu, Ting

    2013-01-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge

  1. The effect of the phase composition of compound layer on the growth kinetics of the nitrided layer

    International Nuclear Information System (INIS)

    Ratajski, J.; Olik, R.; Suszko, T.; Tacikowski, J.

    2001-01-01

    This paper presents a part of research work on the kinetics of formation and growth of nitrided layers on 40HM steel that was conducted within the research project devoted to the control of gaseous nitriding processes. The purpose of the research was to find answers to still opened questions connected with the optimization of the growth kinetics of nitrided layer. It has been demonstrated in particular how important in diffusion layer kinetics of growth on steel is the role-played by compound layer phase composition. Mainly, this refers to designing changes of parameters in processes where accurate formation of layer on precise parts with required tolerance of size changes is demanded. It comes out of the presented research that proper diffusion layer growth kinetics can be achieved when phase ε dominates in the compound layer. This domination of the phase ε influences speed of growth of the compound layer and first of all growth of diffusion layer. The obtained results are also a starting point of for working-out of good functional relations which could create good basis for design of algorithms of potential values changes in the function of the process time which provides the optimal kinetics of the growth of the layers. In this respect it has been achieved very good qualitative relation between the simulated distribution of nitrogen concentration in the layer and experimentally established distribution of hardness. (author)

  2. Interactions between C and Cu atoms in single-layer graphene: direct observation and modelling.

    Science.gov (United States)

    Kano, Emi; Hashimoto, Ayako; Kaneko, Tomoaki; Tajima, Nobuo; Ohno, Takahisa; Takeguchi, Masaki

    2016-01-07

    Metal doping into the graphene lattice has been studied recently to develop novel nanoelectronic devices and to gain an understanding of the catalytic activities of metals in nanocarbon structures. Here we report the direct observation of interactions between Cu atoms and single-layer graphene by transmission electron microscopy. We document stable configurations of Cu atoms in the graphene sheet and unique transformations of graphene promoted by Cu atoms. First-principles calculations based on density functional theory reveal a reduction of energy barrier that caused rotation of C-C bonds near Cu atoms. We discuss two driving forces, electron irradiation and in situ heating, and conclude that the observed transformations were mainly promoted by electron irradiation. Our results suggest that individual Cu atoms can promote reconstruction of single-layer graphene.

  3. Integration of atomic layer deposition CeO2 thin films with functional complex oxides and 3D patterns

    International Nuclear Information System (INIS)

    Coll, M.; Palau, A.; Gonzalez-Rosillo, J.C.; Gazquez, J.; Obradors, X.; Puig, T.

    2014-01-01

    We present a low-temperature, < 300 °C, ex-situ integration of atomic layer deposition (ALD) ultrathin CeO 2 layers (3 to 5 unit cells) with chemical solution deposited La 0.7 Sr 0.3 MnO 3 (LSMO) functional complex oxides for multilayer growth without jeopardizing the morphology, microstructure and physical properties of the functional oxide layer. We have also extended this procedure to pulsed laser deposited YBa 2 Cu 3 O 7 (YBCO) thin films. Scanning force microscopy, X-ray diffraction, aberration corrected scanning transmission electron microscopy and macroscopic magnetic measurements were used to evaluate the quality of the perovskite films before and after the ALD process. By means of microcontact printing and ALD we have prepared CeO 2 patterns using an ozone-robust photoresist that will avoid the use of hazardous lithography processes directly on the device components. These bilayers, CeO 2 /LSMO and CeO 2 /YBCO, are foreseen to have special interest for resistive switching phenomena in resistive random-access memory. - Highlights: • Integration of atomic layer deposition (ALD) CeO 2 layers on functional complex oxides • Resistive switching is identified in CeO 2 /La 0.7 Sr 0.3 MnO 3 and CeO 2 /YBa 2 Cu 3 O 7 bilayers. • Study of the robustness of organic polymers for area-selective ALD • Combination of ALD and micro-contact printing to obtain 3D patterns of CeO 2

  4. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  5. Spatial atmospheric atomic layer deposition of alxzn1-xo

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Wu, Y.; Roozeboom, F.; Poodt, P.

    2013-01-01

    The possibility of growing multicomponent oxides by spatial atmospheric atomic layer deposition has been investigated. To this end, Al xZn1-xO films have been deposited using diethyl zinc (DEZ), trimethyl aluminum (TMA), and water as Zn, Al, and O precursors, respectively. When the metal precursors

  6. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  7. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan; Zhu, Jiajie; Zhang, Daliang; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2017-01-01

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both

  8. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  9. Aligned carbon nanotube array functionalization for enhanced atomic layer deposition of platinum electrocatalysts

    Energy Technology Data Exchange (ETDEWEB)

    Dameron, Arrelaine A., E-mail: arrelaine.dameron@nrel.gov [National Renewable Energy Laboratory, 1617 Cole Blvd Golden, Golden, CO 80401 (United States); Pylypenko, Svitlana; Bult, Justin B.; Neyerlin, K.C.; Engtrakul, Chaiwat; Bochert, Christopher; Leong, G. Jeremy; Frisco, Sarah L.; Simpson, Lin; Dinh, Huyen N.; Pivovar, Bryan [National Renewable Energy Laboratory, 1617 Cole Blvd Golden, Golden, CO 80401 (United States)

    2012-04-15

    Uniform metal deposition onto high surface area supports is a key challenge of developing successful efficient catalyst materials. Atomic layer deposition (ALD) circumvents permeation difficulties, but relies on gas-surface reactions to initiate growth. Our work demonstrates that modified surfaces within vertically aligned carbon nanotube (CNT) arrays, from plasma and molecular precursor treatments, can lead to improved catalyst deposition. Gas phase functionalization influences the number of ALD nucleation sites and the onset of ALD growth and, in turn, affects the uniformity of the coating along the length of the CNTs within the aligned arrays. The induced chemical changes for each functionalization route are identified by X-ray photoelectron and Raman spectroscopies. The most effective functionalization routes increase the prevalence of oxygen moieties at defect sites on the carbon surfaces. The striking effects of the functionalization are demonstrated with ALD Pt growth as a function of surface treatment and ALD cycles examined by electron microscopy of the arrays and the individual CNTs. Finally, we demonstrate applicability of these materials as fuel cell electrocatalysts and show that surface functionalization affects their performance towards oxygen reduction reaction.

  10. Impact of Atomic Layer Deposition to NanoPhotonic Structures and Devices: A Review

    Directory of Open Access Journals (Sweden)

    Muhammad Rizwan eSaleem

    2014-10-01

    Full Text Available We review the significance of optical thin films by Atomic Layer Deposition (ALD method to fabricate nanophotonic devices and structures. ALD is a versatile technique to deposit functional coatings on reactive surfaces with conformal growth of compound materials, precise thickness control capable of angstrom resolution and coverage of high aspect ratio nanostructures using wide range of materials. ALD has explored great potential in the emerging fields of photonics, plasmonics, nano-biotechnology, and microelectronics. ALD technique uses sequential reactive chemical reactions to saturate a surface with a monolayer by pulsing of a first precursor (metal alkoxides or covalent halides, followed by reaction with second precursor molecules such as water to form the desired compound coatings. The targeted thickness of the desired compound material is controlled by the number of ALD cycles of precursor molecules that ensures the self limiting nature of reactions. The conformal growth and filling of TiO2 and Al2O3 optical material on nanostructures and their resulting optical properties have been described. The low temperature ALD-growth on various replicated sub-wavelength polymeric gratings is discussed.

  11. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware Project

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  12. Applied model for the growth of the daytime mixed layer

    DEFF Research Database (Denmark)

    Batchvarova, E.; Gryning, Sven-Erik

    1991-01-01

    numerically. When the mixed layer is shallow or the atmosphere nearly neutrally stratified, the growth is controlled mainly by mechanical turbulence. When the layer is deep, its growth is controlled mainly by convective turbulence. The model is applied on a data set of the evolution of the height of the mixed...... layer in the morning hours, when both mechanical and convective turbulence contribute to the growth process. Realistic mixed-layer developments are obtained....

  13. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  14. Studies on layer growth and interfaces on Ta-base thin layers by means of XPS

    International Nuclear Information System (INIS)

    Zier, M.

    2007-01-01

    In this thesis studies on the growth and on the formation of the interfaces of Ta and TaN layers on Si and SiO 2 were performed. In the system TaN on SiO 2 no reaction on the interface could be found. As the system with the mostly disturbed interface Ta on SiO 2 was proved. Here a reduction of the SiO 2 at simultaneous oxidation of the Ta was to be observed. Additionally tantalum silicide was formed to be considered concerning the bonding state as Ta 5 Si 3 , from which a double layer of a tantalum silicide and a tantalum suboxide resulted. A whole thickness of the double layer of above 1 nm resulted. In the system Ta on Si at the deposition of the film on the interface a tantalum silicide was formed to be characterized concerning the bonding state as TaSi 2 . The thickness of the interlayer growed thereby up to 0.8 nm in form of islands. Finally in the system TaN on Si a silicon nitride formation during the deposition was observed, which was attributed to the insertion of adsorbed nitrogen from the sputtering atmosphere by recoil implantation of the sputtered Ta atoms. The silicon nitride interlayer growed thereby up to a thickness of 0.8 nm

  15. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    Energy Technology Data Exchange (ETDEWEB)

    Maydannik, Philipp S., E-mail: philipp.maydannik@lut.fi; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C. [Advanced Surface Technology Research Laboratory, Lappeenranta University of Technology, Sammonkatu 12, 50130 Mikkeli (Finland); Söderlund, Mikko; Soininen, Pekka [Beneq Oy, P.O. Box 262, 01511 Vantaa (Finland); Johansson, Petri; Kuusipalo, Jurkka [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 589, 33101 Tampere (Finland); Moro, Lorenza; Zeng, Xianghui [Samsung Cheil Industries, San Jose R and D Center, 2186 Bering Drive, San Jose, California 95131 (United States)

    2014-09-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al{sub 2}O{sub 3} diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al{sub 2}O{sub 3} films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument (<5 × 10{sup −4} g/m{sup 2} day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10{sup −6} g/m{sup 2} day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate.

  16. Controlling the Growth of Staphylococcus epidermidis by Layer-By-Layer Encapsulation.

    Science.gov (United States)

    Jonas, Alain M; Glinel, Karine; Behrens, Adam; Anselmo, Aaron C; Langer, Robert S; Jaklenec, Ana

    2018-05-16

    Commensal skin bacteria such as Staphylococcus epidermidis are currently being considered as possible components in skin-care and skin-health products. However, considering the potentially adverse effects of commensal skin bacteria if left free to proliferate, it is crucial to develop methodologies that are capable of maintaining bacteria viability while controlling their proliferation. Here, we encapsulate S. epidermidis in shells of increasing thickness using layer-by-layer assembly, with either a pair of synthetic polyelectrolytes or a pair of oppositely charged polysaccharides. We study the viability of the cells and their delay of growth depending on the composition of the shell, its thickness, the charge of the last deposited layer, and the degree of aggregation of the bacteria which is varied using different coating procedures-among which is a new scalable process that easily leads to large amounts of nonaggregated bacteria. We demonstrate that the growth of bacteria is not controlled by the mechanical properties of the shell but by the bacteriostatic effect of the polyelectrolyte complex, which depends on the shell thickness and charge of its outmost layer, and involves the diffusion of unpaired amine sites through the shell. The lag times of growth are sufficient to prevent proliferation for daily topical applications.

  17. Two-Dimensional Layered Oxide Structures Tailored by Self-Assembled Layer Stacking via Interfacial Strain.

    Science.gov (United States)

    Zhang, Wenrui; Li, Mingtao; Chen, Aiping; Li, Leigang; Zhu, Yuanyuan; Xia, Zhenhai; Lu, Ping; Boullay, Philippe; Wu, Lijun; Zhu, Yimei; MacManus-Driscoll, Judith L; Jia, Quanxi; Zhou, Honghui; Narayan, Jagdish; Zhang, Xinghang; Wang, Haiyan

    2016-07-06

    Study of layered complex oxides emerge as one of leading topics in fundamental materials science because of the strong interplay among intrinsic charge, spin, orbital, and lattice. As a fundamental basis of heteroepitaxial thin film growth, interfacial strain can be used to design materials that exhibit new phenomena beyond their conventional forms. Here, we report a strain-driven self-assembly of bismuth-based supercell (SC) with a two-dimensional (2D) layered structure. With combined experimental analysis and first-principles calculations, we investigated the full SC structure and elucidated the fundamental growth mechanism achieved by the strain-enabled self-assembled atomic layer stacking. The unique SC structure exhibits room-temperature ferroelectricity, enhanced magnetic responses, and a distinct optical bandgap from the conventional double perovskite structure. This study reveals the important role of interfacial strain modulation and atomic rearrangement in self-assembling a layered singe-phase multiferroic thin film, which opens up a promising avenue in the search for and design of novel 2D layered complex oxides with enormous promise.

  18. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  19. Anisotropic Growth of Otavite on Calcite: Implications for Heteroepitaxial Growth Mechanisms

    Energy Technology Data Exchange (ETDEWEB)

    Riechers, Shawn L.; Kerisit, Sebastien N.

    2017-12-18

    Elucidating how cation intermixing can affect the mechanisms of heteroepitaxial growth in aqueous media has remained a challenging endeavor. Toward this goal, in situ atomic force microscopy was employed to image the heteroepitaxial growth of otavite (CdCO3) at the (10-14) surface of calcite (CaCO3) single crystals in static aqueous conditions. Heteroepitaxial growth proceeded via spreading of three-dimensional (3D) islands and two-dimensional (2D) atomic layers at low and high initial saturation levels, respectively. Experiments were carried out as a function of applied force and imaging mode thus enabling determination of growth mechanisms unaltered by imaging artifacts. This approach revealed the significant anisotropic nature of heteroepitaxial growth on calcite in both growth modes and its dependence on supersaturation, intermixing, and substrate topography. The 3D islands not only grew preferentially along the [42-1] direction relative to the [010] direction, resulting in rod-like surface precipitates, but also showed clear preference for growth from the island end rich in obtuse/obtuse kink sites. Pinning to step edges was observed to often reverse this tendency. In the 2D growth mode, the relative velocities of acute and obtuse steps were observed to switch between the first and second atomic layers. This phenomenon stemmed from the significant Cd-Ca intermixing in the first layer, despite bulk thermodynamics predicting the formation of almost pure otavite. Composition effects were also responsible for the inability of 3D islands to grow on 2D layers in cases where both modes were observed to occur simultaneously. Overall, the AFM images highlighted the effects of intermixing on heteroepitaxial growth, particularly how it can induce thickness-dependent growth mechanisms at the nanoscale.

  20. Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor–insulator–semiconductor heterojunction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Talkenberg, Florian, E-mail: florian.talkenberg@ipht-jena.de; Illhardt, Stefan; Schmidl, Gabriele; Schleusener, Alexander; Sivakov, Vladimir [Leibniz Institute of Photonic Technology, Albert-Einstein-Str. 9, D-07745 Jena (Germany); Radnóczi, György Zoltán; Pécz, Béla [Centre for Energy Research, Institute of Technical Physics and Materials Science, Konkoly-Thege Miklós u. 29-33, H-1121 Budapest (Hungary); Dikhanbayev, Kadyrjan; Mussabek, Gauhar [Department of Physics and Engineering, al-Farabi Kazakh National University, 71 al-Farabi Ave., 050040 Almaty (Kazakhstan); Gudovskikh, Alexander [Nanotechnology Research and Education Centre, St. Petersburg Academic University, Russian Academy of Sciences, Hlopina Str. 8/3, 194021 St. Petersburg (Russian Federation)

    2015-07-15

    Semiconductor–insulator–semiconductor heterojunction solar cells were prepared using atomic layer deposition (ALD) technique. The silicon surface was treated with oxygen and hydrogen plasma in different orders before dielectric layer deposition. A plasma-enhanced ALD process was applied to deposit dielectric Al{sub 2}O{sub 3} on the plasma pretreated n-type Si(100) substrate. Aluminum doped zinc oxide (Al:ZnO or AZO) was deposited by thermal ALD and serves as transparent conductive oxide. Based on transmission electron microscopy studies the presence of thin silicon oxide (SiO{sub x}) layer was detected at the Si/Al{sub 2}O{sub 3} interface. The SiO{sub x} formation depends on the initial growth behavior of Al{sub 2}O{sub 3} and has significant influence on solar cell parameters. The authors demonstrate that a hydrogen plasma pretreatment and a precursor dose step repetition of a single precursor improve the initial growth behavior of Al{sub 2}O{sub 3} and avoid the SiO{sub x} generation. Furthermore, it improves the solar cell performance, which indicates a change of the Si/Al{sub 2}O{sub 3} interface states.

  1. Study of annealing effect on the growth of ZnO nanorods on ZnO seed layers

    Science.gov (United States)

    Sannakashappanavar, Basavaraj S.; Pattanashetti, Nandini A.; Byrareddy, C. R.; Yadav, Aniruddh Bahadur

    2018-04-01

    A zinc oxide (ZnO) seed layer was deposited on the SiO2/Si substrate by RF sputtering. To study the effect of annealing, the seed layers were classified into annealed and unannealed thin films. Annealing of the seed layers was carried at 450°C. Surface morphology of the seed layers were studied by Atomic force microscopy. ZnO nanorods were then grown on both the types of seed layer by hydrothermal method. The morphology and the structural properties of the nanorods were characterized by X-ray diffraction and Scanning electron microscopy. The effect of seed layer annealing on the growth and orientation of the ZnO nanorods were clearly examined on comparing with the nanorods grown on unannealed seed layer. The nanorods grown on annealed seed layers were found to be well aligned and oriented. Further, the I-V characteristic study was carried out on these aligned nanorods. The results supports positively for the future work to further enhance the properties of developed nanorods for their wide applications in electronic and optoelectronic devices.

  2. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  3. Reliably counting atomic planes of few-layer graphene (n > 4).

    Science.gov (United States)

    Koh, Yee Kan; Bae, Myung-Ho; Cahill, David G; Pop, Eric

    2011-01-25

    We demonstrate a reliable technique for counting atomic planes (n) of few-layer graphene (FLG) on SiO(2)/Si substrates by Raman spectroscopy. Our approach is based on measuring the ratio of the integrated intensity of the G graphene peak and the optical phonon peak of Si, I(G)/I(Si), and is particularly useful in the range n > 4 where few methods exist. We compare our results with atomic force microscopy (AFM) measurements and Fresnel equation calculations. Then, we apply our method to unambiguously identify n of FLG devices on SiO(2) and find that the mobility (μ ≈ 2000 cm(2) V(-1) s(-1)) is independent of layer thickness for n > 4. Our findings suggest that electrical transport in gated FLG devices is dominated by carriers near the FLG/SiO(2) interface and is thus limited by the environment, even for n > 4.

  4. Growth model and structure evolution of Ag layers deposited on Ge films.

    Science.gov (United States)

    Ciesielski, Arkadiusz; Skowronski, Lukasz; Górecka, Ewa; Kierdaszuk, Jakub; Szoplik, Tomasz

    2018-01-01

    We investigated the crystallinity and optical parameters of silver layers of 10-35 nm thickness as a function 2-10 nm thick Ge wetting films deposited on SiO 2 substrates. X-ray reflectometry (XRR) and X-ray diffraction (XRD) measurements proved that segregation of germanium into the surface of the silver film is a result of the gradient growth of silver crystals. The free energy of Ge atoms is reduced by their migration from boundaries of larger grains at the Ag/SiO 2 interface to boundaries of smaller grains near the Ag surface. Annealing at different temperatures and various durations allowed for a controlled distribution of crystal dimensions, thus influencing the segregation rate. Furthermore, using ellipsometric and optical transmission measurements we determined the time-dependent evolution of the film structure. If stored under ambient conditions for the first week after deposition, the changes in the transmission spectra are smaller than the measurement accuracy. Over the course of the following three weeks, the segregation-induced effects result in considerably modified transmission spectra. Two months after deposition, the slope of the silver layer density profile derived from the XRR spectra was found to be inverted due to the completed segregation process, and the optical transmission spectra increased uniformly due to the roughened surfaces, corrosion of silver and ongoing recrystallization. The Raman spectra of the Ge wetted Ag films were measured immediately after deposition and ten days later and demonstrated that the Ge atoms at the Ag grain boundaries form clusters of a few atoms where the Ge-Ge bonds are still present.

  5. Molecular dynamic simulation of the atomic structure of aluminum solid–liquid interfaces

    International Nuclear Information System (INIS)

    Men, H; Fan, Z

    2014-01-01

    In this paper, molecular dynamic (MD) simulation was used to investigate the equilibrium atomic arrangement at aluminum solid–liquid (S/L) interfaces with {111}, {110} and {100} orientations. The simulation results reveal that the aluminum S/L interfaces are diffuse for all the orientations, and extend up to 7 atomic layers. Within the diffuse interfaces there exists substantial atomic ordering, which is manifested by atomic layering perpendicular to the interface and in-plane atomic ordering parallel to the interface. Atomic layering can be quantified by the atomic density profile (ρ(z)) while the in-plane atomic ordering can be described by the in-plane ordering parameter (S(z)). The detailed MD simulation suggests that atomic layering at the interface always occurs within 7 atomic layers independent of the interface orientation while the in-plane ordering is highly dependent on the interface orientations, with the {111} interface being less diffuse than the {100} and {110} interfaces. This study demonstrates clearly that the physical origin of the diffuse interface is atomic layering and in-plane atomic ordering at the S/L interfaces. It is suggested that the difference in atomic layering and in-plane ordering at the S/L interface with different orientations is responsible for the observed growth anisotropy. (papers)

  6. Thickness dependent growth of low temperature atomic layer deposited zinc oxide films

    International Nuclear Information System (INIS)

    Montiel-González, Z.; Castelo-González, O.A.; Aguilar-Gama, M.T.; Ramírez-Morales, E.; Hu, H.

    2017-01-01

    Highlights: • Polycrystalline columnar ZnO thin films deposited by ALD at low temperatures. • Higher deposition temperature leads to a greater surface roughness in the ALD ZnO films. • Higher temperature originates larger refractive index values of the ALD ZnO films. • ZnO thin films were denser as the numbers of ALD deposition cycles were larger. • XPS analysis revels mayor extent of the DEZ reaction during the ALD process. - Abstract: Zinc oxide films are promising to improve the performance of electronic devices, including those based on organic materials. However, the dependence of the ZnO properties on the preparation conditions represents a challenge to obtain homogeneous thin films that satisfy specific applications. Here, we prepared ZnO films of a wide range of thicknesses by atomic layer deposition (ALD) at relatively low temperatures, 150 and 175 °C. From the results of X-ray photoelectron spectroscopy, X-ray diffraction and Spectroscopic Ellipsometry it is concluded that the polycrystalline structure of the wurtzite is the main phase of the ALD samples, with OH groups on their surface. Ellipsometry revealed that the temperature and the deposition cycles have a strong effect on the films roughness. Scanning electron micrographs evidenced such effect, through the large pyramids developed at the surface of the films. It is concluded that crystalline ZnO thin films within a broad range of thickness and roughness can be obtained for optic or optoelectronic applications.

  7. Self-limiting growth of ZnO films on (0 0 0 1) sapphire substrates by atomic layer deposition at low temperatures using diethyl-zinc and nitrous oxide

    International Nuclear Information System (INIS)

    Lin, Yen-Ting; Chung, Ping-Han; Lai, Hung-Wei; Su, Hsin-Lun; Lyu, Dong-Yuan; Yen, Kuo-Yi; Lin, Tai-Yuan; Kung, Chung-Yuan; Gong, Jyh-Rong

    2009-01-01

    Atomic layer deposition (ALD) of zinc oxide (ZnO) films on (0 0 0 1) sapphire substrates was conducted at low temperatures by using diethyl-zinc (DEZn) and nitrous oxide (N 2 O) as precursors. It was found that a monolayer-by-monolayer growth regime occurred at 300 deg. C in a range of DEZn flow rates from 5.7 to 8.7 μmol/min. Furthermore, the temperature self-limiting process window for the ALD-grown ZnO films was also observed ranging from 290 to 310 deg. C. A deposition mechanism is proposed to explain how saturated growth of ZnO is achieved by using DEZn and N 2 O. Transmission spectroscopic studies of the ZnO films prepared in the self-limiting regime show that the transmittances of ZnO films are as high as 80% in visible and near infrared spectra. Experimental results indicate that ZnO films with high optical quality can be achieved by ALD at low temperatures using DEZn and N 2 O precursors.

  8. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  9. Effects of AlN nucleation layers on the growth of AlN films using high temperature hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Balaji, M.; Claudel, A.; Fellmann, V.; Gélard, I.; Blanquet, E.; Boichot, R.; Pierret, A.

    2012-01-01

    Highlights: ► Growth of AlN Nucleation layers and its effect on high temperature AlN films quality were investigated. ► AlN nucleation layers stabilizes the epitaxial growth of AlN and improves the surface morphology of AlN films. ► Increasing growth temperature of AlN NLs as well as AlN films improves the structural quality and limits the formation of cracks. - Abstract: AlN layers were grown on c-plane sapphire substrates with AlN nucleation layers (NLs) using high temperature hydride vapor phase epitaxy (HT-HVPE). Insertion of low temperature NLs, as those typically used in MOVPE process, prior to the high temperature AlN (HT-AlN) layers has been investigated. The NLs surface morphology was studied by atomic force microscopy (AFM) and NLs thickness was measured by X-ray reflectivity. Increasing nucleation layer deposition temperature from 650 to 850 °C has been found to promote the growth of c-oriented epitaxial HT-AlN layers instead of polycrystalline layers. The growth of polycrystalline layers has been related to the formation of dis-oriented crystallites. The density of such disoriented crystallites has been found to decrease while increasing NLs deposition temperature. The HT-AlN layers have been characterized by X-ray diffraction θ − 2θ scan and (0 0 0 2) rocking curve measurement, Raman and photoluminescence spectroscopies, AFM and field emission scanning electron microscopy. Increasing the growth temperature of HT-AlN layers from 1200 to 1400 °C using a NL grown at 850 °C improves the structural quality as well as the surface morphology. As a matter of fact, full-width at half-maximum (FWHM) of 0 0 0 2 reflections was improved from 1900 to 864 arcsec for 1200 °C and 1400 °C, respectively. Related RMS roughness also found to decrease from 10 to 5.6 nm.

  10. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  11. Deposition of yttrium oxysulfide thin films by atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kukli, K.; University of Tartu, Tartu,; Johansson, L-S.; Nykaenen, E.; Peussa, M.; Ninistoe, L.

    1998-01-01

    Full text: Yttrium oxysulfide is a highly interesting material for optoelectronic applications. It is industrially exploited in the form of doped powder in catholuminescent phosphors, e.g. Y 2 O 2 S: Eu 3+ for colour TV. Attempts to grow thin films of Y 2 O 2 S have not been frequent and only partially successful due to the difficulties in obtaining crystalline films at a reasonable temperature. Furthermore, sputtering easily leads to a sulphur deficiency. Evaporation of the elements from a multi-source offers a better control of the stoichiometry resulting in hexagonal (0002) oriented films at 580 deg C. In this paper we present the first successful thin film growth experiments using a chemical process with molecular precursors. Atomic layer epitaxy (ALE) allows the use of a relatively low deposition temperature and thus compatibility with other technologies. Already at 425 deg C the reaction between H 2 S and Y(thd) 3 (thd = 2,2,6,6 - tetramethyl-heptane-3,5- dione) yields a crystalline Y 2 O 2 S thin film which was characterized by XRD, XRF and XPS

  12. Antireflective conducting nanostructures with an atomic layer deposited an AlZnO layer on a transparent substrate

    International Nuclear Information System (INIS)

    Park, Hyun-Woo; Ji, Seungmuk; Herdini, Diptya Suci; Lim, Hyuneui; Park, Jin-Seong; Chung, Kwun-Bum

    2015-01-01

    Graphical abstract: - Highlights: • We investigated the antireflective conducting nanostructures on a transparent substrate using atomic layer deposited AlZnO films. • The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance. • The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. • The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states. - Abstract: The antireflective conducting nanostructures on a transparent substrate were shown to have enhanced optical and electrical properties via colloidal lithography and atomic layer deposition. The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance, both of which were superior to those of a flat transparent conducting substrate. The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states.

  13. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    Science.gov (United States)

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  14. Ionic double layer of atomically flat gold formed on mica templates

    International Nuclear Information System (INIS)

    Chilcott, Terry C.; Wong, Elicia L.S.; Coster, Hans G.L.; Coster, Adelle C.F.; James, Michael

    2009-01-01

    Electrical impedance spectroscopy characterisations of gold surfaces formed on mica templates in contact with potassium chloride electrolytes were performed at the electric potential of zero charge over a frequency range of 6 x 10 -3 to 100 x 10 3 Hz. They revealed constant-phase-angle (CPA) behaviour with a frequency exponent value of 0.96 for surfaces that were also characterised as atomically flat using atomic force microscopy (AFM). As the frequency exponent value was only marginally less than unity, the CPA behaviour yielded a realistic estimate for the capacitance of the ionic double layer. The retention of the CPA behaviour was attributed to specific adsorption of chloride ions which was detected as an adsorption conductance element in parallel with the CPA impedance element. Significant variations in the ionic double layer capacitance as well as the adsorption conductance were observed for electrolyte concentrations ranging from 33 μM to 100 mM, but neither of these variations correlated with concentration. This is consistent with the electrical properties of the interface deriving principally from the inner or Stern region of the double layer.

  15. Relation of lifetime to surface passivation for atomic-layer-deposited Al2O3 on crystalline silicon solar cell

    International Nuclear Information System (INIS)

    Cho, Young Joon; Song, Hee Eun; Chang, Hyo Sik

    2015-01-01

    Highlights: • We investigated the relation of potassium contamination on Si solar wafer to lifetime. • We deposited Al 2 O 3 layer by atomic layer deposition (ALD) on Si solar wafer after several cleaning process. • Potassium can be left on Si surface by incomplete cleaning process and degrade the Al 2 O 3 passivation quality. - Abstract: We investigated the relation of potassium contamination on a crystalline silicon (c-Si) surface after potassium hydroxide (KOH) etching to the lifetime of the c-Si solar cell. Alkaline solution was employed for saw damage removal (SDR), texturing, and planarization of a textured c-Si solar wafer prior to atomic layer deposition (ALD) Al 2 O 3 growth. In the solar-cell manufacturing process, ALD Al 2 O 3 passivation is utilized to obtain higher conversion efficiency. ALD Al 2 O 3 shows excellent surface passivation, though minority carrier lifetime varies with cleaning conditions. In the present study, we investigated the relation of potassium contamination to lifetime in solar-cell processing. The results showed that the potassium-contaminated samples, due to incomplete cleaning of KOH, had a short lifetime, thus establishing that residual potassium can degrade Al 2 O 3 surface passivation

  16. MBE growth of Topological Isolators based on strained semi-metallic HgCdTe layers

    Science.gov (United States)

    Grendysa, J.; Tomaka, G.; Sliz, P.; Becker, C. R.; Trzyna, M.; Wojnarowska-Nowak, R.; Bobko, E.; Sheregii, E. M.

    2017-12-01

    Particularities of Molecular Beam Epitaxial (MBE) technology for the growth of Topological Insulators (TI) based on the semi-metal Hg1-xCdx Te are presented. A series of strained layers grown on GaAs substrates with a composition close to the 3D Dirac point were studied. The composition of the layers was verified by means of the position of the E1 maximum in optical reflectivity in the visible region. The surface morphology was determined via atomic force and electron microscopy. Magneto-transport measurements show quantized Hall resistance curves and Shubnikov de Hass oscillations (up to 50 K). It has been demonstrated that a well-developed MBE technology enables one to grow strained Hg1-xCdx Te layers on GaAs/CdTe substrates with a well-defined composition near the 3D Dirac point and consequently allows one to produce a 3D topological Dirac semimetal - 3D analogy of graphene - for future applications.

  17. Atomic Layer Deposited MoS2 as a Carbon and Binder Free Anode in Li-ion Battery

    International Nuclear Information System (INIS)

    Nandi, Dip K; Sen, Uttam K; Choudhury, Devika; Mitra, Sagar; Sarkar, Shaibal K

    2014-01-01

    Molybdenum sulfide is deposited by atomic layer deposition (ALD) using molybdenum hexacarbonyl and hydrogen sulfide. Film growth is studied using in-situ quartz crystal microbalance, ex-situ X-ray reflectivity and ellipsometry. Deposition chemistry is further investigated with in-situ Fourier transform infrared spectroscopy. Self-limiting nature of the reaction is observed, typical of ALD. Saturated growth rate of 2.5 Å per cycle at 170 °C is obtained. As-deposited films are found amorphous in nature. As-grown films are tested as lithium-ion battery anode under half cell configuration. Electrochemical charge-discharge measurements demonstrate a stable cyclic performance with good capacity retention. Discharge capacity of 851 mAh g −1 is obtained after 50 cycles which corresponds to 77% of capacity retention of the initial capacity

  18. Copper Benzenetricarboxylate Metal-Organic Framework Nucleation Mechanisms on Metal Oxide Powders and Thin Films formed by Atomic Layer Deposition.

    Science.gov (United States)

    Lemaire, Paul C; Zhao, Junjie; Williams, Philip S; Walls, Howard J; Shepherd, Sarah D; Losego, Mark D; Peterson, Gregory W; Parsons, Gregory N

    2016-04-13

    Chemically functional microporous metal-organic framework (MOF) crystals are attractive for filtration and gas storage applications, and recent results show that they can be immobilized on high surface area substrates, such as fiber mats. However, fundamental knowledge is still lacking regarding initial key reaction steps in thin film MOF nucleation and growth. We find that thin inorganic nucleation layers formed by atomic layer deposition (ALD) can promote solvothermal growth of copper benzenetricarboxylate MOF (Cu-BTC) on various substrate surfaces. The nature of the ALD material affects the MOF nucleation time, crystal size and morphology, and the resulting MOF surface area per unit mass. To understand MOF nucleation mechanisms, we investigate detailed Cu-BTC MOF nucleation behavior on metal oxide powders and Al2O3, ZnO, and TiO2 layers formed by ALD on polypropylene substrates. Studying both combined and sequential MOF reactant exposure conditions, we find that during solvothermal synthesis ALD metal oxides can react with the MOF metal precursor to form double hydroxy salts that can further convert to Cu-BTC MOF. The acidic organic linker can also etch or react with the surface to form MOF from an oxide metal source, which can also function as a nucleation agent for Cu-BTC in the mixed solvothermal solution. We discuss the implications of these results for better controlled thin film MOF nucleation and growth.

  19. Atomic scale imaging of competing polar states in a Ruddlesden–Popper layered oxide

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J.; Schlom, Darrell G.; Alem, Nasim; Gopalan, Venkatraman

    2016-01-01

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden–Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure. PMID:27578622

  20. Atomic scale imaging of competing polar states in a Ruddlesden-Popper layered oxide.

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J; Schlom, Darrell G; Alem, Nasim; Gopalan, Venkatraman

    2016-08-31

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.

  1. Preface: Special Topic on Atomic and Molecular Layer Processing: Deposition, Patterning, and Etching

    Science.gov (United States)

    Engstrom, James R.; Kummel, Andrew C.

    2017-02-01

    Thin film processing technologies that promise atomic and molecular scale control have received increasing interest in the past several years, as traditional methods for fabrication begin to reach their fundamental limits. Many of these technologies involve at their heart phenomena occurring at or near surfaces, including adsorption, gas-surface reactions, diffusion, desorption, and re-organization of near-surface layers. Moreover many of these phenomena involve not just reactions occurring under conditions of local thermodynamic equilibrium but also the action of energetic species including electrons, ions, and hyperthermal neutrals. There is a rich landscape of atomic and molecular scale interactions occurring in these systems that is still not well understood. In this Special Topic Issue of The Journal of Chemical Physics, we have collected recent representative examples of work that is directed at unraveling the mechanistic details concerning atomic and molecular layer processing, which will provide an important framework from which these fields can continue to develop. These studies range from the application of theory and computation to these systems to the use of powerful experimental probes, such as X-ray synchrotron radiation, probe microscopies, and photoelectron and infrared spectroscopies. The work presented here helps in identifying some of the major challenges and direct future activities in this exciting area of research involving atomic and molecular layer manipulation and fabrication.

  2. Atomic layer MoS2-graphene van der Waals heterostructure nanomechanical resonators.

    Science.gov (United States)

    Ye, Fan; Lee, Jaesung; Feng, Philip X-L

    2017-11-30

    Heterostructures play significant roles in modern semiconductor devices and micro/nanosystems in a plethora of applications in electronics, optoelectronics, and transducers. While state-of-the-art heterostructures often involve stacks of crystalline epi-layers each down to a few nanometers thick, the intriguing limit would be hetero-atomic-layer structures. Here we report the first experimental demonstration of freestanding van der Waals heterostructures and their functional nanomechanical devices. By stacking single-layer (1L) MoS 2 on top of suspended single-, bi-, tri- and four-layer (1L to 4L) graphene sheets, we realize an array of MoS 2 -graphene heterostructures with varying thickness and size. These heterostructures all exhibit robust nanomechanical resonances in the very high frequency (VHF) band (up to ∼100 MHz). We observe that fundamental-mode resonance frequencies of the heterostructure devices fall between the values of graphene and MoS 2 devices. Quality (Q) factors of heterostructure resonators are lower than those of graphene but comparable to those of MoS 2 devices, suggesting interface damping related to interlayer interactions in the van der Waals heterostructures. This study validates suspended atomic layer heterostructures as an effective device platform and provides opportunities for exploiting mechanically coupled effects and interlayer interactions in such devices.

  3. Partially oxidized atomic cobalt layers for carbon dioxide electroreduction to liquid fuel

    Science.gov (United States)

    Gao, Shan; Lin, Yue; Jiao, Xingchen; Sun, Yongfu; Luo, Qiquan; Zhang, Wenhua; Li, Dianqi; Yang, Jinlong; Xie, Yi

    2016-01-01

    Electroreduction of CO2 into useful fuels, especially if driven by renewable energy, represents a potentially ‘clean’ strategy for replacing fossil feedstocks and dealing with increasing CO2 emissions and their adverse effects on climate. The critical bottleneck lies in activating CO2 into the CO2•- radical anion or other intermediates that can be converted further, as the activation usually requires impractically high overpotentials. Recently, electrocatalysts based on oxide-derived metal nanostructures have been shown to enable CO2 reduction at low overpotentials. However, it remains unclear how the electrocatalytic activity of these metals is influenced by their native oxides, mainly because microstructural features such as interfaces and defects influence CO2 reduction activity yet are difficult to control. To evaluate the role of the two different catalytic sites, here we fabricate two kinds of four-atom-thick layers: pure cobalt metal, and co-existing domains of cobalt metal and cobalt oxide. Cobalt mainly produces formate (HCOO-) during CO2 electroreduction; we find that surface cobalt atoms of the atomically thin layers have higher intrinsic activity and selectivity towards formate production, at lower overpotentials, than do surface cobalt atoms on bulk samples. Partial oxidation of the atomic layers further increases their intrinsic activity, allowing us to realize stable current densities of about 10 milliamperes per square centimetre over 40 hours, with approximately 90 per cent formate selectivity at an overpotential of only 0.24 volts, which outperforms previously reported metal or metal oxide electrodes evaluated under comparable conditions. The correct morphology and oxidation state can thus transform a material from one considered nearly non-catalytic for the CO2 electroreduction reaction into an active catalyst. These findings point to new opportunities for manipulating and improving the CO2 electroreduction properties of metal systems

  4. Atomic layer deposition for high-efficiency crystalline silicon solar cells

    NARCIS (Netherlands)

    Macco, B.; van de Loo, B.W.H.; Kessels, W.M.M.; Bachmann, J.

    2017-01-01

    This chapter illustrates that Atomic Layer Deposition (ALD) is in fact an enabler of novel high-efficiency Si solar cells, owing to its merits such as a high material quality, precise thickness control, and the ability to prepare film stacks in a well-controlled way. It gives an overview of the

  5. Atmospheric spatial atomic layer deposition of in-doped ZnO

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Roozeboom, F.; Poodt, P.

    2014-01-01

    Indium-doped zinc oxide (ZnO:In) has been grown by spatial atomic layer deposition at atmospheric pressure (spatial-ALD). Trimethyl indium (TMIn), diethyl zinc (DEZ) and deionized water have been used as In, Zn and O precursor, respectively. The metal content of the films is controlled in the range

  6. Atomic-scale structure of single-layer MoS2 nanoclusters

    DEFF Research Database (Denmark)

    Helveg, S.; Lauritsen, J. V.; Lægsgaard, E.

    2000-01-01

    We have studied using scanning tunneling microscopy (STM) the atomic-scale realm of molybdenum disulfide (MoS2) nanoclusters, which are of interest as a model system in hydrodesulfurization catalysis. The STM gives the first real space images of the shape and edge structure of single-layer MoS2...

  7. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  8. Effective coating of titania nanoparticles with alumina via atomic layer deposition

    Science.gov (United States)

    Azizpour, H.; Talebi, M.; Tichelaar, F. D.; Sotudeh-Gharebagh, R.; Guo, J.; van Ommen, J. R.; Mostoufi, N.

    2017-12-01

    Alumina films were deposited on titania nanoparticles via atomic layer deposition (ALD) in a fluidized bed reactor at 180 °C and 1 bar. Online mass spectrometry was used for real time monitoring of effluent gases from the reactor during each reaction cycle in order to determine the optimal dosing time of precursors. Different oxygen sources were used to see which oxygen source, in combination with trimethyl aluminium (TMA), provides the highest alumina growth per cycle (GPC). Experiments were carried out in 4, 7 and 10 cycles using the optimal dosing time of precursors. Several characterization methods, such as high resolution transmission electron microscopy (HRTEM), Brunauer-Emmett-Teller (BET), energy dispersive X-ray spectroscopy (EDX), Fourier transform infrared (FTIR), X-ray diffraction (XRD) and instrumental neutron activation analysis (INAA), were conducted on the products. Formation of the alumina film was confirmed by EDX mapping and EDX line profiling, FTIR and TEM. When using either water or deuterium oxide as the oxygen source, the thickness of the alumina film was greater than that of ozone. The average GPC measured by TEM for the ALD of TMA with water, deuterium oxide and ozone was about 0.16 nm, 0.15 nm and 0.11 nm, respectively. The average GPC calculated using the mass fraction of aluminum from INAA was close to those measured from TEM images. Excess amounts of precursors lead to a higher average growth of alumina film per cycle due to insufficient purging time. XRD analysis demonstrated that amorphous alumina was coated on titania nanoparticles. This amorphous layer was easily distinguished from the crystalline core in the TEM images. Decrease in the photocatalytic activity of titania nanoparticles after alumina coating was confirmed by measuring degradation of Rhodamine B by ultraviolet irradiation.

  9. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  10. Rapid growth of single-layer graphene on the insulating substrates by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Chen, C.Y. [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Dai, D.; Chen, G.X.; Yu, J.H. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Nishimura, K. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Advanced Nano-processing Engineering Lab, Mechanical Systems Engineering, Kogakuin University (Japan); Lin, C.-T. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Jiang, N., E-mail: jiangnan@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhan, Z.L., E-mail: zl_zhan@sohu.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China)

    2015-08-15

    Highlights: • A rapid thermal CVD process has been developed to directly grow graphene on the insulating substrates. • The treating time consumed is ≈25% compared to conventional CVD procedure. • Single-layer and few-layer graphene can be formed on quartz and SiO{sub 2}/Si substrates, respectively. • The formation of thinner graphene at the interface is due to the fast precipitation rate of carbon atoms during cooling. - Abstract: The advance of CVD technique to directly grow graphene on the insulating substrates is particularly significant for further device fabrication. As graphene is catalytically grown on metal foils, the degradation of the sample properties is unavoidable during transfer of graphene on the dielectric layer. Moreover, shortening the treatment time as possible, while achieving single-layer growth of graphene, is worthy to be investigated for promoting the efficiency of mass production. Here we performed a rapid heating/cooling process to grow graphene films directly on the insulating substrates by thermal CVD. The treating time consumed is ≈25% compared to conventional CVD procedure. In addition, we found that high-quality, single-layer graphene can be formed on quartz, but on SiO{sub 2}/Si substrate only few-layer graphene can be obtained. The pronounced substrate effect is attributed to the different dewetting behavior of Ni films on the both substrates at 950 °C.

  11. Synergetic effects during sputter-assisted depth profiling: growth-dominated topography development on InP and a model of the atomic mechanism

    International Nuclear Information System (INIS)

    Gries, W.H.; Miethe, K.

    1987-01-01

    Growth-dominated extreme topography development on ion-bombarded wafers of InP is reported and is explained in terms of the micro region model presented in summary form. This model postulates the existence of an ion-bombardment-produced ensemble of crystallites and non-crystalline aggregations of atoms (composed of the substrate material, of dopant and of oxygen from the native oxide layer) where the majority of InP micro regions is so small (nanometer dimensions) that most interstitials created in collision events between bombarding ions and atoms of the micro region can reach an interfacial boundary rather than recombine with a vacancy from the same or another collision event. These atoms are then transported via interfacial boundaries and over the surface to screw dislocations where crystal stubs proceed to grow until the damage rate by ion bombardment overtakes the growth rate. Ion-bombardment-induced compressive stresses favour diffusion towards the surface. Temperature transients within micro regions assist both interfacial diffusion and damage repair. The topography is a result of competition between growth and sputtering. Different growth rates cause different topographies. The development of an extreme topography can be suppressed by oxygen flooding of the sputtered surface, by simultaneous electron beam scanning, as well as by Cs + ion bombardment. (Author)

  12. Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Golnaz Karbasian

    2017-03-01

    Full Text Available Single electron transistors are nanoscale electron devices that require thin, high-quality tunnel barriers to operate and have potential applications in sensing, metrology and beyond-CMOS computing schemes. Given that atomic layer deposition is used to form CMOS gate stacks with low trap densities and excellent thickness control, it is well-suited as a technique to form a variety of tunnel barriers. This work is a review of our recent research on atomic layer deposition and post-fabrication treatments to fabricate metallic single electron transistors with a variety of metals and dielectrics.

  13. Molecular dynamics simulation of chemical sputtering of hydrogen atom on layer structured graphite

    International Nuclear Information System (INIS)

    Ito, A.; Wang, Y.; Irle, S.; Morokuma, K.; Nakamura, H.

    2008-10-01

    Chemical sputtering of hydrogen atom on graphite was simulated using molecular dynamics. Especially, the layer structure of the graphite was maintained by interlayer intermolecular interaction. Three kinds of graphite surfaces, flat (0 0 0 1) surface, armchair (1 1 2-bar 0) surface and zigzag (1 0 1-bar 0) surface, are dealt with as targets of hydrogen atom bombardment. In the case of the flat surface, graphene layers were peeled off one by one and yielded molecules had chain structures. On the other hand, C 2 H 2 and H 2 are dominant yielded molecules on the armchair and zigzag surfaces, respectively. In addition, the interaction of a single hydrogen isotope on a single graphene is investigated. Adsorption, reflection and penetration rates are obtained as functions of incident energy and explain hydrogen retention on layered graphite. (author)

  14. Atomic layer deposition of high-mobility hydrogen-doped zinc oxide

    NARCIS (Netherlands)

    Macco, B.; Knoops, H.C.M.; Verheijen, M.A.; Beyer, W.; Creatore, M.; Kessels, W.M.M.

    2017-01-01

    In this work, atomic layer deposition (ALD) has been employed to prepare high-mobility H-doped zinc oxide (ZnO:H) films. Hydrogen doping was achieved by interleaving the ZnO ALD cycles with H2 plasma treatments. It has been shown that doping with H2 plasma offers key advantages over traditional

  15. Surface Passivation of MoO3 Nanorods by Atomic Layer Deposition Towards High Rate Durable Li Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal; Shahid, Muhammad; Nagaraju, Doddahalli H.; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    We demonstrate an effective strategy to overcome the degradation of MoO3 nanorod anodes in Lithium (Li) ion batteries at high rate cycling. This is achieved by conformal nanoscale surface passivation of the MoO3 nanorods by HfO2 using atomic layer deposition (ALD). At high current density such as 1500 mA/g, the specific capacity of HfO2 coated MoO3 electrodes is 68% higher than bare MoO3 electrodes after 50 charge/discharge cycles. After 50 charge/discharge cycles, HfO2 coated MoO3 electrodes exhibited specific capacity of 657 mAh/g, on the other hand, bare MoO3 showed only 460 mAh/g. Furthermore, we observed that HfO2 coated MoO3 electrodes tend to stabilize faster than bare MoO3 electrodes because nanoscale HfO2 layer prevents structural degradation of MoO3 nanorods. Additionally, the growth temperature of MoO3 nanorods and the effect of HfO2 layer thickness was studied and found to be important parameters for optimum battery performance. The growth temperature defines the microstructural features and HfO2 layer thickness defines the diffusion coefficient of Li–ions through the passivation layer to the active material. Furthermore, ex–situ HRTEM, X–ray photoelectron spectroscopy (XPS), Raman spectroscopy and X–ray diffraction was carried out to explain the capacity retention mechanism after HfO2 coating.

  16. Surface Passivation of MoO3 Nanorods by Atomic Layer Deposition Towards High Rate Durable Li Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2015-06-03

    We demonstrate an effective strategy to overcome the degradation of MoO3 nanorod anodes in Lithium (Li) ion batteries at high rate cycling. This is achieved by conformal nanoscale surface passivation of the MoO3 nanorods by HfO2 using atomic layer deposition (ALD). At high current density such as 1500 mA/g, the specific capacity of HfO2 coated MoO3 electrodes is 68% higher than bare MoO3 electrodes after 50 charge/discharge cycles. After 50 charge/discharge cycles, HfO2 coated MoO3 electrodes exhibited specific capacity of 657 mAh/g, on the other hand, bare MoO3 showed only 460 mAh/g. Furthermore, we observed that HfO2 coated MoO3 electrodes tend to stabilize faster than bare MoO3 electrodes because nanoscale HfO2 layer prevents structural degradation of MoO3 nanorods. Additionally, the growth temperature of MoO3 nanorods and the effect of HfO2 layer thickness was studied and found to be important parameters for optimum battery performance. The growth temperature defines the microstructural features and HfO2 layer thickness defines the diffusion coefficient of Li–ions through the passivation layer to the active material. Furthermore, ex–situ HRTEM, X–ray photoelectron spectroscopy (XPS), Raman spectroscopy and X–ray diffraction was carried out to explain the capacity retention mechanism after HfO2 coating.

  17. Internal equilibrium layer growth over forest

    DEFF Research Database (Denmark)

    Dellwik, E.; Jensen, N.O.

    2000-01-01

    the magnitude of the scatter. Different theoretical friction velocity profiles for the Internal Boundary Layer (IBL) are tested against the forest data. The results yield information on the Internal Equilibrium Layer (IEL) growth and an equation for the IEL height fur neutral conditions is derived. For stable...... conditions the results indicate that very long fetches are required in order to measure parameters in equilibrium with the actual surface....

  18. Optimal Growth in Hypersonic Boundary Layers

    Science.gov (United States)

    Paredes, Pedro; Choudhari, Meelan M.; Li, Fei; Chang, Chau-Lyan

    2016-01-01

    The linear form of the parabolized linear stability equations is used in a variational approach to extend the previous body of results for the optimal, nonmodal disturbance growth in boundary-layer flows. This paper investigates the optimal growth characteristics in the hypersonic Mach number regime without any high-enthalpy effects. The influence of wall cooling is studied, with particular emphasis on the role of the initial disturbance location and the value of the spanwise wave number that leads to the maximum energy growth up to a specified location. Unlike previous predictions that used a basic state obtained from a self-similar solution to the boundary-layer equations, mean flow solutions based on the full Navier-Stokes equations are used in select cases to help account for the viscous- inviscid interaction near the leading edge of the plate and for the weak shock wave emanating from that region. Using the full Navier-Stokes mean flow is shown to result in further reduction with Mach number in the magnitude of optimal growth relative to the predictions based on the self-similar approximation to the base flow.

  19. A simple model for quantifying the degree of layer-by-layer growth in low energy ion deposition of thin films

    International Nuclear Information System (INIS)

    Huhtamaeki, T.; Jahma, M.O.; Koponen, I.T.

    2007-01-01

    Layer-by-layer growth of thin films can be promoted by using low energy ion deposition (LEID) techniques. The basic process affecting the growth are often quite diverse, but often the ion impact induced inter layer mass transfer processes due to adatom insertion to lower step edges or pile-ups to step edges above dominate. In this paper we propose a simple phenomenological model which describes the growth of thin films in LEID under these conditions. The model makes possible to distinguish the dominant growth, the detection of the transition from the 3D growth to 2D growth, and it can be used to quantify the degree of layer-by-layer growth. The model contains only two parameters, which can be phenomenologically related to the properties of the bombarding ion beam

  20. Formation of Pentacene wetting layer on the SiO2 surface and charge trap in the wetting layer

    International Nuclear Information System (INIS)

    Kim, Chaeho; Jeon, D.

    2008-01-01

    We studied the early-stage growth of vacuum-evaporated pentacene film on a native SiO 2 surface using atomic force microscopy and in-situ spectroscopic ellipsometry. Pentacene deposition prompted an immediate change in the ellipsometry spectra, but atomic force microscopy images of the early stage films did not show a pentacene-related morphology other than the decrease in the surface roughness. This suggested that a thin pentacene wetting layer was formed by pentacene molecules lying on the surface before the crystalline islands nucleated. Growth simulation based on the in situ spectroscopic ellipsometry spectra supported this conclusion. Scanning capacitance microscopy measurement indicated the existence of trapped charges in the SiO 2 and pentacene wetting layer

  1. Growth features of HgCdTe LPE layers

    International Nuclear Information System (INIS)

    Huseynov, E.K.; Eminov, Sh.O.; Ibragimov, T.I.; Ismaylov, N.J.; Rajabli, A.A.

    2010-01-01

    Full text : The results of growth of Hg 1 -xCd x Te (MCT) layers by liquid phase epitaxy (LPE) from Te-rich solutions (molar fraction (Hg 1 -zCd z )(1.y)Te y , z=0.054, y=0.805 for TL=501 degrees Celsium) obtained by the tipping method in closed system is presented. Epitaxial layers with different compositions (x=0.20-0.22) and thicknesses (10-20 μm) suitable for manufacturing the photodiode structures operable at 8-14 μm spectrum range were grown on B oriented Cd 0 .96Zn 0 ,04Te polished and repolished substrates. The growth was carried out in the temperature range 500-480 degrees Celsium with cooling rates 0.05-0.1 degrees Celsium/min in a sealed quartz ampoule using the original apparatus for LPE. The attention was paid mainly to the surface morphological quality, good decantation from the layers, uniformity of composition and thickness of films. One of the limitations of the most LPE growth apparatus (cassettes) with slider or tipping system is their impossibility to wipe the last drop of growth solution from the surface of just-grown epilayer. Some remnant or residual of the growth solution tends to adhere to the surface of the epilayer after growth in such apparatus and strongly affect the surface quality. The novel apparatus for LPE providing the surface without unwanted residual drops of melt solution of Hg, Cd and Te was developed with the aim of solving such a problem. The effect of different steps of LPE growth on morphology and composition of epitaxial layers was studied. By holding the CdZnTe substrate inside the growth ampoule at the melt homogenization temperature during of 15-50 min without contact with the melt resulted in visually (using the Leitzorthoplan microscopes x 500-1000) observed surface roughness. Using the expressions for the Te-angle of Hg-Cd-Te phase diagram the effect of the preliminary synthesis of the source on liquidus temperature and composition of the epilayers was numerically evaluated. HgCdTe layers were characterized using

  2. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  3. Atomic-layer deposited passivation schemes for c-Si solar cells

    NARCIS (Netherlands)

    van de Loo, B.W.H.; Macco, B.; Melskens, J.; Verheijen, M.A.; Kessels, W.M.M.E.

    2016-01-01

    A review of recent developments in the field of passivation of c-Si surfaces is presented, with a particular focus on materials that can be prepared by atomic layer deposition (ALD). Besides Al2O3, various other novel passivation schemes have recently been developed, such as Ga2O3, Ta2O5,

  4. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  5. Synthesis of Functional Ceramic Supports by Ice Templating and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michaela Klotz

    2018-05-01

    Full Text Available In this work, we report an innovative route for the manufacturing of functional ceramic supports, by combining ice templating of yttria stabilized zirconia (YSZ and atomic layer deposition (ALD of Al2O3 processes. Ceramic YSZ monoliths are prepared using the ice-templating process, which is based on the controlled crystallization of water following a thermal gradient. Sublimation of the ice and the sintering of the material reveal the straight micrometer sized pores shaped by the ice crystal growth. The high temperature sintering allows for the ceramic materials to present excellent mechanical strength and porosities of 67%. Next, the conformality benefit of ALD is used to deposit an alumina coating at the surface of the YSZ pores, in order to obtain a functional material. The Al2O3 thin films obtained by ALD are 100 nm thick and conformally deposited within the macroporous ceramic supports, as shown by SEM and EDS analysis. Mercury intrusion experiments revealed a reduction of the entrance pore diameter, in line with the growth per cycle of 2 Å of the ALD process. In addition to the manufacture of the innovative ceramic nanomaterials, this article also describes the fine characterization of the coatings obtained using mercury intrusion, SEM and XRD analysis.

  6. Growth and microstructure of iron nitride layers and pore formation in {epsilon}-Fe{sub 3}N

    Energy Technology Data Exchange (ETDEWEB)

    Middendorf, C.; Mader, W. [Univ. Bonn, Inst. fuer Anorganische Chemie, Bonn (Germany)

    2003-03-01

    Layers of {epsilon}-Fe{sub 3}N and {gamma}'-Fe{sub 4}N on ferrite were produced by nitriding iron single crystals or rolled sheets of iron in flowing ammonia at 520 C. The nitride layers were characterised using X-ray diffraction, light microscopy as well as scanning and transmission electron microscopy. The compound layer consists of {epsilon}-Fe{sub 3}N at the surface and of {gamma}'-Fe{sub 4}N facing the ferrite. After 4 h of nitriding, pores develop in the near surface region of {epsilon}-Fe{sub 3}N showing more or less open porosity. Growth of the entire compound layer as well as of the massive and the porous {epsilon}-Fe{sub 3}N sublayer is diffusion-controlled and follows a parabolic growth rate. The {gamma}'-Fe{sub 4}N layer is formed as a transition phase within a narrow interval of nitrogen activity, and it shows little growth in thickness. The transformation of {gamma}'-Fe{sub 4}N to {epsilon}-Fe{sub 3}N is topotactic, where the orientation of the closed-packed iron layers of the crystal structures is preserved. Determination of lattice plane spacings was possible by X-ray diffraction, and this was correlated to the nitrogen content of {epsilon}-Fe{sub 3}N. While the porous layer exhibits an enhanced nitrogen content corresponding to the chemical composition Fe{sub 3}N{sub 1.1}, the massive e Fe{sub 3}N layer corresponds to Fe{sub 3}N{sub 1.0}. The pore formation in {epsilon}-Fe{sub 3}N{sub 1.1} is concluded to be the result of excess nitrogen atoms on non-structural sites, which have a high mobility. Therefore, recombination of excess nitrogen to molecular N{sub 2} at lattice defects is preferred in {epsilon}-Fe{sub 3}N with high nitrogen content compared to stoichiometric {epsilon}-Fe{sub 3}N{sub 1.0} with nitrogen on only structural sites. (orig.)

  7. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  8. Textured strontium titanate layers on platinum by atomic layer deposition

    International Nuclear Information System (INIS)

    Blomberg, T.; Anttila, J.; Haukka, S.; Tuominen, M.; Lukosius, M.; Wenger, Ch.; Saukkonen, T.

    2012-01-01

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2–1 μm) and low X-ray reflectivity roughness (∼ 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu 3 Cp) 2 , Ti(OMe) 4 and O 3 precursors at 250 °C were used to deposit Sr rich STO on Pt/Ti/SiO 2 /Si ∅200 mm substrates. After crystallization post deposition annealing at 600 °C in air, most of the STO grains showed a preferential orientation of the {001} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {111} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O 3 ) shows a promising path towards the formation of single oriented STO film. - Highlights: ► Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. ► Single crystal domains in 60 nm STO film were 0.2–1 μm wide. ► Most STO grains were {001} oriented.

  9. Dynamic Modeling for the Design and Cyclic Operation of an Atomic Layer Deposition (ALD Reactor

    Directory of Open Access Journals (Sweden)

    Curtisha D. Travis

    2013-08-01

    Full Text Available A laboratory-scale atomic layer deposition (ALD reactor system model is derived for alumina deposition using trimethylaluminum and water as precursors. Model components describing the precursor thermophysical properties, reactor-scale gas-phase dynamics and surface reaction kinetics derived from absolute reaction rate theory are integrated to simulate the complete reactor system. Limit-cycle solutions defining continuous cyclic ALD reactor operation are computed with a fixed point algorithm based on collocation discretization in time, resulting in an unambiguous definition of film growth-per-cycle (gpc. A key finding of this study is that unintended chemical vapor deposition conditions can mask regions of operation that would otherwise correspond to ideal saturating ALD operation. The use of the simulator for assisting in process design decisions is presented.

  10. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface

    KAUST Repository

    Li, Ming Yang

    2015-07-30

    Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface.

  11. 2D-PES/XAS method for atomic-layer-resolved magnetic structure analysis

    International Nuclear Information System (INIS)

    Matsui, F.; Daimon, H.; Matsushita, T.; Guo, F.Z.

    2008-01-01

    Photoelectron and Auger electron angular distributions from a localized core level provide information on atomic configurations. Forward-focusing peaks indicate the directions of atoms surrounding the excited atom. X-ray absorption fine structure and X-ray magnetic circular dichroism measurements by Auger electron yield detection on the other hand are excellent methods for studying of the electronic and magnetic structures of surfaces, adsorbates, and thin films. However, all the information from atoms within the electron mean-free-path region is averaged into the obtained spectra. Here, we introduce a new method of X-ray absorption spectroscopy (XAS) combined with measurements of Auger electron angular distribution using a display-type analyzer. Taking advantage of the forward-focusing peak as an excellent element- and site-selective probe, 2D-XAS enables direct access to the individual electronic and magnetic structures of each atomic layer. This method was applied to studying the electronic and magnetic structures of Ni thin film at atomic level. (author)

  12. AFM investigation of effect of absorbed water layer structure on growth mechanism of octadecyltrichlorosilane self-assembled monolayer on oxidized silicon

    International Nuclear Information System (INIS)

    Li, Shaowei; Zheng, Yanjun; Chen, Changfeng

    2016-01-01

    The growth mechanism of an octadecyltrichlorosilane (OTS) self-assembled monolayer on a silicon oxide surface at various relative humidities has been investigated. Atomic force microscopy images show that excess water may actually hinder the nucleation and growth of OTS islands. A moderate amount of water is favorable for the nucleation and growth of OTS islands in the initial stage; however, the completion of the monolayer is very slow in the final stage. The growth of OTS islands on a low-water-content surface maintains a relatively constant speed and requires the least amount of time. The mobility of water molecules is thought to play an important role in the OTS monolayers, and a low-mobility water layer provides a steady condition for OTS monolayer growth.

  13. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  14. An important atomic process in the CVD growth of graphene: Sinking and up-floating of carbon atom on copper surface

    International Nuclear Information System (INIS)

    Li, Yingfeng; Li, Meicheng; Gu, TianSheng; Bai, Fan; Yu, Yue; Trevor, Mwenya; Yu, Yangxin

    2013-01-01

    By density functional theory (DFT) calculations, the early stages of the growth of graphene on copper (1 1 1) surface are investigated. At the very first time of graphene growth, the carbon atom sinks into subsurface. As more carbon atoms are adsorbed nearby the site, the sunken carbon atom will spontaneously form a dimer with one of the newly adsorbed carbon atoms, and the formed dimer will up-float on the top of the surface. We emphasize the role of the co-operative relaxation of the co-adsorbed carbon atoms in facilitating the sinking and up-floating of carbon atoms. In detail: when two carbon atoms are co-adsorbed, their co-operative relaxation will result in different carbon–copper interactions for the co-adsorbed carbon atoms. This difference facilitates the sinking of a single carbon atom into the subsurface. As a third carbon atom is co-adsorbed nearby, it draws the sunken carbon atom on top of the surface, forming a dimer. Co-operative relaxations of the surface involving all adsorbed carbon atoms and their copper neighbors facilitate these sinking and up-floating processes. This investigation is helpful for the deeper understanding of graphene synthesis and the choosing of optimal carbon sources or process.

  15. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  16. Unusual stoichiometry control in the atomic layer deposition of manganese borate films from manganese bis(tris(pyrazolyl)borate) and ozone

    International Nuclear Information System (INIS)

    Klesko, Joseph P.; Bellow, James A.; Saly, Mark J.; Winter, Charles H.; Julin, Jaakko; Sajavaara, Timo

    2016-01-01

    The atomic layer deposition (ALD) of films with the approximate compositions Mn 3 (BO 3 ) 2 and CoB 2 O 4 is described using MnTp 2 or CoTp 2 [Tp = tris(pyrazolyl)borate] with ozone. The solid state decomposition temperatures of MnTp 2 and CoTp 2 are ∼370 and ∼340 °C, respectively. Preparative-scale sublimations of MnTp 2 and CoTp 2 at 210 °C/0.05 Torr afforded >99% recoveries with <0.1% nonvolatile residues. Self-limited ALD growth was demonstrated at 325 °C for MnTp 2 or CoTp 2 with ozone as the coreactant. The growth rate for the manganese borate process was 0.19 Å/cycle within the ALD window of 300–350 °C. The growth rate for the cobalt borate process was 0.39–0.42 Å/cycle at 325 °C. X-ray diffraction of the as-deposited films indicated that they were amorphous. Atomic force microscopy of 35–36 nm thick manganese borate films grown within the 300–350 °C ALD window showed root mean square surface roughnesses of 0.4–0.6 nm. Film stoichiometries were assessed by x-ray photoelectron spectroscopy and time of flight-elastic recoil detection analysis. The differing film stoichiometries obtained from the very similar precursors MnTp 2 and CoTp 2 are proposed to arise from the oxidizing ability of the intermediate high valent manganese oxide layers and lack thereof for cobalt.

  17. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  18. Fluidized bed coupled rotary reactor for nanoparticles coating via atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duan, Chen-Long; Liu, Xiao; Chen, Rong, E-mail: rongchen@mail.hust.edu.cn, E-mail: bshan@mail.hust.edu.cn [State Key Laboratory of Digital Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, 1037 Luoyu Road, Wuhan, Hubei 430074 (China); Shan, Bin, E-mail: rongchen@mail.hust.edu.cn, E-mail: bshan@mail.hust.edu.cn [State Key Laboratory of Material Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, 1037 Luoyu Road, Wuhan, Hubei 430074 (China)

    2015-07-15

    A fluidized bed coupled rotary reactor has been designed for coating on nanoparticles (NPs) via atomic layer deposition. It consists of five major parts: reaction chamber, dosing and fluidizing section, pumping section, rotary manipulator components, as well as a double-layer cartridge for the storage of particles. In the deposition procedure, continuous fluidization of particles enlarges and homogenizes the void fraction in the particle bed, while rotation enhances the gas-solid interactions to stabilize fluidization. The particle cartridge presented here enables both the fluidization and rotation acting on the particle bed, demonstrated by the analysis of pressure drop. Moreover, enlarged interstitials and intense gas–solid contact under sufficient fluidizing velocity and proper rotation speed facilitate the precursor delivery throughout the particle bed and consequently provide a fast coating process. The cartridge can ensure precursors flowing through the particle bed exclusively to achieve high utilization without static exposure operation. By optimizing superficial gas velocities and rotation speeds, minimum pulse time for complete coating has been shortened in experiment, and in situ mass spectrometry showed the precursor usage can reach 90%. Inductively coupled plasma-optical emission spectroscopy results suggested a saturated growth of nanoscale Al{sub 2}O{sub 3} films on spherical SiO{sub 2} NPs. Finally, the uniformity and composition of the shells were characterized by high angle annular dark field-transmission electron microscopy and energy dispersive X-ray spectroscopy.

  19. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  20. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  1. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  2. Crack Growth along Interfaces in Porous Ceramic Layers

    DEFF Research Database (Denmark)

    Sørensen, Bent F.; Horsewell, Andy

    2001-01-01

    Crack growth along porous ceramic layers was studied experimentally. Double cantilever beam sandwich specimens were loaded with pure bending moments to obtain stable crack growth. The experiments were conducted in an environmental scanning electron microscope enabling in situ observations...

  3. Growth of micrometric oxide layers to explore laser decontamination of metallic surfaces

    Directory of Open Access Journals (Sweden)

    Carvalho Luisa

    2017-01-01

    Full Text Available The nuclear industry produces a wide range of radioactive waste in terms of hazard level, contaminants and material. For metallic equipment like steam generators, the radioactivity is mainly located in the oxide surface. In order to study and develop safe techniques for dismantling and for decontamination, it is important to have access to oxide layers with a representative distribution of non-radioactive contaminants. In this paper we propose a method for the creation of oxide layers on stainless steel 304L with europium (Eu as contaminant. This technique consists in spraying an Eu-solution on stainless steel samples. The specimens are firstly treated with a pulsed nanosecond laser after which the steel samples are placed in a 873 K furnace for various durations in order to grow an oxide layer. The oxide structure and in-depth distribution of Eu in the oxide layer were analyzed by scanning electron microscopy coupled to an energy-dispersive X-ray microanalyzer, as well as by glow discharge optical emission or mass spectrometry. The oxide layers were grown to thicknesses in the range of 200 nm–4.5 μm depending on the laser treatment parameters and the heating duration. These contaminated oxides had a ‘duplex structure’ with a mean concentration of the order of 6 × 1016 atoms/cm2 (15 μg/cm2 of europium in the volume of the oxide layer. It appears that europium implementation prevented the oxide growth in the furnace. Nevertheless, the presence of the contamination had no impact on the thickness of the oxide layers obtained by preliminary laser treatment. These oxide layers were used to study the decontamination of metallic surfaces such as stainless steel 304L using a nanosecond pulsed laser.

  4. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  5. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  6. Characterization of ZnO film grown on polycarbonate by atomic layer deposition at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Gyeong Beom; Han, Gwon Deok; Shim, Joon Hyung; Choi, Byoung-Ho, E-mail: bhchoi@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-707 (Korea, Republic of)

    2015-01-15

    ZnO is an attractive material for use in various technological products such as phosphors, gas sensors, and transparent conductors. Recently, aluminum-doped zinc oxide has received attention as a potential replacement for indium tin oxide, which is one of the transparent conductive oxides used in flat panel displays, organic light-emitting diodes, and organic solar cells. In this study, the characteristics of ZnO films deposited on polycarbonate (PC) substrates by atomic layer deposition (ALD) are investigated for various process temperatures. The growth mechanism of these films was investigated at low process temperatures using x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS). XRD and XPS were used to determine the preferred orientation and chemical composition of the films, respectively. Furthermore, the difference of the deposition mechanisms on an amorphous organic material, i.e., PC substrate and an inorganic material such as silicon was discussed from the viewpoint of the diffusion and deposition of precursors. The structure of the films was also investigated by chemical analysis in order to determine the effect of growth temperature on the films deposited by ALD.

  7. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  8. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  9. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  10. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  11. Subnanometer Ga 2 O 3 Tunnelling Layer by Atomic Layer Deposition to Achieve 1.1 V Open-Circuit Potential in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar; Tetreault, Nicolas; Humphry-Baker, Robin; Kessler, Florian; Baranoff, Etienne; Yi, Chenyi; Nazeeruddin, Mohammad Khaja; Grä tzel, Michael

    2012-01-01

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new

  12. Biosynthesis and characterization of layered iron phosphate

    International Nuclear Information System (INIS)

    Zhou Weijia; He Wen; Wang Meiting; Zhang Xudong; Yan Shunpu; Tian Xiuying; Sun Xianan; Han Xiuxiu; Li Peng

    2008-01-01

    Layered iron phosphate with uniform morphology has been synthesized by a precipitation method with yeast cells as a biosurfactant. The yeast cells are used to regulate the nucleation and growth of layered iron phosphate. The uniform layered structure is characterized by small-angle x-ray diffraction (SAXD), scanning electron microscopy (SEM) and atomic force microscopy (AFM) analyses. Fourier transform infrared spectroscopy (FT-IR) is used to analyze the chemical bond linkages in organic–inorganic hybrid iron phosphate. The likely synthetic mechanism of nucleation and oriented growth is discussed. The electrical conductivity of hybrid iron phosphate heat-treated at different temperatures is presented

  13. Layer-by-Layer Method for the Synthesis and Growth of Surface Mounted Metal-Organic Frameworks (SURMOFs

    Directory of Open Access Journals (Sweden)

    Osama Shekhah

    2010-02-01

    Full Text Available A layer-by-layer method has been developed for the synthesis of metal-organic frameworks (MOFs and their deposition on functionalized organic surfaces. The approach is based on the sequential immersion of functionalized organic surfaces into solutions of the building blocks of the MOF, i.e., the organic ligand and the inorganic unit. The synthesis and growth of different types of MOFs on substrates with different functionalization, like COOH, OH and pyridine terminated surfaces, were studied and characterized with different surface characterization techniques. A controlled and highly oriented growth of very homogenous films was obtained using this method. The layer-by-layer method offered also the possibility to study the kinetics of film formation in more detail using surface plasmon resonance and quartz crystal microbalance. In addition, this method demonstrates the potential to synthesize new classes of MOFs not accessible by conventional methods. Finally, the controlled growth of MOF thin films is important for many applications like chemical sensors, membranes and related electrodes.

  14. Scalable control program for multiprecursor flow-type atomic layer deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Selvaraj, Sathees Kannan [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Takoudis, Christos G., E-mail: takoudis@uic.edu [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 and Department of Bioengineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-01-01

    The authors report the development and implementation of a scalable control program to control flow type atomic layer deposition (ALD) reactor with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner. The programming logic is conceived such that to facilitate scale up for depositing more layers with multiple precursors and scale down for using single layer with any one precursor in the ALD reactor. The program takes precursor and oxidizer exposure and purging times as input and controls the sequential opening and closing of the valves to facilitate the complex ALD process in cyclic manner. The program could be used to deposit materials from any single line or in tandem with other lines in any combination and in any sequence.

  15. Oxidant-Dependent Thermoelectric Properties of Undoped ZnO Films by Atomic Layer Deposition

    KAUST Repository

    Kim, Hyunho

    2017-02-27

    Extraordinary oxidant-dependent changes in the thermoelectric properties of undoped ZnO thin films deposited by atomic layer deposition (ALD) have been observed. Specifically, deionized water and ozone oxidants are used in the growth of ZnO by ALD using diethylzinc as a zinc precursor. No substitutional atoms have been added to the ZnO films. By using ozone as an oxidant instead of water, a thermoelectric power factor (σS) of 5.76 × 10 W m K is obtained at 705 K for undoped ZnO films. In contrast, the maximum power factor for the water-based ZnO film is only 2.89 × 10 W m K at 746 K. Materials analysis results indicate that the oxygen vacancy levels in the water- and ozone-grown ZnO films are essentially the same, but the difference comes from Zn-related defects present in the ZnO films. The data suggest that the strong oxidant effect on thermoelectric performance can be explained by a mechanism involving point defect-induced differences in carrier concentration between these two oxides and a self-compensation effect in water-based ZnO due to the competitive formations of both oxygen and zinc vacancies. This strong oxidant effect on the thermoelectric properties of undoped ZnO films provides a pathway to improve the thermoelectric performance of this important material.

  16. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  17. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Alevli, Mustafa; Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi

    2016-01-01

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor

  18. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr [Department of Physics, Marmara University, Göztepe Kadıköy, 34722 İstanbul (Turkey); Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr [Institute of Materials Science and Nanotechnology, Bilkent University, Bilkent, 06800 Ankara, Turkey and National Nanotechnology Research Center (UNAM), Bilkent University, Bilkent, 06800 Ankara (Turkey)

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  19. Ru nanostructure fabrication using an anodic aluminum oxide nanotemplate and highly conformal Ru atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Woo-Hee; Park, Sang-Joon; Son, Jong-Yeog; Kim, Hyungjun [Department of Material Science and Engineering, POSTECH Pohang University of Science and Technology, San 31, Hyoja-Dong, Nam-Gu, Pohang 790-784 (Korea, Republic of)

    2008-01-30

    We fabricated metallic nanostructures directly on Si substrates through a hybrid nanoprocess combining atomic layer deposition (ALD) and a self-assembled anodic aluminum oxide (AAO) nanotemplate. ALD Ru films with Ru(DMPD)(EtCp) as a precursor and O{sub 2} as a reactant exhibited high purity and low resistivity with negligible nucleation delay and low roughness. These good growth characteristics resulted in the excellent conformality for nanometer-scale vias and trenches. Additionally, AAO nanotemplates were fabricated directly on Si and Ti/Si substrates through a multiple anodization process. AAO nanotemplates with various hole sizes (30-100 nm) and aspect ratios (2:1-20:1) were fabricated by controlling the anodizing process parameters. The barrier layers between AAO nanotemplates and Si substrates were completely removed by reactive ion etching (RIE) using BCl{sub 3} plasma. By combining the ALD Ru and the AAO nanotemplate, Ru nanostructures with controllable sizes and shapes were prepared on Si and Ti/Si substrates. The Ru nanowire array devices as a platform for sensor devices exhibited befitting properties of good ohmic contact and high surface/volume ratio.

  20. Epitaxial Growth of Two-Dimensional Layered Transition-Metal Dichalcogenides: Growth Mechanism, Controllability, and Scalability

    KAUST Repository

    Li, Henan

    2017-07-06

    Recently there have been many research breakthroughs in two-dimensional (2D) materials including graphene, boron nitride (h-BN), black phosphors (BPs), and transition-metal dichalcogenides (TMDCs). The unique electrical, optical, and thermal properties in 2D materials are associated with their strictly defined low dimensionalities. These materials provide a wide range of basic building blocks for next-generation electronics. The chemical vapor deposition (CVD) technique has shown great promise to generate high-quality TMDC layers with scalable size, controllable thickness, and excellent electronic properties suitable for both technological applications and fundamental sciences. The capability to precisely engineer 2D materials by chemical approaches has also given rise to fascinating new physics, which could lead to exciting new applications. In this Review, we introduce the latest development of TMDC synthesis by CVD approaches and provide further insight for the controllable and reliable synthesis of atomically thin TMDCs. Understanding of the vapor-phase growth mechanism of 2D TMDCs could benefit the formation of complicated heterostructures and novel artificial 2D lattices.

  1. Unusual stoichiometry control in the atomic layer deposition of manganese borate films from manganese bis(tris(pyrazolyl)borate) and ozone

    Energy Technology Data Exchange (ETDEWEB)

    Klesko, Joseph P.; Bellow, James A.; Saly, Mark J.; Winter, Charles H., E-mail: chw@chem.wayne.edu [Department of Chemistry, Wayne State University, Detroit, Michigan 48202 (United States); Julin, Jaakko; Sajavaara, Timo [Department of Physics, University of Jyväskylä, 40014 Jyväskylä (Finland)

    2016-09-15

    The atomic layer deposition (ALD) of films with the approximate compositions Mn{sub 3}(BO{sub 3}){sub 2} and CoB{sub 2}O{sub 4} is described using MnTp{sub 2} or CoTp{sub 2} [Tp = tris(pyrazolyl)borate] with ozone. The solid state decomposition temperatures of MnTp{sub 2} and CoTp{sub 2} are ∼370 and ∼340 °C, respectively. Preparative-scale sublimations of MnTp{sub 2} and CoTp{sub 2} at 210 °C/0.05 Torr afforded >99% recoveries with <0.1% nonvolatile residues. Self-limited ALD growth was demonstrated at 325 °C for MnTp{sub 2} or CoTp{sub 2} with ozone as the coreactant. The growth rate for the manganese borate process was 0.19 Å/cycle within the ALD window of 300–350 °C. The growth rate for the cobalt borate process was 0.39–0.42 Å/cycle at 325 °C. X-ray diffraction of the as-deposited films indicated that they were amorphous. Atomic force microscopy of 35–36 nm thick manganese borate films grown within the 300–350 °C ALD window showed root mean square surface roughnesses of 0.4–0.6 nm. Film stoichiometries were assessed by x-ray photoelectron spectroscopy and time of flight-elastic recoil detection analysis. The differing film stoichiometries obtained from the very similar precursors MnTp{sub 2} and CoTp{sub 2} are proposed to arise from the oxidizing ability of the intermediate high valent manganese oxide layers and lack thereof for cobalt.

  2. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  3. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  4. Atomic layer deposition of Ru thin film using N{sub 2}/H{sub 2} plasma as a reactant

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Tae Eun [Busan Center, Korea Basic Science Institute, 1275 Jisadong, Gangseogu, Busan, 618-230 (Korea, Republic of); Mun, Ki-Yeung; Choi, Sang-Kyung; Park, Ji-Yoon [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Cheon, Taehoon [Center for Core Research Facilities, Daegu Gyeongbuk Institute of Science and Technology, Sang-ri, Hyeonpung-myeon, Dalseong-gun, Daegu (Korea, Republic of); Kim, Woo Kyoung [School of Chemical Engineering, Yeungnam University, 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Lim, Byoung-Yong; Kim, Sunjung [School of Materials Science and Engineering, University of Ulsan, Mugeo-dong, Nam-go, Ulsan, 680-749 (Korea, Republic of)

    2012-07-31

    Ruthenium (Ru) thin films were grown by atomic layer deposition using IMBCHRu [({eta}6-1-Isopropyl-4-MethylBenzene)({eta}4-CycloHexa-1,3-diene)Ruthenium(0)] as a precursor and a nitrogen-hydrogen mixture (N{sub 2}/H{sub 2}) plasma as a reactant, at the substrate temperature of 270 Degree-Sign C. In the wide range of the ratios of N{sub 2} and total gas flow rates (fN{sub 2}/N{sub 2} + H{sub 2}) from 0.12 to 0.70, pure Ru films with negligible nitrogen incorporation of 0.5 at.% were obtained, with resistivities ranging from {approx} 20 to {approx} 30 {mu} Ohm-Sign cm. A growth rate of 0.057 nm/cycle and negligible incubation cycle for the growth on SiO{sub 2} was observed, indicating the fast nucleation of Ru. The Ru films formed polycrystalline and columnar grain structures with a hexagonal-close-packed phase. Its resistivity was dependent on the crystallinity, which could be controlled by varying the deposition parameters such as plasma power and pulsing time. Cu was electroplated on a 10-nm-thick Ru film. Interestingly, it was found that the nitrogen could be incorporated into Ru at a higher reactant gas ratio of 0.86. The N-incorporated Ru film ({approx} 20 at.% of N) formed a nanocrystalline and non-columnar grain structure with the resistivity of {approx} 340 {mu} Ohm-Sign cm. - Highlights: Black-Right-Pointing-Pointer Atomic layer deposition (ALD) of Ru and N-incorporated Ru film using N{sub 2}/H{sub 2} plasma. Black-Right-Pointing-Pointer The growth rate of 0.057 nm/cycle and negligible incubation cycle. Black-Right-Pointing-Pointer A low resistivity of Ru ({approx} 16.5 {mu} Ohm-Sign cm) at the deposition temperature of 270 Degree-Sign C. Black-Right-Pointing-Pointer Electroplating of Cu on a 10-nm-thick ALD-Ru film.

  5. Inference on carbon atom arrangement in the turbostatic graphene layers in Tikak coal (India) by X-ray pair distribution function analysis

    Energy Technology Data Exchange (ETDEWEB)

    Saikia, Binoy K. [Indian Oil Corporation Ltd., West Bengal (India)

    2010-07-01

    This paper communicates the distribution of carbon atoms in a single poly-cyclic aromatic (PCA) layer (graphene) in Tikak coal from Assam, India. The pair distribution function (PDF) analysis performed indicates no evidence of any graphite like structure in this coal. The aromatic fraction is observed to be 74%; with the aliphatic fraction correspondingly estimated to be 26% in this coal. The average carbon atom has 2.5 nearest carbon atom neighbours at an average bond distance of 1.50{angstrom}. The average stacking height of the parallel aromatic layers (Lc) and the average diameter of the aromatic layers (La) are estimated to be 9.86 {angstrom} and 4.80 {angstrom} respectively. For this coal, the average number of stacking layers and the average number of atoms per layer are estimated to be four and eight respectively. In addition, the gamma band is observed at a d-value of 4.34{angstrom}. The comparison of the atom-pair correlation function to simulated one-dimensional structure function calculated for a model compound benzene (C{sub 6}H{sub 6}) also indicates that C{sub 6} unit is the major components in this coal. The average carbon atom has at least one and one nearest aryl and alkyl C-C atom pairs separated by 1.39 and 1.54{angstrom} respectively.

  6. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    Energy Technology Data Exchange (ETDEWEB)

    Teys, S.A., E-mail: teys@isp.nsc.ru

    2017-01-15

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  7. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    International Nuclear Information System (INIS)

    Teys, S.A.

    2017-01-01

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  8. Highly effective synthesis of NiO/CNT nanohybrids by atomic layer deposition for high-rate and long-life supercapacitors.

    Science.gov (United States)

    Yu, Lei; Wang, Guilong; Wan, Gengping; Wang, Guizhen; Lin, Shiwei; Li, Xinyue; Wang, Kan; Bai, Zhiming; Xiang, Yang

    2016-09-21

    In this work, we report an atomic layer deposition (ALD) method for the fabrication of NiO/CNT hybrid structures in order to improve electronic conductivity, enhance cycling stability and increase rate capability of NiO used as supercapacitor electrodes. A uniform NiO coating can be well deposited on carbon nanotubes (CNTs) through simultaneously employing O3 and H2O as oxidizing agents in a single ALD cycle of NiO for the first time, with a high growth rate of nearly 0.3 Å per cycle. The electrochemical properties of the as-prepared NiO/CNT were then investigated. The results show that the electrochemical capacitive properties are strongly associated with the thickness of the NiO coating. The NiO/CNT composite materials with 200 cycles of NiO deposition exhibit the best electrochemical properties, involving high specific capacitance (622 F g(-1) at 2 A g(-1), 2013 F g(-1) for NiO), excellent rate capability (74% retained at 50 A g(-1)) and outstanding cycling stability. The impressive results presented here suggest a great potential for the fabrication of composite electrode materials by atomic layer deposition applied in high energy density storage systems.

  9. The fabrication of a double-layer atom chip with through silicon vias for an ultra-high-vacuum cell

    International Nuclear Information System (INIS)

    Chuang, Ho-Chiao; Lin, Yun-Siang; Lin, Yu-Hsin; Huang, Chi-Sheng

    2014-01-01

    This study presents a double-layer atom chip that provides users with increased diversity in the design of the wire patterns and flexibility in the design of the magnetic field. It is more convenient for use in atomic physics experiments. A negative photoresist, SU-8, was used as the insulating layer between the upper and bottom copper wires. The electrical measurement results show that the upper and bottom wires with a width of 100 µm can sustain a 6 A current without burnout. Another focus of this study is the double-layer atom chips integrated with the through silicon via (TSV) technique, and anodically bonded to a Pyrex glass cell, which makes it a desired vacuum chamber for atomic physics experiments. Thus, the bonded glass cell not only significantly reduces the overall size of the ultra-high-vacuum (UHV) chamber but also conducts the high current from the backside to the front side of the atom chip via the TSV under UHV (9.5 × 10 −10  Torr). The TSVs with a diameter of 70 µm were etched through by the inductively coupled plasma ion etching and filled by the bottom-up copper electroplating method. During the anodic bonding process, the electroplated copper wires and TSVs on atom chips also need to pass the examination of the required bonding temperature of 250 °C, under an applied voltage of 1000 V. Finally, the UHV test of the double-layer atom chips with TSVs at room temperature can be reached at 9.5 × 10 −10  Torr, thus satisfying the requirements of atomic physics experiments under an UHV environment. (paper)

  10. Influence of strain on the growth of thick InGaN layers

    International Nuclear Information System (INIS)

    Stellmach, J.; Leyer, M.; Pristovsek, M.; Kneissl, M.

    2008-01-01

    The growth of high quality InGaN alloys is critical for a number of various optoelectronic device applications like LEDs and laser diodes. Nevertheless, the exact growth mechanisms of InGaN with high indium content is still not fully understood. In the present study the growth of thick InGaN layers was systematically investigated. InGaN films with thicknesses between ∝35 nm and ∝200 nm were grown on GaN templates with metal-organic vapour phase epitaxy (MOVPE). The group III partial pressures of 1.1 Pa for TMGa, 0.45 Pa for TMIn and the V/III-ratio of 1600 were kept constant. The growth temperature was varied between 750 C and 800 C. The growth of InGaN layer was characterized by in-situ spectroscopic ellipsometry (SE). Up to temperatures of 790 C structural analysis by XRD showed two strained layers with different indium content. The formation of the layer structure was investigated by varying the growth times at 770 C. In the first 500 s (35 nm) a rough (rms=9 nm) and pseudomorphically strained InGaN layer with low indium content (4%) is formed. Between 500 s and 1000 s this strained layer becomes smoother (rms=3.4 nm). For thicknesses beyond the In content increases (8% at 84 nm) and reaches 11% at 200 nm. We propose that the transition from a first layer with a low indium content to a second layer with an higher indium content is due to a gradual release of strain

  11. Ti–Al–O nanocrystal charge trapping memory cells fabricated by atomic layer deposition

    International Nuclear Information System (INIS)

    Cao, Zheng-Yi; Li, Ai-Dong; Li, Xin; Cao, Yan-Qiang; Wu, Di

    2014-01-01

    Charge trapping memory cells using Ti–Al–O (TAO) film as charge trapping layer and amorphous Al 2 O 3 as the tunneling and blocking layers were fabricated on Si substrates by atomic layer deposition method. As-deposited TAO films were annealed at 700 °C, 800 °C and 900 °C for 3 min in N 2 with a rapid thermal annealing process to form nanocrystals. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructure and band diagram of the heterostructures. The electrical characteristics and charge storage properties of the Al 2 O 3 /TAO/Al 2 O 3 /Si stack structures were also evaluated. Compared to 700 °C and 900 °C samples, the memory cells annealed at 800 °C exhibit better memory performance with larger memory window of 4.8 V at ± 6 V sweeping, higher program/erase speed and excellent endurance. - Highlights: • The charge trapping memory cells were fabricated by atomic layer deposition method. • The anneal temperature plays a key role in forming nanocrystals. • The memory cells annealed at 800 °C exhibit better memory performance. • The band alignment is beneficial to enhance the retention characteristics

  12. Controllable growth of stable germanium dioxide ultra-thin layer by means of capacitively driven radio frequency discharge

    Energy Technology Data Exchange (ETDEWEB)

    Svarnas, P., E-mail: svarnas@ece.upatras.gr [High Voltage Laboratory, Department of Electrical and Computer Engineering, University of Patras, Rion 26 504, Patras (Greece); Botzakaki, M.A. [Department of Physics, University of Patras, Rion 26 504 (Greece); Skoulatakis, G.; Kennou, S.; Ladas, S. [Surface Science Laboratory, Department of Chemical Engineering, University of Patras, Rion 26 504 (Greece); Tsamis, C. [NCSR “Demokritos”, Institute of Advanced Materials, Physicochemical Processes, Nanotechnology & Microsystems, Aghia Paraskevi 15 310, Athens (Greece); Georga, S.N.; Krontiras, C.A. [Department of Physics, University of Patras, Rion 26 504 (Greece)

    2016-01-29

    It is well recognized that native oxide of germanium is hygroscopic and water soluble, while germanium dioxide is thermally unstable and it is converted to volatile germanium oxide at approximately 400 °C. Different techniques, implementing quite complicated plasma setups, gas mixtures and substrate heating, have been used in order to grow a stable germanium oxide. In the present work a traditional “RF diode” is used for germanium oxidation by cold plasma. Following growth, X-ray photoelectron spectroscopy demonstrates that traditional capacitively driven radio frequency discharges, using molecular oxygen as sole feedstock gas, provide the possibility of germanium dioxide layer growth in a fully reproducible and controllable manner. Post treatment ex-situ analyses on day-scale periods disclose the stability of germanium oxide at room ambient conditions, offering thus the ability to grow (ex-situ) ultra-thin high-k dielectrics on top of germanium oxide layers. Atomic force microscopy excludes any morphological modification in respect to the bare germanium surface. These results suggest a simple method for a controllable and stable germanium oxide growth, and contribute to the challenge to switch to high-k dielectrics as gate insulators for high-performance metal-oxide-semiconductor field-effect transistors and to exploit in large scale the superior properties of germanium as an alternative channel material in future technology nodes. - Highlights: • Simple one-frequency reactive ion etcher develops GeO{sub 2} thin layers controllably. • The layers remain chemically stable at ambient conditions over day-scale periods. • The layers are unaffected by the ex-situ deposition of high-k dielectrics onto them. • GeO{sub 2} oxidation and high-k deposition don't affect the Ge morphology significantly. • These conditions contribute to improved Ge-based MOS structure fabrication.

  13. Growth characteristics of (100)HgCdTe layers in low-temperature MOVPE with ditertiarybutyltelluride

    Science.gov (United States)

    Yasuda, K.; Hatano, H.; Ferid, T.; Minamide, M.; Maejima, T.; Kawamoto, K.

    1996-09-01

    Low-temperature growth of (100)HgCdTe (MCT) layers in MOVPE has been studied using ditertiarybutyltelluride (DtBTe), dimethylcadmium (DMCd), and elementary mercury as precursors. MCT layers were grown at 275°C on (100)GaAs substrates. Growths were carried out in a vertical growth cell which has a narrow spacing between the substrate and cell ceiling. Using the growth cell, the Cd-composition ( x) of MCT layers was controlled over a wide range from 0 to 0.98 by the DMCd flow. The growth rate of the MCT layers was constant at 5 μm h -1 for the increased DMCd flow. Preferential Cd-incorporation into MCT layers and an increase of the growth rate were observed in the presence of mercury vapor. The growth characteristics were considered to be due to the alkyl-exchange reaction between DMCd and mercury. The electrical properties and crystallinity of grown layers were also evaluated, which showed that layers with high quality can be grown at 275°C.

  14. Effects of Substrate and Post-Growth Treatments on the Microstructure and Properties of ZnO Thin Films Prepared by Atomic Layer Deposition

    Science.gov (United States)

    Haseman, Micah; Saadatkia, P.; Winarski, D. J.; Selim, F. A.; Leedy, K. D.; Tetlak, S.; Look, D. C.; Anwand, W.; Wagner, A.

    2016-12-01

    Aluminum-doped zinc oxide (ZnO:Al) thin films were synthesized by atomic layer deposition on silicon, quartz and sapphire substrates and characterized by x-ray diffraction (XRD), high-resolution scanning electron microscopy, optical spectroscopy, conductivity mapping, Hall effect measurements and positron annihilation spectroscopy. XRD showed that the as-grown films are of single-phase ZnO wurtzite structure and do not contain any secondary or impurity phases. The type of substrate was found to affect the orientation and degree of crystallinity of the films but had no effect on the defect structure or the transport properties of the films. High conductivity of 10-3 Ω cm, electron mobility of 20 cm2/Vs and carrier density of 1020 cm-3 were measured in most films. Thermal treatments in various atmospheres induced a large effect on the thickness, structure and electrical properties of the films. Annealing in a Zn and nitrogen environment at 400°C for 1 h led to a 16% increase in the thickness of the film; this indicates that Zn extracts oxygen atoms from the matrix and forms new layers of ZnO. On the other hand, annealing in a hydrogen atmosphere led to the emergence of an Al2O3 peak in the XRD pattern, which implies that hydrogen and Al atoms compete to occupy Zn sites in the ZnO lattice. Only ambient air annealing had an effect on film defect density and electrical properties, generating reductions in conductivity and electron mobility. Depth-resolved measurements of positron annihilation spectroscopy revealed short positron diffusion lengths and high concentrations of defects in all as-grown films. However, these defects did not diminish the electrical conductivity in the films.

  15. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    Science.gov (United States)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  16. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng

    2018-05-11

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  17. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng; Wang, Zhenwei; Firdaus, Yuliar; Babics, Maxime; Alshareef, Husam N.; Beaujuge, Pierre

    2018-01-01

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  18. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO3)n films by means of metalorganic aerosol deposition

    International Nuclear Information System (INIS)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V.; Egoavil, R.; Tan, H.; Verbeeck, J.; Van Tendeloo, G.

    2014-01-01

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO 3 ) n (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO 3 (001) substrates by means of a sequential deposition of Sr-O/Ti-O 2 atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidly decreases and saturates after 5–6 repetitions of the SrO(SrTiO 3 ) 4 block at the level of 2.4%. This identifies the SrTiO 3 substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy

  19. Textured strontium titanate layers on platinum by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Blomberg, T., E-mail: tom.blomberg@asm.com [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Anttila, J.; Haukka, S.; Tuominen, M. [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Lukosius, M.; Wenger, Ch. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Saukkonen, T. [Aalto University, Puumiehenkuja 3, 02150 Espoo (Finland)

    2012-08-31

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2-1 {mu}m) and low X-ray reflectivity roughness ({approx} 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu{sub 3}Cp){sub 2}, Ti(OMe){sub 4} and O{sub 3} precursors at 250 Degree-Sign C were used to deposit Sr rich STO on Pt/Ti/SiO{sub 2}/Si Empty-Set 200 mm substrates. After crystallization post deposition annealing at 600 Degree-Sign C in air, most of the STO grains showed a preferential orientation of the {l_brace}001{r_brace} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {l_brace}111{r_brace} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O{sub 3}) shows a promising path towards the formation of single oriented STO film. - Highlights: Black-Right-Pointing-Pointer Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. Black-Right-Pointing-Pointer Single crystal domains in 60 nm STO film were 0.2-1 {mu}m wide. Black-Right-Pointing-Pointer Most STO grains were {l_brace}001{r_brace} oriented.

  20. Interface control of atomic layer deposited oxide coatings by filtered cathodic arc deposited sublayers for improved corrosion protection

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, Emma, E-mail: emma.harkonen@helsinki.fi [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland); Tervakangas, Sanna; Kolehmainen, Jukka [DIARC-Technology Inc., Espoo (Finland); Díaz, Belén; Światowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe [Laboratoire de Physico-Chimie des Surfaces, CNRS (UMR 7075) – Chimie ParisTech (ENSCP), F-75005 Paris (France); Fenker, Martin [FEM Research Institute, Precious Metals and Metals Chemistry, D-73525 Schwäbisch Gmünd (Germany); Tóth, Lajos; Radnóczi, György [Research Centre for Natural Sciences HAS, (MTA TKK), Budapest (Hungary); Ritala, Mikko [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland)

    2014-10-15

    Sublayers grown with filtered cathodic arc deposition (FCAD) were added under atomic layer deposited (ALD) oxide coatings for interface control and improved corrosion protection of low alloy steel. The FCAD sublayer was either Ta:O or Cr:O–Ta:O nanolaminate, and the ALD layer was Al{sub 2}O{sub 3}–Ta{sub 2}O{sub 5} nanolaminate, Al{sub x}Ta{sub y}O{sub z} mixture or graded mixture. The total thicknesses of the FCAD/ALD duplex coatings were between 65 and 120 nm. Thorough analysis of the coatings was conducted to gain insight into the influence of the FCAD sublayer on the overall coating performance. Similar characteristics as with single FCAD and ALD coatings on steel were found in the morphology and composition of the duplex coatings. However, the FCAD process allowed better control of the interface with the steel by reducing the native oxide and preventing its regrowth during the initial stages of the ALD process. Residual hydrocarbon impurities were buried in the interface between the FCAD layer and steel. This enabled growth of ALD layers with improved electrochemical sealing properties, inhibiting the development of localized corrosion by pitting during immersion in acidic NaCl and enhancing durability in neutral salt spray testing. - Highlights: • Corrosion protection properties of ALD coatings were improved by FCAD sublayers. • The FCAD sublayer enabled control of the coating-substrate interface. • The duplex coatings offered improved sealing properties and durability in NSS. • The protective properties were maintained during immersion in a corrosive solution. • The improvements were due to a more ideal ALD growth on the homogeneous FCAD oxide.

  1. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low T{sub e} plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Jagtiani, Ashish V.; Miyazoe, Hiroyuki; Chang, Josephine; Farmer, Damon B.; Engel, Michael; Neumayer, Deborah; Han, Shu-Jen; Engelmann, Sebastian U., E-mail: suengelm@us.ibm.com; Joseph, Eric A. [IBM, T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Boris, David R.; Hernández, Sandra C.; Walton, Scott G. [Plasma Physics Division, Naval Research Laboratory, Washington, DC 20375 (United States); Lock, Evgeniya H. [Materials Science and Technology Division, Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-01-15

    The ability to achieve atomic layer precision is the utmost goal in the implementation of atomic layer etch technology. Carbon-based materials such as carbon nanotubes (CNTs) and graphene are single atomic layers of carbon with unique properties and, as such, represent the ultimate candidates to study the ability to process with atomic layer precision and assess impact of plasma damage to atomic layer materials. In this work, the authors use these materials to evaluate the atomic layer processing capabilities of electron beam generated plasmas. First, the authors evaluate damage to semiconducting CNTs when exposed to beam-generated plasmas and compare these results against the results using typical plasma used in semiconductor processing. The authors find that the beam generated plasma resulted in significantly lower current degradation in comparison to typical plasmas. Next, the authors evaluated the use of electron beam generated plasmas to process graphene-based devices by functionalizing graphene with fluorine, nitrogen, or oxygen to facilitate atomic layer deposition (ALD). The authors found that all adsorbed species resulted in successful ALD with varying impact on the transconductance of the graphene. Furthermore, the authors compare the ability of both beam generated plasma as well as a conventional low ion energy inductively coupled plasma (ICP) to remove silicon nitride (SiN) deposited on top of the graphene films. Our results indicate that, while both systems can remove SiN, an increase in the D/G ratio from 0.08 for unprocessed graphene to 0.22 to 0.26 for the beam generated plasma, while the ICP yielded values from 0.52 to 1.78. Generally, while some plasma-induced damage was seen for both plasma sources, a much wider process window as well as far less damage to CNTs and graphene was observed when using electron beam generated plasmas.

  2. Enhanced Performance of Nanowire-Based All-TiO2 Solar Cells using Subnanometer-Thick Atomic Layer Deposited ZnO Embedded Layer

    International Nuclear Information System (INIS)

    Ghobadi, Amir; Yavuz, Halil I.; Ulusoy, T. Gamze; Icli, K. Cagatay; Ozenbas, Macit; Okyay, Ali K.

    2015-01-01

    In this paper, the effect of angstrom-thick atomic layer deposited (ALD) ZnO embedded layer on photovoltaic (PV) performance of Nanowire-Based All-TiO 2 solar cells has been systematically investigated. Our results indicate that by varying the thickness of ZnO layer the efficiency of the solar cell can be significantly changed. It is shown that the efficiency has its maximum for optimal thickness of 1 ALD cycle in which this ultrathin ZnO layer improves device performance through passivation of surface traps without hampering injection efficiency of photogenerated electrons. The mechanisms contributing to this unprecedented change in PV performance of the cell have been scrutinized and discussed

  3. Tuning the mechanical properties of vertical graphene sheets through atomic layer deposition

    International Nuclear Information System (INIS)

    Davami, Keivan; Jiang, Yijie; Cortes, John; Lin, Chen; Turner, Kevin T; Bargatin, Igor; Shaygan, Mehrdad

    2016-01-01

    We report the fabrication and characterization of graphene nanostructures with mechanical properties that are tuned by conformal deposition of alumina. Vertical graphene (VG) sheets, also called carbon nanowalls (CNWs), were grown on copper foil substrates using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique and conformally coated with different thicknesses of alumina (Al_2O_3) using atomic layer deposition (ALD). Nanoindentation was used to characterize the mechanical properties of pristine and alumina-coated VG sheets. Results show a significant increase in the effective Young’s modulus of the VG sheets with increasing thickness of deposited alumina. Deposition of only a 5 nm thick alumina layer on the VG sheets nearly triples the effective Young’s modulus of the VG structures. Both energy absorption and strain recovery were lower in VG sheets coated with alumina than in pure VG sheets (for the same peak force). This may be attributed to the increase in bending stiffness of the VG sheets and the creation of connections between the sheets after ALD deposition. These results demonstrate that the mechanical properties of VG sheets can be tuned over a wide range through conformal atomic layer deposition, facilitating the use of VG sheets in applications where specific mechanical properties are needed. (paper)

  4. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  5. Atomic layer deposition of boron-containing films using B{sub 2}F{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mane, Anil U., E-mail: amane@anl.gov; Elam, Jeffrey W. [Argonne National Laboratory, Argonne, Illinois 60126 (United States); Goldberg, Alexander; Halls, Mathew D. [Schrödinger, Inc., San Diego, California 92122 (United States); Seidel, Thomas E. [Seitek50, Palm Coast, Florida 32135 (United States); Current, Michael I. [Current Scientific, San Jose, California 95124 (United States); Despres, Joseph; Byl, Oleg; Tang, Ying; Sweeney, Joseph [Entegris, Danbury, Connecticut 06810 (United States)

    2016-01-15

    Ultrathin and conformal boron-containing atomic layer deposition (ALD) films could be used as a shallow dopant source for advanced transistor structures in microelectronics manufacturing. With this application in mind, diboron tetrafluoride (B{sub 2}F{sub 4}) was explored as an ALD precursor for the deposition of boron containing films. Density functional theory simulations for nucleation on silicon (100) surfaces indicated better reactivity of B{sub 2}F{sub 4} in comparison to BF{sub 3}. Quartz crystal microbalance experiments exhibited growth using either B{sub 2}F{sub 4}-H{sub 2}O for B{sub 2}O{sub 3} ALD, or B{sub 2}F{sub 4}-disilane (Si{sub 2}H{sub 6}) for B ALD, but in both cases, the initial growth per cycle was quite low (≤0.2 Å/cycle) and decreased to near zero growth after 8–30 ALD cycles. However, alternating between B{sub 2}F{sub 4}-H{sub 2}O and trimethyl aluminum (TMA)-H{sub 2}O ALD cycles resulted in sustained growth at ∼0.65 Å/cycle, suggesting that the dense –OH surface termination produced by the TMA-H{sub 2}O combination enhances the uptake of B{sub 2}F{sub 4} precursor. The resultant boron containing films were analyzed for composition by x-ray photoelectron spectroscopy, and capacitance measurements indicated an insulating characteristic. Finally, diffused boron profiles less than 100 Å were obtained after rapid thermal anneal of the boron containing ALD film.

  6. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  7. Studies of void growth in a thin ductile layer between ceramics

    DEFF Research Database (Denmark)

    Tvergaard, Viggo

    1997-01-01

    The growth of voids in a thin ductile layer between ceramics is analysed numerically, using an axisymmetric cell model to represent an array of uniformly distributed spherical voids at the central plane of the layer. The purpose is to determine the full traction-separation law relevant to crack...... growth by a ductile mechanism along the thin layer. Plastic flow in the layer is highly constrained by the ceramics, so that a high. level of triaxial tension develops, leading in some cases to cavitation instabilities. The computations are continued to a state near the occurrence of void coalescence....

  8. Growth of intermetallics between Sn/Ni/Cu, Sn/Ag/Cu and Sn/Cu layered structures

    International Nuclear Information System (INIS)

    Horváth, Barbara; Illés, Balázs; Shinohara, Tadashi

    2014-01-01

    Intermetallic growth mechanisms and rates are investigated in Sn/Ni/Cu, Sn/Ag/Cu and Sn/Cu layer systems. An 8–10 μm thick Sn surface finish layer was electroplated onto a Cu substrate with a 1.5–2 μm thick Ni or Ag barrier layer. In order to induce intermetallic layer growth, the samples were aged in elevated temperatures: 50 °C and 125 °C. Intermetallic layer growth was checked by focused ion beam–scanning ion microscope. The microstructures and chemical compositions of the intermetallic layers were observed with a transmission electron microscope. It has been found that Ni barrier layers can effectively block the development of Cu 6 Sn 5 intermetallics. The intermetallic growth characteristics in the Sn/Cu and Sn/Ni/Cu systems are very similar. The intermetallic layer grows towards the Sn layer and forms a discrete layer. Differences were observed only in the growth gradients and surface roughness of the intermetallic layer which may explain the different tin whiskering properties. It was observed that the intermetallic layer growth mechanisms are completely different in the Ag barrier layers compared to the Ni layers. In the case of Sn/Ag/Cu systems, the Sn and Cu diffused through the Ag layer, formed Cu 6 Sn 5 intermetallics mainly at the Sn/Ag interface and consumed the Ag barrier layer. - Highlights: • Intermetallic growth was characterised in Sn/Ni/Cu, Sn/Ag/Cu and Sn/Cu layer systems. • Intermetallic growth rates and roughness are similar in the Sn/Cu and Sn/Ni/Cu systems. • Sn/Ni/Cu system contains the following intermetallic layer structure Sn–Ni3Sn4–Ni3Sn2–Ni3Sn–Ni. • In the case of Sn/Ag/Cu systems the Sn and Cu diffusion consumes the Ag barrier layer. • When Cu reaches the Sn/Ag interface a large amount of Cu 6 Sn 5 forms above the Ag layer

  9. From Single Atoms to Nanoparticles : Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder

    NARCIS (Netherlands)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A.I.; Kovalgin, Alexey Y.; Kooyman, Patricia; Kreutzer, Michiel T.; van Ommen, Jan Rudolf

    2018-01-01

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O2 as the coreactant

  10. Atomic layer deposition of two dimensional MoS{sub 2} on 150 mm substrates

    Energy Technology Data Exchange (ETDEWEB)

    Valdivia, Arturo; Conley, John F., E-mail: jconley@eecs.oregonstate.edu [School of EECS, Oregon State University, Corvallis, Oregon 97331 (United States); Tweet, Douglas J. [Sharp Labs of America, Camas, Washington 98607 (United States)

    2016-03-15

    Low temperature atomic layer deposition (ALD) of monolayer to few layer MoS{sub 2} uniformly across 150 mm diameter SiO{sub 2}/Si and quartz substrates is demonstrated. Purge separated cycles of MoCl{sub 5} and H{sub 2}S precursors are used at reactor temperatures of up to 475 °C. Raman scattering studies show clearly the in-plane (E{sup 1}{sub 2g}) and out-of-plane (A{sub 1g}) modes of MoS{sub 2}. The separation of the E{sup 1}{sub 2g} and A{sub 1g} peaks is a function of the number of ALD cycles, shifting closer together with fewer layers. X-ray photoelectron spectroscopy indicates that stoichiometry is improved by postdeposition annealing in a sulfur ambient. High resolution transmission electron microscopy confirms the atomic spacing of monolayer MoS{sub 2} thin films.

  11. Chemical reaction of atomic oxygen with evaporated films of copper, part 4

    Science.gov (United States)

    Fromhold, A. T.; Williams, J. R.

    1990-01-01

    Evaporated copper films were exposed to an atomic oxygen flux of 1.4 x 10(exp 17) atoms/sq cm per sec at temperatures in the range 285 to 375 F (140 to 191 C) for time intervals between 2 and 50 minutes. Rutherford backscattering spectroscopy (RBS) was used to determine the thickness of the oxide layers formed and the ratio of the number of copper to oxygen atoms in the layers. Oxide film thicknesses ranged from 50 to 3000 A (0.005 to 0.3 microns, or equivalently, 5 x 10(exp -9) to 3 x 10(exp -7); it was determined that the primary oxide phase was Cu2O. The growth law was found to be parabolic (L(t) varies as t(exp 1/2)), in which the oxide thickness L(t) increases as the square root of the exposure time t. The analysis of the data is consistent with either of the two parabolic growth laws. (The thin-film parabolic growth law is based on the assumption that the process is diffusion controlled, with the space charge within the growing oxide layer being negligible. The thick-film parabolic growth law is also based on a diffusion controlled process, but space-charge neutrality prevails locally within very thick oxides.) In the absence of a voltage measurement across the growing oxide, a distinction between the two mechanisms cannot be made, nor can growth by the diffusion of neutral atomic oxygen be entirely ruled out. The activation energy for the reaction is on the order of 1.1 eV (1.76 x 10(exp -19) joule, or equivalently, 25.3 kcal/mole).

  12. Effect of H, O intentionally doping on photoelectric properties in MOVPE-growth GaN layers

    KAUST Repository

    Ohkawa, Kazuhiro

    2017-10-24

    GaN crystal growth requires higher purity of materials. Some contaminants in NH3 gas could be the causal factor of defects in GaN crystals. These atoms act as donor or acceptor. In order to clearly demonstrate the effect of gaseous impurities such as H2O on the properties of undoped-GaN layer, high purity NH3 (N70) was used as NH3 source. The concentration of H2O in NH3 was varied at 32, 49, 75, 142, 266, 489, and 899 ppb, respectively. Under the same recipe, we deposited undoped-GaN epitaxial layer with purifier, and H2O-doped GaN series layers. As similar to the results of CO and CO2-doped GaN series, the increase tendency of carrier density changing with increasing H2O concentration. The FWHMs of XRC around (0002) remain stable, witnessing that the crystal quality of GaN layer remain good. LT (15K) PL of undoped-GaN and H2O-doped GaN were measured, the D0X emission peak intensity of all H2O-doped GaN are decreased drastically compared with undoped-GaN. H2O impurity was doped into GaN layer, which not only effects electrical properties and but also effects the radiative emission and furthermore effects PL intensity, its mechanism is discussed.

  13. Alkali-resistant low-temperature atomic-layer-deposited oxides for optical fiber sensor overlays

    Science.gov (United States)

    Kosiel, K.; Dominik, M.; Ściślewska, I.; Kalisz, M.; Guziewicz, M.; Gołaszewska, K.; Niedziółka-Jonsson, J.; Bock, W. J.; Śmietana, M.

    2018-04-01

    This paper presents an investigation of properties of selected metallic oxides deposited at a low temperature (100 °C) by atomic layer deposition (ALD) technique, relating to their applicability as thin overlays for optical fiber sensors resistant in alkaline environments. Hafnium oxide (Hf x O y with y/x approx. 2.70), tantalum oxide (Ta x O y with y/x approx. 2.75) and zirconium oxide (Zr x O y with y/x approx. 2.07), which deposition was based, respectively, on tetrakis(ethylmethyl)hafnium, tantalum pentachloride and tetrakis(ethylmethyl)zirconium with deionized water, were tested as thin layers on planar Si (100) and glass substrates. Growth per cycle (GPC) in the ALD processes was 0.133-0.150 nm/cycle. Run-to-run GPC reproducibility of the ALD processes was best for Hf x O y (0.145 ± 0.001 nm/cycle) and the poorest for Ta x O y (0.133 ± 0.003 nm/cycle). Refractive indices n of the layers were 2.00-2.10 (at the wavelength λ = 632 nm), with negligible k value (at λ for 240-930 nm). The oxides examined by x-ray diffractometry proved to be amorphous, with only small addition of crystalline phases for the Zr x O y . The surfaces of the oxides had grainy but smooth topographies with root-mean square roughness ˜0.5 nm (at 10 × 10 μm2 area) according to atomic force microscopy. Ellipsometric measurements, by contrast, suggest rougher surfaces for the Zr x O y layers. The surfaces were also slightly rougher on the glass-based samples than on the Si-based ones. Nanohardness and Young modules were 4.90-8.64 GPa and 83.7-104.4 GPa, respectively. The tests of scratch resistance revealed better tribological properties for the Hf x O y and the Ta x O y than for the Zr x O y . The surfaces were hydrophilic, with wetting angles of 52.5°-62.9°. The planar oxides on Si, being resistive even to concentrated alkali (pH 14), proved to be significantly more alkali-resistive than Al2O3. The Ta x O y overlay was deposited on long-period grating sensor induced in optical

  14. Low temperature formation of higher-k cubic phase HfO2 by atomic layer deposition on GeOx/Ge structures fabricated by in-situ thermal oxidation

    International Nuclear Information System (INIS)

    Zhang, R.; Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S.

    2016-01-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO 2 using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO x interfacial layer. It is found that the cubic phase is dominant in the HfO 2 film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO 2 film on a 1-nm-thick GeO x form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO 2 can be induced by the formation of six-fold crystalline GeO x structures in the underlying GeO x interfacial layer

  15. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  16. Phase time delay and Hartman effect in a one-dimensional photonic crystal with four-level atomic defect layer

    Science.gov (United States)

    Jamil, Rabia; Ali, Abu Bakar; Abbas, Muqaddar; Badshah, Fazal; Qamar, Sajid

    2017-08-01

    The Hartman effect is revisited using a Gaussian beam incident on a one-dimensional photonic crystal (1DPC) having a defect layer doped with four-level atoms. It is considered that each atom of the defect layer interacts with three driving fields, whereas a Gaussian beam of width w is used as a probe light to study Hartman effect. The atom-field interaction inside the defect layer exhibits electromagnetically induced transparency (EIT). The 1DPC acts as positive index material (PIM) and negative index material (NIM) corresponding to the normal and anomalous dispersion of the defect layer, respectively, via control of the phase associated with the driving fields and probe detuning. The positive and negative Hartman effects are noticed for PIM and NIM, respectively, via control of the relative phase corresponding to the driving fields and probe detuning. The advantage of using four-level EIT system is that a much smaller absorption of the transmitted beam occurs as compared to three-level EIT system corresponding to the anomalous dispersion, leading to negative Hartman effect.

  17. Atomic emission spectroscopic investigations for determining depth profiles at boride layers on iron materials

    International Nuclear Information System (INIS)

    Danzer, K.; Marx, G.

    1980-01-01

    A combination of atomic emission spectroscopic surface analysis and mechanical removement of defined surface areas in layers by grinding yields information about the depth distribution of boron in iron. In addition, the evaluation with the aid of the two-dimensional variance analysis leads to statements on the homogeneous distribution within individual layers at different depth. The results obtained in this way are in agreement with those of other methods

  18. Atomically Thin Al2O3 Films for Tunnel Junctions

    Science.gov (United States)

    Wilt, Jamie; Gong, Youpin; Gong, Ming; Su, Feifan; Xu, Huikai; Sakidja, Ridwan; Elliot, Alan; Lu, Rongtao; Zhao, Shiping; Han, Siyuan; Wu, Judy Z.

    2017-06-01

    Metal-insulator-metal tunnel junctions are common throughout the microelectronics industry. The industry standard AlOx tunnel barrier, formed through oxygen diffusion into an Al wetting layer, is plagued by internal defects and pinholes which prevent the realization of atomically thin barriers demanded for enhanced quantum coherence. In this work, we employ in situ scanning tunneling spectroscopy along with molecular-dynamics simulations to understand and control the growth of atomically thin Al2O3 tunnel barriers using atomic-layer deposition. We find that a carefully tuned initial H2O pulse hydroxylated the Al surface and enabled the creation of an atomically thin Al2O3 tunnel barrier with a high-quality M -I interface and a significantly enhanced barrier height compared to thermal AlOx . These properties, corroborated by fabricated Josephson junctions, show that atomic-layer deposition Al2O3 is a dense, leak-free tunnel barrier with a low defect density which can be a key component for the next generation of metal-insulator-metal tunnel junctions.

  19. Layered growth of aligned carbon nanotube arrays by pyrolysis

    International Nuclear Information System (INIS)

    Zhang Hongrui; Liang Erjun; Ding Pei; Chao Mingju

    2003-01-01

    Based on the study of reaction temperature and duration of the growth of aligned carbon nanotube arrays, layered aligned multi-wall carbon nanotube (MWNT) films grown directly around a reaction quartz tube in an Ar/H 2 atmosphere by pyrolysis of ferrocene in xylene in a suitable reaction furnace with the help of cobalt powder. The scanning electron microscope and transmission electron microscope images indicated that the obtained arrays were composed of many separated layers with MWNTs. The reaction temperature significantly influenced the alignment of the MWNTs, and an appropriate reaction temperature range for growth was 800-900 deg. C. The diameter of the carbon nanotube increased from 46 to 75 nm with the growth temperature. Besides temperature, the reaction duration influenced the length of the well-aligned carbon nanotubes. There was no significant relation between the growth time and the diameter of the carbon nanotubes in the array

  20. Graphene crystal growth by thermal precipitation of focused ion beam induced deposition of carbon precursor via patterned-iron thin layers

    Directory of Open Access Journals (Sweden)

    Rius Gemma

    2014-01-01

    Full Text Available Recently, relevant advances on graphene as a building block of integrated circuits (ICs have been demonstrated. Graphene growth and device fabrication related processing has been steadily and intensively powered due to commercial interest; however, there are many challenges associated with the incorporation of graphene into commercial applications which includes challenges associated with the synthesis of this material. Specifically, the controlled deposition of single layer large single crystal graphene on arbitrary supports, is particularly challenging. Previously, we have reported the first demonstration of the transformation of focused ion beam induced deposition of carbon (FIBID-C into patterned graphitic layers by metal-assisted thermal treatment (Ni foils. In this present work, we continue exploiting the FIBID-C approach as a route for graphene deposition. Here, thin patterned Fe layers are used for the catalysis of graphenization and graphitization. We demonstrate the formation of high quality single and few layer graphene, which evidences, the possibility of using Fe as a catalyst for graphene deposition. The mechanism is understood as the minute precipitation of atomic carbon after supersaturation of some iron carbides formed under a high temperature treatment. As a consequence of the complete wetting of FIBID-C and patterned Fe layers, which enable graphene growth, the as-deposited patterns do not preserve their original shape after the thermal treatment

  1. Observation of anomalous Stokes versus anti-Stokes ratio in MoTe2 atomic layers

    Science.gov (United States)

    Goldstein, Thomas; Chen, Shao-Yu; Xiao, Di; Ramasubramaniam, Ashwin; Yan, Jun

    We grow hexagonal molybdenum ditelluride (MoTe2), a prototypical transition metal dichalcogenide (TMDC) semiconductor, with chemical vapor transport methods and investigate its atomic layers with Stokes and anti-Stokes Raman scattering. We report observation of all six types of zone center optical phonons. Quite remarkably, the anti-Stokes Raman intensity of the low energy layer-breathing mode becomes more intense than the Stokes peak under certain experimental conditions, creating an illusion of 'negative temperature'. This effect is tunable, and can be switched from anti-Stokes enhancement to suppression by varying the excitation wavelength. We interpret this observation to be a result of resonance effects arising from the C excitons in the vicinity of the Brillouin zone center, which are robust even for multiple layers of MoTe2. The intense anti-Stokes Raman scattering provides a cooling channel for the crystal and opens up opportunities for laser cooling of atomically thin TMDC semiconductor devices. Supported by the University of Massachusetts Amherst, the National Science Foundation Center for Hierarchical Manufacturing (CMMI-1025020) and Office of Emerging Frontiers in Research and Innovation (EFRI-1433496).

  2. TiO2 nanosheets synthesized by atomic layer deposition for photocatalysis

    Directory of Open Access Journals (Sweden)

    Riyanto Edy

    2016-10-01

    Full Text Available Two-dimensional TiO2 nanosheets were synthesized by atomic layer deposition (ALD on dissolvable sacrificial polymer layer. The photocatalytic performance of free-standing TiO2 nanosheets prepared with different numbers of ALD cycles (100, 300, 500, and 1000 were investigated by evaluating the degradation rates of methyl orange solutions. It is shown that the photocatalytic activity increases due to Ti3+ defect and the locally ordered structures in amorphous TiO2 nanosheets. The difference in the surface areas of nanosheets may also play a crucial role in the photocatalytic activity. The results obtained in this work can have potential applications in fields like water splitting and dye-sensitized solar cells.

  3. All-gas-phase synthesis of UiO-66 through modulated atomic layer deposition

    Science.gov (United States)

    Lausund, Kristian Blindheim; Nilsen, Ola

    2016-11-01

    Thin films of stable metal-organic frameworks (MOFs) such as UiO-66 have enormous application potential, for instance in microelectronics. However, all-gas-phase deposition techniques are currently not available for such MOFs. We here report on thin-film deposition of the thermally and chemically stable UiO-66 in an all-gas-phase process by the aid of atomic layer deposition (ALD). Sequential reactions of ZrCl4 and 1,4-benzenedicarboxylic acid produce amorphous organic-inorganic hybrid films that are subsequently crystallized to the UiO-66 structure by treatment in acetic acid vapour. We also introduce a new approach to control the stoichiometry between metal clusters and organic linkers by modulation of the ALD growth with additional acetic acid pulses. An all-gas-phase synthesis technique for UiO-66 could enable implementations in microelectronics that are not compatible with solvothermal synthesis. Since this technique is ALD-based, it could also give enhanced thickness control and the possibility to coat irregular substrates with high aspect ratios.

  4. Multiphase layered oxide growth on pure metals. I. General formulation

    International Nuclear Information System (INIS)

    Fromhold, A.T. Jr.

    1982-01-01

    A general formulation for the simultaneous growth of any number of layered planar oxide phases on a pure metal under diffusion-controlled conditions has been developed. Four individual situations have been developed in detail, namely, situations in which the predominant mode of ion transport is by cation interstitials, cation vacancies, anion interstitials, or anion vacancies. The generalized formulation enables the determination of quasi-steady-state growth kinetics following step function changes in the experimental conditions such as ambient oxygen pressure or temperature. Numerical evaluation of the coupled growth equations for the individual phases is required to deduce the general predictions of the theory. In the limit of two-layer growth by cation interstitial diffusion, the present formulation reproduces the earlier results of Fromhold and Sato

  5. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  6. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  7. TiO2 coatings via atomic layer deposition on polyurethane and polydimethylsiloxane substrates: Properties and effects on C. albicans growth and inactivation process

    Science.gov (United States)

    Pessoa, R. S.; dos Santos, V. P.; Cardoso, S. B.; Doria, A. C. O. C.; Figueira, F. R.; Rodrigues, B. V. M.; Testoni, G. E.; Fraga, M. A.; Marciano, F. R.; Lobo, A. O.; Maciel, H. S.

    2017-11-01

    Atomic layer deposition (ALD) surges as an attractive technology to deposit thin films on different substrates for many advanced biomedical applications. Herein titanium dioxide (TiO2) thin films were successful obtained on polyurethane (PU) and polydimethylsiloxane (PDMS) substrates using ALD. The effect of TiO2 films on Candida albicans growth and inactivation process were also systematic discussed. TiCl4 and H2O were used as precursors at 80 °C, while the reaction cycle number ranged from 500 to 2000. Several chemical, physical and physicochemical techniques were used to evaluate the growth kinetics, elemental composition, material structure, chemical bonds, contact angle, work of adhesion and surface morphology of the ALD TiO2 thin films grown on both substrates. For microbiological analyses, yeasts of standard strains of C. albicans were grown on non- and TiO2-coated substrates. Next, the antifungal and photocatalytic activities of the TiO2 were also investigated by counting the colony-forming units (CFU) before and after UV-light treatment. Chlorine-doped amorphous TiO2 films with varied thicknesses and Cl concentration ranging from 2 to 12% were obtained. In sum, the ALD TiO2 films suppressed the yeast-hyphal transition of C. albicans onto PU, however, a high adhesion of yeasts was observed. Conversely, for PDMS substrate, the yeast adhesion did not change, as observed in control. Comparatively to control, the TiO2-covered PDMS had a reduction in CFU up to 59.5% after UV treatment, while no modification was observed to TiO2-covered PU. These results pointed out that ALD chlorine-doped amorphous TiO2 films grown on biomedical polymeric surfaces may act as fungistatic materials. Furthermore, in case of contamination, these materials may also behave as antifungal materials under UV light exposure.

  8. Direct observation of nanowire growth and decomposition

    DEFF Research Database (Denmark)

    Rackauskas, Simas; Shandakov, Sergey D; Jiang, Hua

    2017-01-01

    knowledge, so far this has been only postulated, but never observed at the atomic level. By means of in situ environmental transmission electron microscopy we monitored and examined the atomic layer transformation at the conditions of the crystal growth and its decomposition using CuO nanowires selected...

  9. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  10. Measurement of Young’s modulus and residual stress of atomic layer deposited Al2O3 and Pt thin films

    Science.gov (United States)

    Purkl, Fabian; Daus, Alwin; English, Timothy S.; Provine, J.; Feyh, Ando; Urban, Gerald; Kenny, Thomas W.

    2017-08-01

    The accurate measurement of mechanical properties of thin films is required for the design of reliable nano/micro-electromechanical devices but is increasingly challenging for thicknesses approaching a few nanometers. We apply a combination of resonant and static mechanical test structures to measure elastic constants and residual stresses of 8-27 nm thick Al2O3 and Pt layers which have been fabricated through atomic layer deposition. Young’s modulus of poly-crystalline Pt films was found to be reduced by less than 15% compared to the bulk value, whereas for amorphous Al2O3 it was reduced to about half of its bulk value. We observed no discernible dependence of the elastic constant on thickness or deposition method for Pt, but the use of plasma-enhanced atomic layer deposition was found to increase Young’s modulus of Al2O3 by 10% compared to a thermal atomic layer deposition. As deposited, the Al2O3 layers had an average tensile residual stress of 131 MPa. The stress was found to be higher for thinner layers and layers deposited without the help of a remote plasma. No residual stress values could be extracted for Pt due to insufficient adhesion of the film without an underlying layer to promote nucleation.

  11. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  12. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    Energy Technology Data Exchange (ETDEWEB)

    Bulusu, A.; Singh, A.; Kim, H. [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B. [School of Electrical and Computer Engineering, Georgia Institute of Technology, and Center for Organic Photonics and Electronics, Atlanta, Georgia 30332 (United States); Cullen, D. [Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States); Graham, S., E-mail: sgraham@gatech.edu [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States)

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al{sub 2}O{sub 3})/hafnium oxide (HfO{sub 2}) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN{sub x} layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.

  13. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan

    2017-01-18

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both the capacity and cycling stability of the Na ion battery improve. The thinnest SnO nanosheet anodes (two to six SnO monolayers) exhibited the best performance. Specifically, an initial discharge and charge capacity of 1072 and 848 mAh g-1 were observed, respectively, at 0.1 A g-1. In addition, an impressive reversible capacity of 665 mAh g-1 after 100 cycles at 0.1 A g-1 and 452 mAh g-1 after 1000 cycles at a high current density of 1.0 A g-1 was observed, with excellent rate performance. As the average number of atomic layers in the anode sheets increased, the battery performance degraded significantly. For example, for the anode sheets with 10-20 atomic layers, only a reversible capacity of 389 mAh g-1 could be obtained after 100 cycles at 0.1 A g-1. Density functional theory calculations coupled with experimental results were used to elucidate the sodiation mechanism of the SnO nanosheets. This systematic study of monolayer-dependent physical and electrochemical properties of 2D anodes shows a promising pathway to engineering and mitigating volume changes in 2D anode materials for sodium ion batteries. It also demonstrates that ultrathin SnO nanosheets are promising SIB anode materials with high specific capacity, stable cyclability, and excellent rate performance.

  14. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin; Liu, Jiabin; Li, Qianqian; Cheng, Yingchun; Dong, Cezhou; Zhou, Wu; Wang, Pengfei; Wang, Qingxiao; Yang, Yang; Zhu, Yihan; Zeng, Yuewu; Wang, Hongtao

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations

  15. Resistivity of atomic layer deposition grown ZnO: The influence of deposition temperature and post-annealing

    Energy Technology Data Exchange (ETDEWEB)

    Laube, J., E-mail: laube@imtek.de; Nübling, D.; Beh, H.; Gutsch, S.; Hiller, D.; Zacharias, M.

    2016-03-31

    Conductive zinc oxide (ZnO) films deposited by atomic layer deposition were studied as function of post-annealing treatments. Effusion experiments were conducted on ZnO films deposited at different temperatures. The influence of different annealing atmospheres on the resistivity of the films was investigated and compared to reference samples. It was found that the influence of the deposition temperature on the resistivity is much higher than that of subsequent annealings. This leads to the conclusion that reduction of the resistivity by diffusion of different gases, such as oxygen and hydrogen, into annealed ZnO films is unlikely. - Highlights: • Conformal growth of ZnO-ALD over a temperature range of 25 °C up to 300 °C. • Post-annealing in different atmospheres (H{sub 2}, O{sub 2}, vacuum) and temperatures. • Analysis of film-conductivity and effusion characteristic.

  16. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    , ultra-thin layer of encapsulating ZnS is coated on the surface of GaSb and GaSb/InAs substrates. The 2 nm-thick ZnS film is found to provide a long-term protection against reoxidation for one order and a half longer times than prior reported passivation likely due to its amorphous structure without pinholes. Finally, a combination of binary ALD processes is developed and demonstrated for the growth of yttria-stabilized zirconia films using alkylamido-cyclopentadiengyls zirconium and tris(isopropyl-cyclopentadienyl)yttrium, as zirconium and yttrium precursors, respectively, with ozone being the oxidant. The desired cubic structure of YSZ films is apparently achieved after post-deposition annealing. Further, platinum is atomic layer deposited as electrode on YSZ (8 mol% of Yttria) within the same system. In order to control the morphology of as-deposited Pt thin structure, the nucleation behavior of Pt on amorphous and cubic YSZ is investigated. Three different morphologies of Pt are observed, including nanoparticle, porous and dense films, which are found to depend on the ALD cycle number and the structure and morphology of they underlying ALD YSZ films.

  17. Atomic layer deposition of crystalline SrHfO3 directly on Ge (001) for high-k dielectric applications

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G.; Hu, Chengqing; Jiang, Aiting; Yu, Edward T.; Lu, Sirong; Smith, David J.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO 3 (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10 −5 A/cm 2 at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D it ) is estimated to be as low as ∼2 × 10 12  cm −2  eV −1 under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D it value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications

  18. Mechanisms of heterogeneous crystal growth in atomic systems: insights from computer simulations.

    Science.gov (United States)

    Gulam Razul, M S; Hendry, J G; Kusalik, P G

    2005-11-22

    In this paper we analyze the atomic-level structure of solid/liquid interfaces of Lennard-Jones fcc systems. The 001, 011, and 111 faces are examined during steady-state growth and melting of these crystals. The mechanisms of crystallization and melting are explored using averaged configurations generated during these steady-state runs, where subsequent tagging and labeling of particles at the interface provide many insights into the detailed atomic behavior at the freezing and melting interfaces. The interfaces are generally found to be rough and we observe the structure of freezing and melting interfaces to be very similar. Large structural fluctuations with solidlike and liquidlike characteristics are apparent in both the freezing and melting interfaces. The behavior at the interface observed under either growth or melting conditions reflects a competition between ordering and disordering processes. In addition, we observe atom hopping that imparts liquidlike characteristics to the solid side of the interfaces for all three crystal faces. Solid order is observed to extend as rough, three-dimensional protuberances through the interface, particularly for the 001 and 011 faces. We are also able to reconcile our different measures for the interfacial width and address the onset of asymmetry in the growth rates at high rates of crystal growth/melting.

  19. Atom-scale depth localization of biologically important chemical elements in molecular layers.

    Science.gov (United States)

    Schneck, Emanuel; Scoppola, Ernesto; Drnec, Jakub; Mocuta, Cristian; Felici, Roberto; Novikov, Dmitri; Fragneto, Giovanna; Daillant, Jean

    2016-08-23

    In nature, biomolecules are often organized as functional thin layers in interfacial architectures, the most prominent examples being biological membranes. Biomolecular layers play also important roles in context with biotechnological surfaces, for instance, when they are the result of adsorption processes. For the understanding of many biological or biotechnologically relevant phenomena, detailed structural insight into the involved biomolecular layers is required. Here, we use standing-wave X-ray fluorescence (SWXF) to localize chemical elements in solid-supported lipid and protein layers with near-Ångstrom precision. The technique complements traditional specular reflectometry experiments that merely yield the layers' global density profiles. While earlier work mostly focused on relatively heavy elements, typically metal ions, we show that it is also possible to determine the position of the comparatively light elements S and P, which are found in the most abundant classes of biomolecules and are therefore particularly important. With that, we overcome the need of artificial heavy atom labels, the main obstacle to a broader application of high-resolution SWXF in the fields of biology and soft matter. This work may thus constitute the basis for the label-free, element-specific structural investigation of complex biomolecular layers and biological surfaces.

  20. Surface preparation of gold nanostructures on glass by ultraviolet ozone and oxygen plasma for thermal atomic layer deposition of Al{sub 2}O{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Lancaster, Cady A., E-mail: lancaster@chem.utah.edu; Shumaker-Parry, Jennifer S., E-mail: shumaker-parry@chem.utah.edu

    2016-08-01

    Thin film deposition to create robust plasmonic nanomaterials is a growing area of research. Plasmonic nanomaterials have tunable optical properties and can be used as substrates for surface-enhanced spectroscopies. Due to the surface sensitivity and the dependence of the near-field behavior on structural details, degradation from cleaning or spectroscopic interrogation causes plasmonic nanostructures to lose distinctive localized surface plasmon resonances or exhibit diminished optical near-field enhancements over time. To decrease degradation, conformal thin films of alumina are deposited on nanostructured substrates using atomic layer deposition. While film growth on homogenous surfaces has been studied extensively, atomic layer deposition-based film growth on heterogeneous nanostructured surfaces is not well characterized. In this report, we have evaluated the impact of oxygen plasma and ultraviolet ozone pre-treatments on Au nanoparticle substrates for thin film growth by monitoring changes in plasmonic response and nanostructure morphology. We have found that ultraviolet ozone is more effective than oxygen plasma for cleaning gold nanostructured surfaces, which is in contrast to bulk films of the same material. Our results show that oxygen plasma treatment negatively impacts the nanostructure and alumina coating based on both scanning electron microscopy analysis of morphology and changes in the plasmonic response. - Highlights: • Plasmonic response indicates oxygen plasma damages Au structures and Al{sub 2}O{sub 3} films. • Ultraviolet ozone (UVO) re-activates aged Al{sub 2}O{sub 3}-coated Au nanostructures. • UVO treatments do not damage Au or Al{sub 2}O{sub 3}-coated nanostructures.

  1. Piezoelectricity of single-atomic-layer MoS2 for energy conversion and piezotronics.

    Science.gov (United States)

    Wu, Wenzhuo; Wang, Lei; Li, Yilei; Zhang, Fan; Lin, Long; Niu, Simiao; Chenet, Daniel; Zhang, Xian; Hao, Yufeng; Heinz, Tony F; Hone, James; Wang, Zhong Lin

    2014-10-23

    The piezoelectric characteristics of nanowires, thin films and bulk crystals have been closely studied for potential applications in sensors, transducers, energy conversion and electronics. With their high crystallinity and ability to withstand enormous strain, two-dimensional materials are of great interest as high-performance piezoelectric materials. Monolayer MoS2 is predicted to be strongly piezoelectric, an effect that disappears in the bulk owing to the opposite orientations of adjacent atomic layers. Here we report the first experimental study of the piezoelectric properties of two-dimensional MoS2 and show that cyclic stretching and releasing of thin MoS2 flakes with an odd number of atomic layers produces oscillating piezoelectric voltage and current outputs, whereas no output is observed for flakes with an even number of layers. A single monolayer flake strained by 0.53% generates a peak output of 15 mV and 20 pA, corresponding to a power density of 2 mW m(-2) and a 5.08% mechanical-to-electrical energy conversion efficiency. In agreement with theoretical predictions, the output increases with decreasing thickness and reverses sign when the strain direction is rotated by 90°. Transport measurements show a strong piezotronic effect in single-layer MoS2, but not in bilayer and bulk MoS2. The coupling between piezoelectricity and semiconducting properties in two-dimensional nanomaterials may enable the development of applications in powering nanodevices, adaptive bioprobes and tunable/stretchable electronics/optoelectronics.

  2. Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells

    NARCIS (Netherlands)

    Macco, B.; Vos, M.; Thissen, N.F.W.; Bol, A.A.; Kessels, W.M.M.

    2015-01-01

    The preparation of high-quality molybdenum oxide (MoOx) is demonstrated by plasma-enhanced atomic layer deposition (ALD) at substrate temperatures down to 50 °C. The films are amorphous, slightly substoichiometric with respect to MoO3, and free of other elements apart from hydrogen (&11 at%). The

  3. Layer-by-layer films from tartrazine dye with bovine serum albumin

    Science.gov (United States)

    de Souza, Nara C.; Flores, Júlio C. Johner; Silva, Josmary R.

    2009-12-01

    We report on the preparation and study of the adsorption process of layer-by-layer films of tartrazine alternated with bovine serum albumin. UV-Vis spectroscopy indicated that the films form J-aggregates of tartrazine. Adsorption kinetics was fitted by the Johnson-Mehl-Avrami equation and surface morphological analyses by atomic force microscopy suggested that the J-aggregates were column-shaped, which was attributed to the column-like symmetry of the tartrazine molecules. The columnar structures that formed probably arose from the juxtaposition of smaller aggregates that were already present at the beginning of film growth.

  4. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  5. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal; Anjum, Dalaver H.; Gogotsi, Yury; Alshareef, Husam N.

    2017-01-01

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications

  6. TEM and ellipsometry studies of nanolaminate oxide films prepared using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Attard, D.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Finnie, K.S. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Triani, G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Barbe, C.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Depagne, C. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Bartlett, J.R. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2005-04-30

    Nanolaminate oxide layers consisting of TiO{sub 2} and Al{sub 2}O{sub 3} have been deposited on silicon using atomic layer deposition (ALD). Characterisation of these films has been achieved by use of a range of modern transmission electron microscopy (TEM)-based techniques, including plasmon loss imaging, energy filtered imaging and scanning TEM (STEM) X-ray line profiling. These have shown that the target thickness of the individual layers in the nanolaminate structures (20 nm) has been met with a high degree of accuracy, that the layers are extremely flat and parallel and that the interfaces between the layers are compositionally abrupt. Localised crystallisation within the stacks, and responses to electron beam irradiation point to the presence of a stress gradient within the layers. The performance of ellipsometry in characterising multilayer stacks has been benchmarked against the TEM measurements. Errors in determination of individual layer thicknesses were found to increase with growing stack size, as expected given the increasing number of interfaces incorporated in each model. The most sophisticated model gave maximum deviations of {+-}4 nm from the TEM determined values for the 5- and 10-layer stacks.

  7. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO{sub 3}){sub n} films by means of metalorganic aerosol deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V. [Erstes Physikalisches Institut, Universität Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Egoavil, R.; Tan, H.; Verbeeck, J.; Van Tendeloo, G. [EMAT, University of Antwerp, Groenenborgerlaan 171, 2020 Antwerp (Belgium)

    2014-12-22

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO{sub 3}){sub n} (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO{sub 3}(001) substrates by means of a sequential deposition of Sr-O/Ti-O{sub 2} atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidly decreases and saturates after 5–6 repetitions of the SrO(SrTiO{sub 3}){sub 4} block at the level of 2.4%. This identifies the SrTiO{sub 3} substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy.

  8. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  9. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  10. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    International Nuclear Information System (INIS)

    Valdueza-Felip, S.; Ibáñez, J.; Monroy, E.; González-Herráez, M.; Artús, L.; Naranjo, F.B.

    2012-01-01

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of ∼ 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at ∼ 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: ► Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. ► Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. ► Room-temperature photoluminescence emission at 1.58 eV. ► InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  11. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Valdueza-Felip, S., E-mail: sirona.valdueza@depeca.uah.es [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Ibanez, J. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Monroy, E. [CEA-Grenoble, INAC/SP2M/NPSC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Gonzalez-Herraez, M. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Artus, L. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Naranjo, F.B. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain)

    2012-01-31

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of {approx} 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at {approx} 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: Black-Right-Pointing-Pointer Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. Black-Right-Pointing-Pointer Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. Black-Right-Pointing-Pointer Room-temperature photoluminescence emission at 1.58 eV. Black-Right-Pointing-Pointer InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  12. Resolving Iron(II) Sorption and Oxidative Growth on Hematite (001) Using Atom Probe Tomography

    Energy Technology Data Exchange (ETDEWEB)

    Taylor, Sandra D. [Pacific Northwest National Laboratory, P.O. Box 999, Richland, Washington 99352, United States; Liu, Jia [Pacific Northwest National Laboratory, P.O. Box 999, Richland, Washington 99352, United States; Arey, Bruce W. [Pacific Northwest National Laboratory, P.O. Box 999, Richland, Washington 99352, United States; Schreiber, Daniel K. [Pacific Northwest National Laboratory, P.O. Box 999, Richland, Washington 99352, United States; Perea, Daniel E. [Pacific Northwest National Laboratory, P.O. Box 999, Richland, Washington 99352, United States; Rosso, Kevin M. [Pacific Northwest National Laboratory, P.O. Box 999, Richland, Washington 99352, United States

    2018-02-13

    The distribution of iron resulting from the autocatalytic interaction of aqueous Fe(II) with the hematite (001) surface was directly mapped in three dimensions (3D) for the first time, using iron isotopic labelling and atom probe tomography (APT). Analyses of the mass spectrum showed that natural abundance ratios in 56Fe-dominant hematite are recovered at depth with good accuracy, whereas at the relict interface with 57Fe(II) solution evidence for hematite growth by oxidative adsorption of Fe(II) was found. 3D reconstructions of the isotope positions along the surface normal direction showed a zone enriched in 57Fe, which was consistent with an average net adsorption of 3.2 – 4.3 57Fe atoms nm–2. Statistical analyses utilizing grid-based frequency distribution analyses show a heterogeneous, non-random distribution of oxidized Fe on the (001) surface, consistent with Volmer-Weber-like island growth. The unique 3D nature of the APT data provides an unprecedented means to quantify the atomic-scale distribution of sorbed 57Fe atoms and the extent of segregation on the hematite surface. This new ability to spatially map growth on single crystal faces at the atomic scale will enable resolution to long-standing unanswered questions about the underlying mechanisms for electron and atom exchange involved in a wide variety of redox-catalyzed processes at this archetypal and broadly relevant interface.

  13. Few-layer graphene growth from polystyrene as solid carbon source utilizing simple APCVD method

    Science.gov (United States)

    Ahmadi, Shahrokh; Afzalzadeh, Reza

    2016-07-01

    This research article presents development of an economical, simple, immune and environment friendly process to grow few-layer graphene by controlling evaporation rate of polystyrene on copper foil as catalyst and substrate utilizing atmospheric pressure chemical vapor deposition (APCVD) method. Evaporation rate of polystyrene depends on molecular structure, amount of used material and temperature. We have found controlling rate of evaporation of polystyrene by controlling the source temperature is easier than controlling the material weight. Atomic force microscopy (AFM) as well as Raman Spectroscopy has been used for characterization of the layers. The frequency of G‧ to G band ratio intensity in some samples varied between 0.8 and 1.6 corresponding to few-layer graphene. Topography characterization by atomic force microscopy confirmed Raman results.

  14. Investigation of Au/Au(100) film growth with energetic deposition by kinetic Monte Carlo simulation

    International Nuclear Information System (INIS)

    Zhang Qingyu; Ma Tengcai; Pan Zhengying; Tang Jiayong

    2000-01-01

    The Au/Au(100) epitaxial growth with energetic deposition was simulated by using kinetic Monte Carlo method. The influences of energetic atoms on morphology and atomistic processes in the early stage of film growth were investigated. The reentrant layer-by-layer growth was observed in the temperature range of 450 K to 100 K. The authors found the energetic atoms can promote the nucleation and island growth in the early stages of film growth and enhance the smoothness of film surface at temperatures of film growth in 3-dimensional mode and in quasi-two-dimensional mode. The atomistic mechanism that promotes the nucleation and island growth and enhances the smoothness of film surface is discussed

  15. The effect of barrier layer-mediated catalytic deactivation in vertically aligned carbon nanotube growth

    International Nuclear Information System (INIS)

    Patole, S P; Yu, Seong-Man; Shin, Dong-Wook; Yoo, Ji-Beom; Kim, Ha-Jin; Han, In-Taek; Kwon, Kee-Won

    2010-01-01

    The effect of Al-barrier layer-mediated Fe-catalytic deactivation in vertically aligned carbon nanotube (CNT) growth was studied. The substrate surface morphology, catalytic diffusion and barrier layer oxidation were found to be dependent on the annealing temperature of the barrier layer, which ultimately affects CNT growth. The annealed barrier layer without complete oxidation was found to be suitable for top to bottom super aligned CNT arrays. The highest average CNT growth rate of up to 3.88 μm s -1 was observed using this simple approach. Details of the analysis are also presented.

  16. (Invited) Atomic Layer Deposition for Novel Dye-Sensitized Solar Cells

    KAUST Repository

    Tétreault, Nicolas

    2011-01-01

    Herein we present the latest fabrication and characterization techniques for atomic layer deposition of Al 2O 3, ZnO, SnO 2, Nb 2O 5, HfO 2, Ga 2O 3 and TiO 2 for research on dye-sensitized solar cell. In particular, we review the fabrication of state-of-the-art 3D host-passivation-guest photoanodes and ZnO nanowires as well as characterize the deposited thin films using spectroscopic ellipsometry, X-ray diffraction, Hall effect, J-V curves and electrochemical impedance spectroscopy. ©The Electrochemical Society.

  17. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  18. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO2 thin films grown by atomic layer deposition at different temperatures

    Science.gov (United States)

    Saha, D.; Ajimsha, R. S.; Rajiv, K.; Mukherjee, C.; Gupta, M.; Misra, P.; Kukreja, L. M.

    2014-10-01

    TiO2 thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (Ts) in a wide range (50 °C ≤ Ts ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (Ts ≤ 150 °C) to the nanocrystalline films (2500 < Ts ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple-DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  19. History of atomic layer deposition and its relationship with the American Vacuum Society

    NARCIS (Netherlands)

    Parsons, G.N.; Elam, J.W.; George, S.M.; Haukka, S.; Jeon, H.; Kessels, W.M.M.; Leskelä, M.; Poodt, P.; Ritala, M.; Rossnagel, S.M.

    2013-01-01

    This article explores the history of atomic layer deposition (ALD) and its relationship with the American Vacuum Society (AVS). The authors describe the origin and history of ALD science in the 1960s and 1970s. They also report on how the science and technology of ALD progressed through the 1990s

  20. MODELING OF INTERACTION LAYER GROWTH BETWEEN U-Mo PARTICLES AND AN Al MATRIX

    OpenAIRE

    YEON SOO KIM; G.L. HOFMAN; HO JIN RYU; JONG MAN PARK; A.B. ROBINSON; D.M. WACHS

    2013-01-01

    Interaction layer growth between U-Mo alloy fuel particles and Al in a dispersion fuel is a concern due to the volume expansion and other unfavorable irradiation behavior of the interaction product. To reduce interaction layer (IL) growth, a small amount of Si is added to the Al. As a result, IL growth is affected by the Si content in the Al matrix. In order to predict IL growth during fabrication and irradiation, empirical models were developed. For IL growth prediction during fabrication an...

  1. Evaluation of atomic layer deposited alumina as a protective layer for domestic silver articles: Anti-corrosion test in artificial sweat

    Science.gov (United States)

    Park, Suk Won; Han, Gwon Deok; Choi, Hyung Jong; Prinz, Fritz B.; Shim, Joon Hyung

    2018-05-01

    This study evaluated the effectiveness of alumina fabricated by atomic layer deposition (ALD) as a protective coating for silver articles against the corrosion caused by body contact. An artificial sweat solution was used to simulate body contact. ALD alumina layers of varying thicknesses ranging from 20 to 80 nm were deposited on sputtered silver samples. The stability of the protective layer was evaluated by immersing the coated samples in the artificial sweat solution at 25 and 35 °C for 24 h. We confirmed that a sufficiently thick layer of ALD alumina is effective in protecting the shape and light reflectance of the underlying silver, whereas the uncoated bare silver is severely degraded by the artificial sweat solution. Inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy were used for in-depth analyses of the chemical stability of the ALD-coated silver samples after immersion in the sweat solution.

  2. CVD synthesis of large-area, highly crystalline MoSe2 atomic layers on diverse substrates and application to photodetectors.

    Science.gov (United States)

    Xia, Jing; Huang, Xing; Liu, Ling-Zhi; Wang, Meng; Wang, Lei; Huang, Ben; Zhu, Dan-Dan; Li, Jun-Jie; Gu, Chang-Zhi; Meng, Xiang-Min

    2014-08-07

    Synthesis of large-area, atomically thin transition metal dichalcogenides (TMDs) on diverse substrates is of central importance for the large-scale fabrication of flexible devices and heterojunction-based devices. In this work, we successfully synthesized a large area of highly-crystalline MoSe2 atomic layers on SiO2/Si, mica and Si substrates using a simple chemical vapour deposition (CVD) method at atmospheric pressure. Atomic force microscopy (AFM) and Raman spectroscopy reveal that the as-grown ultrathin MoSe2 layers change from a single layer to a few layers. Photoluminescence (PL) spectroscopy demonstrates that while the multi-layer MoSe2 shows weak emission peaks, the monolayer has a much stronger emission peak at ∼ 1.56 eV, indicating the transition from an indirect to a direct bandgap. Transmission electron microscopy (TEM) analysis confirms the single-crystallinity of MoSe2 layers with a hexagonal structure. In addition, the photoresponse performance of photodetectors based on MoSe2 monolayer was studied for the first time. The devices exhibit a rapid response of ∼ 60 ms and a good photoresponsivity of ∼ 13 mA/W (using a 532 nm laser at an intensity of 1 mW mm(-2) and a bias of 10 V), suggesting that MoSe2 monolayer is a promising material for photodetection applications.

  3. Atomic layer deposition of crystalline SrHfO{sub 3} directly on Ge (001) for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Jiang, Aiting; Yu, Edward T. [Microelectronics Research Center, The University of Texas at Austin, Austin, Texas 78758 (United States); Lu, Sirong; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-02-07

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO{sub 3} (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10{sup −5} A/cm{sup 2} at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D{sub it}) is estimated to be as low as ∼2 × 10{sup 12 }cm{sup −2 }eV{sup −1} under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D{sub it} value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications.

  4. Coking- and sintering-resistant palladium catalysts achieved through atomic layer deposition.

    Science.gov (United States)

    Lu, Junling; Fu, Baosong; Kung, Mayfair C; Xiao, Guomin; Elam, Jeffrey W; Kung, Harold H; Stair, Peter C

    2012-03-09

    We showed that alumina (Al(2)O(3)) overcoating of supported metal nanoparticles (NPs) effectively reduced deactivation by coking and sintering in high-temperature applications of heterogeneous catalysts. We overcoated palladium NPs with 45 layers of alumina through an atomic layer deposition (ALD) process that alternated exposures of the catalysts to trimethylaluminum and water at 200°C. When these catalysts were used for 1 hour in oxidative dehydrogenation of ethane to ethylene at 650°C, they were found by thermogravimetric analysis to contain less than 6% of the coke formed on the uncoated catalysts. Scanning transmission electron microscopy showed no visible morphology changes after reaction at 675°C for 28 hours. The yield of ethylene was improved on all ALD Al(2)O(3) overcoated Pd catalysts.

  5. Low-Temperature Crystalline Titanium Dioxide by Atomic Layer Deposition for Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar

    2013-04-24

    Low-temperature processing of dye-sensitized solar cells (DSCs) is crucial to enable commercialization with low-cost, plastic substrates. Prior studies have focused on mechanical compression of premade particles on plastic or glass substrates; however, this did not yield sufficient interconnections for good carrier transport. Furthermore, such compression can lead to more heterogeneous porosity. To circumvent these problems, we have developed a low-temperature processing route for photoanodes where crystalline TiO2 is deposited onto well-defined, mesoporous templates. The TiO2 is grown by atomic layer deposition (ALD), and the crystalline films are achieved at a growth temperature of 200 C. The ALD TiO2 thickness was systematically studied in terms of charge transport and performance to lead to optimized photovoltaic performance. We found that a 15 nm TiO2 overlayer on an 8 μm thick SiO2 film leads to a high power conversion efficiency of 7.1% with the state-of-the-art zinc porphyrin sensitizer and cobalt bipyridine redox mediator. © 2013 American Chemical Society.

  6. Growth and characterization of oxide layers on zirconium alloys

    International Nuclear Information System (INIS)

    Maroto, A.J.G.; Bordoni, R.; Villegas, M.; Olmedo, A.M.; Blesa, M.A.; Iglesias, A.; Koenig, P.

    1996-01-01

    In the range 265-435 C Zr-2.5Nb corrosion takes place in two stages, as opposed to the cyclic behaviour of Zry-4. The Zry-4 corrosion stages are described by a single equation, in terms of the dense oxide layer thickness that decreases sharply at each transition. Tetragonal zirconia is present in the oxide layers of both alloys. In Zry-4, its volume fraction decreases as the oxide grows; it is barely discernible in Zr-2.5Nb in films below 1 μm, to later increase up to the transition. In both alloys, compressive stresses are developed associated with the oxide growth. Their relaxation at the transition correlates with the transformation of ZrO 2 (t) to ZrO 2 (m) and with the decrease of the dense oxide layer. In Zr-2.5Nb, oxide ridges form on the β-Zr phase filaments, at the very onset of film growth. The cyclic behaviour associated with the periodical breakdown of the dense oxide layer is therefore blurred, although optical microscopy shows that the scale retains the multilayered structure typical of Zry-4. (orig.)

  7. Electron-stimulated desorption of cesium atoms from cesium layers adsorbed on gold-covered tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Ageev, V N; Kuznetsov, Yu A; Potekhina, N D, E-mail: kuznets@ms.ioffe.r [A F Ioffe Physico-Technical Institute, Russian Academy of Sciences, 194021, St Petersburg (Russian Federation)

    2010-03-03

    The electron-stimulated desorption (ESD) yields and energy distributions (ED) for neutral cesium atoms have been measured from cesium layers adsorbed on a gold-covered tungsten surface as a function of electron energy, gold film thickness, cesium coverage and substrate temperature. The measurements have been carried out using a time-of-flight method and surface ionization detector in the temperature range 160-300 K. A measurable ESD yield for Cs atoms is observed only after deposition of more than one monolayer of gold and cesium on a tungsten surface at a temperature T = 300 K, which is accompanied by the formation of a CsAu semiconductor film covered with a cesium atom monolayer. The Cs atom ESD yield as a function of incident electron energy has a resonant character and consists of two peaks, the appearance of which depends on both electron energy and substrate temperature. The first peak has an appearance threshold at an electron energy of 57 eV and a substrate temperature of 300 K that is due to Au 5p{sub 3/2} core level excitation in the substrate. The second peak appears at an electron energy of 24 eV and a substrate temperature of 160 K. It is associated with a Cs 5s core level excitation in the Cs adsorbed layer. The Au 5p{sub 3/2} level excitation corresponds to a single broad peak in the ED with a maximum at a kinetic energy of 0.45 eV at a substrate temperature T = 300 K, which is split into two peaks with maxima at kinetic energies of 0.36 and 0.45 eV at a substrate temperature of 160 K, associated with different Cs atom ESD channels. The Cs 5s level excitation leads to an ED for Cs atoms with a maximum at a kinetic energy of approx 0.57 eV which exists only at T < 240 K and low Cs concentrations. The mechanisms for all the Cs atom ESD channels are proposed and compared with the Na atom ESD channels in the Na-Au-W system.

  8. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  9. Growth of aluminum oxide on silicon carbide with an atomically sharp interface

    DEFF Research Database (Denmark)

    Silva, Ana Gomes; Pedersen, Kjeld; Li, Zheshen

    2017-01-01

    this system up to around 600 °C (all in ultrahigh vacuum). This converts all the SiO2 into a uniform layer of Al2O3 with an atomically sharp interface between the Al2O3 and the Si surface. In the present work, the same procedures are applied to form Al2O3 on a SiC film grown on top of Si (111). The results...... indicate that a similar process, resulting in a uniform layer of 1-2 nm of Al2O3 with an atomically sharp Al2O3/SiC interface, also works in this case.......The development of SiC wafers with properties suitable for electronic device fabrication is now well established commercially. A critical issue for developing metal-oxide-semiconductor field effect transistor devices of SiC is the choice of dielectric materials for surface passivation...

  10. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  11. Electrocatalytic activity of atomic layer deposited Pt-Ru catalysts onto N-doped carbon nanotubes

    NARCIS (Netherlands)

    Johansson, A.-C.; Larsen, J.V.; Verheijen, M.A.; Haugshøj, K.B.; Clausen, H.; Kessels, W.M.M.; Christensen, L.H.; Thomsen, E.V.

    2014-01-01

    Pt-Ru catalysts of various compositions, between 0 and 100 at.% of Ru, were deposited onto N-doped multi-walled carbon nanotubes (N-CNTs) by atomic layer deposition (ALD) at 250 C. The Pt and Ru precursors were trimethyl(methylcyclopentadienyl)platinum (MeCpPtMe3) and

  12. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  13. Direct Measurements of Half-Cycle Reaction Heats during Atomic Layer Deposition by Calorimetry

    Energy Technology Data Exchange (ETDEWEB)

    Lownsbury, James M. [Department; Gladden, James A. [Department; Campbell, Charles T. [Department; Department; Kim, In Soo [Materials; Martinson, Alex B. F. [Materials

    2017-10-05

    We introduce a new high-temperature adsorption calorimeter that approaches the ideal limit of a heat detector whereby the signal at any time is proportional to the heat power being delivered to the sample and prove its sensitivity for measuring pulse-to-pulse heats of half-reactions during atomic layer deposition (ALD) at 400 K. The heat dynamics of amorphous Al2O3 growth via sequential self-limiting surface reaction of trimethylaluminum (TMA) and H2O is clearly resolved. Calibration enables quantitation of the exothermic TMA and H2O half-reactions with high precision, -343 kJ/mol TMA and -251 kJ/mol H2O, respectively. A time resolution better than 1 ms is demonstrated, allowing for the deconvolution of at least two distinct surface reactions during TMA microdosing. It is further demonstrated that this method can provide the heat of reaction versus extent of reaction during each precursors half-reaction, thus providing even richer mechanistic information on the surface processes involved. The broad applicability of this novel calorimeter is demonstrated through excellent signal-to-noise ratios of less exothermic ALD half-reactions to produce TiO2 and MnO.

  14. Spiral growth of few-layer MoS{sub 2} by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dong, X.; Yan, C.; Tomer, D.; Li, L., E-mail: lianli@uwm.edu [Department of Physics, University of Wisconsin, Milwaukee, Wisconsin 53211 (United States); Li, C. H. [Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-08-01

    Growth spirals exhibit appealing properties due to a preferred layer stacking and lack of inversion symmetry. Here, we report spiral growth of MoS{sub 2} during chemical vapor deposition on SiO{sub 2}/Si and epitaxial graphene/SiC substrates, and their physical and electronic properties. We determine the layer-dependence of the MoS{sub 2} bandgap, ranging from 2.4 eV for the monolayer to a constant of 1.3 eV beyond the fifth layer. We further observe that spirals predominantly initiate at the step edges of the SiC substrate, based on which we propose a growth mechanism driven by screw dislocation created by the coalescence of two growth fronts at steps.

  15. First-Principles Investigations of the Working Mechanism of 2D h-BN as an Interfacial Layer for the Anode of Lithium Metal Batteries.

    Science.gov (United States)

    Shi, Le; Xu, Ao; Zhao, Tianshou

    2017-01-18

    An issue with the use of metallic lithium as an anode material for lithium-based batteries is dendrite growth, causing a periodic breaking and repair of the solid electrolyte interphase (SEI) layer. Adding 2D atomic crystals, such as h-BN, as an interfacial layer between the lithium metal anode and liquid electrolyte has been demonstrated to be effective to mitigate dendrite growth, thereby enhancing the Columbic efficiency of lithium metal batteries. But the underlying mechanism leading to the reduced dendrite growth remains unknown. In this work, with the aid of first-principle calculations, we find that the interaction between the h-BN and lithium metal layers is a weak van der Waals force, and two atomic layers of h-BN are thick enough to block the electron tunneling from lithium metal to electrolyte, thus prohibiting the decomposition of electrolyte. The interlayer spacing between the h-BN and lithium metal layers can provide larger adsorption energies toward lithium atoms than that provided by bare lithium or h-BN, making lithium atoms prefer to intercalate under the cover of h-BN during the plating process. The combined high stiffness of h-BN and the low diffusion energy barriers of lithium at the Li/h-BN interfaces induce a uniform distribution of lithium under h-BN, therefore effectively suppressing dendrite growth.

  16. Convective growth of broadband turbulence in the plasma sheet boundary layer

    International Nuclear Information System (INIS)

    Dusenbery, P.B.

    1987-01-01

    Convective growth of slow and fast beam acoustic waves in the plasma sheet boundary layer (PSBL) is investigated. It has been shown previously that a could ion population must be present in order to excite beam acoustic waves in the PSBL. However, growth rates are significantly enhanced when warm plasma sheet boundary layer ions are present. Net wave growth along a ray path is determined by convective growth. This quantity is calculated for particle distribution models consistent with the PSBL where the intensity of broadband turbulence is observed to peak. Total number density dependence on beam acoustic convective growth is evaluated, and it is found that even for low density conditions of ∼0.01 cm -3 , a measurable level of broadband turbulence is expected. Relative drift effects between cold and warm ion populations are also considered. In particular, it is found that slow mode convective growth can be enhanced when slowly streaming cold ions are present, compared to fast ion streams

  17. A thin-layer liquid culture technique for the growth of Helicobacter pylori.

    Science.gov (United States)

    Joo, Jung-Soo; Park, Kyung-Chul; Song, Jae-Young; Kim, Dong-Hyun; Lee, Kyung-Ja; Kwon, Young-Cheol; Kim, Jung-Min; Kim, Kyung-Mi; Youn, Hee-Shang; Kang, Hyung-Lyun; Baik, Seung-Chul; Lee, Woo-Kon; Cho, Myung-Je; Rhee, Kwang-Ho

    2010-08-01

    Several attempts have been successful in liquid cultivation of Helicobaccter pylori. However, there is a need to improve the growth of H. pylori in liquid media in order to get affluent growth and a simple approach for examining bacterial properties. We introduce here a thin-layer liquid culture technique for the growth of H. pylori. A thin-layer liquid culture system was established by adding liquid media to a 90-mm diameter Petri dish. Optimal conditions for bacterial growth were investigated and then viability, growth curve, and released proteins were examined. Maximal growth of H. pylori was obtained by adding 3 mL of brucella broth supplemented with 10% horse to a Petri dish. H. pylori grew in both DMEM and RPMI-1640 supplemented with 10% fetal bovine serum and 0.5% yeast extract. Serum-free RPMI-1640 supported the growth of H. pylori when supplemented with dimethyl-beta-cyclodextrin (200 microg/mL) and 1% yeast extract. Under optimal growth, H. pylori grew exponentially for 28 hours, reaching a density of 3.4 OD(600) with a generation time of 3.3 hours. After 24 hours, cultures at a cell density of 1.0 OD(600) contained 1.3 +/- 0.1 x 10(9 )CFU/mL. gamma-Glutamyl transpeptidase, nuclease, superoxide dismutase, and urease were not detected in culture supernatants at 24 hours in thin-layer liquid culture, but were present at 48 hours, whereas alcohol dehydrogenase, alkylhydroperoxide reductase, catalase, and vacuolating cytotoxin were detected at 24 hours. Thin-layer liquid culture technique is feasible, and can serve as a versatile liquid culture technique for investigating bacterial properties of H. pylori.

  18. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  19. Simulation of the Dynamics of Isothermal Growth of Single-Layer Graphene on a Copper Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2018-01-01

    A new kinetic model of isothermal growth of single-layer graphene on a copper catalyst as a result of the chemical vapor deposition of hydrocarbons on it at a low pressure has been developed on the basis of in situ measurements of the growth of graphene in the process of its synthesis. This model defines the synthesis of graphene with regard for the chemisorption and catalytic decomposition of ethylene on the surface of a copper catalyst, the diffusion of carbon atoms in the radial direction to the nucleation centers within the thin melted near-surface copper layer, and the nucleation and autocatalytic growth of graphene domains. It is shown that the time dependence of the rate of growth of a graphene domain has a characteristic asymmetrical bell-like shape. The dependences of the surface area and size of a graphene domain and the rate of its growth on the time at different synthesis temperatures and ethylene concentrations have been obtained. Time characteristics of the growth of graphene domains depending on the parameters of their synthesis were calculated. The results obtained can be used for determining optimum regimes of synthesis of graphene in the process of chemical vapor deposition of hydrocarbons on different catalysts with a low solubility of carbon.

  20. Texture variations and atomic dislocations by Ar-irradiation in Au and NbN sputtered layers

    International Nuclear Information System (INIS)

    Jung, V.

    1988-02-01

    Irradiation of Au and NbN sputtered layers with Ar ++ ions of 600 keV leads to a narrower orientation distribution of the [111] direction of the Au layers from 12 0 FWHM to 6 0 and to only very small FWHM changes in texture distributions of the NbN layers. But the FWHM of the reflex distribution of the irradiated NbN layers is increased significantly from ΔΘ = 0.65 0 to 1.17 0 for one sample position. This is caused by small atomic dislocations in the NbN lattice. The FWHM of reflex distribution of the Au layers increased only from ΔΘ = 0.60 0 to 0.65 0 after irradiation. Oblique incidence of Ar ++ ions causes, by absence of channeling, stronger distortions than perpendicular incidence. (orig.) [de

  1. Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy

    NARCIS (Netherlands)

    Kessels, W.M.M.; Knoops, H.C.M.; Dielissen, S.A.F.; Mackus, A.J.M.; Sanden, van de M.C.M.

    2009-01-01

    Infrared spectroscopy was used to obtain absolute number information on the reaction products during atomic layer deposition of Pt from (methylcyclopentadienyl)trimethylplatinum [(MeCp)PtMe3] and O2. From the detection of CO2 and H2O it was established that the precursor ligands are oxidatively

  2. The kinetic boundary layer around an absorbing sphere and the growth of small droplets

    International Nuclear Information System (INIS)

    Widder, M.E.; Titulaer, U.M.

    1989-01-01

    Deviations from the classical Smoluchowski expression for the growth rate of a droplet in a supersaturated vapor can be expected when the droplet radius is not large compared to the mean free path of a vapor molecule. The growth rate then depends significantly on the structure of the kinetic boundary layer around a sphere. The authors consider this kinetic boundary layer for a dilute system of Brownian particles. For this system a large class of boundary layer problems for a planar wall have been solved. They show how the spherical boundary layer can be treated by a perturbation expansion in the reciprocal droplet radius. In each order one has to solve a finite number of planar boundary layer problems. The first two corrections to the planar problem are calculated explicitly. For radii down to about two velocity persistence lengths (the analog of the mean free path for a Brownian particle) the successive approximations for the growth rate agree to within a few percent. A reasonable estimate of the growth rate for all radii can be obtained by extrapolating toward the exactly known value at zero radius. Kinetic boundary layer effects increase the time needed for growth from 0 to 10 (or 2 1/2) velocity persistence lengths by roughly 35% (or 175%)

  3. Growth mechanism and surface atomic structure of AgInSe{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Pena Martin, Pamela; Rockett, Angus A.; Lyding, Joseph [Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, 1304 W. Green St., Urbana, Illinois 61801 (United States); Department of Electrical and Computer Engineering and the Beckman Institute, University of Illinois at Urbana-Champaign, 405 N. Matthews St., Urbana, Illinois 61801 (United States)

    2012-07-15

    The growth of (112)A-oriented AgInSe{sub 2} on GaAs (111)A and its surface reconstruction were studied by scanning tunneling microscopy, atomic force microscopy, and other techniques. Films were grown by a sputtering and evaporation method. Topographic STM images reveal that the film grew by atomic incorporation into surface steps resulting from screw dislocations on the surface. The screw dislocation density was {approx}10{sup 10} cm{sup 2}. Atomically resolved images also show that the surface atomic arrangement appears to be similar to that of the bulk, with a spacing of 0.35-0.41 nm. There is no observable reconstruction, which is unexpected for a polar semiconductor surface.

  4. Magnetic resonance of rubidium atoms passing through a multi-layered transmission magnetic grating

    International Nuclear Information System (INIS)

    Nagata, Y; Kurokawa, S; Hatakeyama, A

    2017-01-01

    We measured the magnetic resonance of rubidium atoms passing through periodic magnetic fields generated by two types of multi-layered transmission magnetic grating. One of the gratings reported here was assembled by stacking four layers of magnetic films so that the direction of magnetization alternated at each level. The other grating was assembled so that the magnetization at each level was aligned. For both types of grating, the experimental results were in good agreement with our calculations. We studied the feasibility of extending the frequency band of the grating and narrowing its resonance linewidth by performing calculations. For magnetic resonance precision spectroscopy, we conclude that the multi-layered transmission magnetic grating can generate periodic fields with narrower linewidths at higher frequencies when a larger number of layers are assembled at a shorter period length. Moreover, the frequency band of this type of grating can potentially achieve frequencies of up to hundreds of PHz. (paper)

  5. Conduction mechanisms in thin atomic layer deposited Al2O3 layers

    International Nuclear Information System (INIS)

    Spahr, Holger; Montzka, Sebastian; Reinker, Johannes; Hirschberg, Felix; Kowalsky, Wolfgang; Johannes, Hans-Hermann

    2013-01-01

    Thin Al 2 O 3 layers of 2–135 nm thickness deposited by thermal atomic layer deposition at 80 °C were characterized regarding the current limiting mechanisms by increasing voltage ramp stress. By analyzing the j(U)-characteristics regarding ohmic injection, space charge limited current (SCLC), Schottky-emission, Fowler-Nordheim-tunneling, and Poole-Frenkel-emission, the limiting mechanisms were identified. This was performed by rearranging and plotting the data in a linear scale, such as Schottky-plot, Poole-Frenkel-plot, and Fowler-Nordheim-plot. Linear regression then was applied to the data to extract the values of relative permittivity from Schottky-plot slope and Poole-Frenkel-plot slope. From Fowler-Nordheim-plot slope, the Fowler-Nordheim-energy-barrier was extracted. Example measurements in addition to a statistical overview of the results of all investigated samples are provided. Linear regression was applied to the region of the data that matches the realistic values most. It is concluded that ohmic injection and therefore SCLC only occurs at thicknesses below 12 nm and that the Poole-Frenkel-effect is no significant current limiting process. The extracted Fowler-Nordheim-barriers vary in the range of up to approximately 4 eV but do not show a specific trend. It is discussed whether the negative slope in the Fowler-Nordheim-plot could in some cases be a misinterpreted trap filled limit in the case of space charge limited current

  6. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  7. Two-dimensional transition metal dichalcogenides as atomically thin semiconductors: opportunities and challenges.

    Science.gov (United States)

    Duan, Xidong; Wang, Chen; Pan, Anlian; Yu, Ruqin; Duan, Xiangfeng

    2015-12-21

    The discovery of graphene has ignited intensive interest in two-dimensional layered materials (2DLMs). These 2DLMs represent a new class of nearly ideal 2D material systems for exploring fundamental chemistry and physics at the limit of single-atom thickness, and have the potential to open up totally new technological opportunities beyond the reach of existing materials. In general, there are a wide range of 2DLMs in which the atomic layers are weakly bonded together by van der Waals interactions and can be isolated into single or few-layer nanosheets. The van der Waals interactions between neighboring atomic layers could allow much more flexible integration of distinct materials to nearly arbitrarily combine and control different properties at the atomic scale. The transition metal dichalcogenides (TMDs) (e.g., MoS2, WSe2) represent a large family of layered materials, many of which exhibit tunable band gaps that can undergo a transition from an indirect band gap in bulk crystals to a direct band gap in monolayer nanosheets. These 2D-TMDs have thus emerged as an exciting class of atomically thin semiconductors for a new generation of electronic and optoelectronic devices. Recent studies have shown exciting potential of these atomically thin semiconductors, including the demonstration of atomically thin transistors, a new design of vertical transistors, as well as new types of optoelectronic devices such as tunable photovoltaic devices and light emitting devices. In parallel, there have also been considerable efforts in developing diverse synthetic approaches for the rational growth of various forms of 2D materials with precisely controlled chemical composition, physical dimension, and heterostructure interface. Here we review the recent efforts, progress, opportunities and challenges in exploring the layered TMDs as a new class of atomically thin semiconductors.

  8. Atomic layer deposition of cerium oxide for potential use in diesel soot combustion

    Energy Technology Data Exchange (ETDEWEB)

    Ivanova, Tatiana V., E-mail: tatiana.ivanova@lut.fi, E-mail: ivanova.tatyana.v@gmail.com; Toivonen, Jenni; Maydannik, Philipp S.; Kääriäinen, Tommi; Sillanpää, Mika [ASTRaL Team, Laboratory of Green Chemistry, School of Engineering Science, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Homola, Tomáš; Cameron, David C. [R& D Centre for Low-Cost Plasma and Nanotechnology Surface Modification, Masaryk University, Kotlářská 267/2, 611 37 Brno (Czech Republic)

    2016-05-15

    The particulate soot emission from diesel motors has a severe impact on the environment and people's health. The use of catalytic convertors is one of the ways to minimize the emission and decrease the hazard level. In this paper, the activity of cerium oxide for catalytic combustion of diesel soot was studied. Thin films of cerium dioxide were synthesized by atomic layer deposition using tetrakis(2,2,6,6-tetramethyl-3,5-heptanedionato)cerium [Ce(thd){sub 4}] and ozone as precursors. The characteristics of the films were studied as a function of deposition conditions within the reaction temperature range of 180–350 °C. Thickness, crystallinity, elemental composition, and morphology of the CeO{sub 2} films deposited on Si (100) were characterized by ellipsometry, x-ray diffraction, x-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscopy, respectively. The growth rate of CeO{sub 2} was observed to be 0.30 Å/cycle at temperatures up to 250 °C with a slight increase to 0.37 Å/cycle at 300 °C. The effect of CeO{sub 2} films grown on stainless steel foil supports on soot combustion was measured with annealing tests. Based on the analysis of these, in catalytic applications, CeO{sub 2} has been shown to be effective in lowering the soot combustion temperature from 600 °C for the uncoated substrates to 370 °C for the CeO{sub 2} coated ones. It was found that the higher deposition temperatures had a positive effect on the catalyst performance.

  9. Growth of high purity semiconductor epitaxial layers by liquid phase ...

    Indian Academy of Sciences (India)

    Unknown

    semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.

  10. Laser-assisted atom-atom collisions

    International Nuclear Information System (INIS)

    Roussel, F.

    1984-01-01

    The basic layer-assisted atom-atom collision processes are reviewed in order to get a simpler picture of the main physical facts. The processes can be separated into two groups: optical collisions where only one atom is changing state during the collision, the other acting as a spectator atom, and radiative collisions where the states of the two atoms are changing during the collision. All the processes can be interpreted in terms of photoexcitation of the quasimolecule formed during the collisional process. (author)

  11. Hollow inorganic nanospheres and nanotubes with tunable wall thicknesses by atomic layer deposition on self-assembled polymeric templates

    NARCIS (Netherlands)

    Ras, Robin H. A.; Kemell, Marianna; de Wit, Joost; Ritala, Mikko; ten Brinke, Gerrit; Leskela, Markku; Ikkala, Olli; Leskelä, Markku

    2007-01-01

    The construction of inorganic nanostructures with hollow interiors is demonstrated by coating self-assembled polymeric nano-objects with a thin Al2O3 layer by atomic layer deposition (ALD), followed by removal of the polymer template upon heating. The morphology of the nano-object (i.e., spherical

  12. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  13. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  14. Intrinsic electron traps in atomic-layer deposited HfO{sub 2} insulators

    Energy Technology Data Exchange (ETDEWEB)

    Cerbu, F.; Madia, O.; Afanas' ev, V. V.; Houssa, M.; Stesmans, A. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Andreev, D. V. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Bauman Moscow State Technical University—Kaluga Branch, 248000 Kaluga, Moscow obl. (Russian Federation); Fadida, S.; Eizenberg, M. [Department of Materials Science and Engineering, Technion-Israel Institute of Technology, 32000 Haifa (Israel); Breuil, L. [imec, 3001 Leuven (Belgium); Lisoni, J. G. [imec, 3001 Leuven (Belgium); Institute of Physics and Mathematics, Faculty of Science, Universidad Austral de Chile, Valdivia (Chile); Kittl, J. A. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Advanced Logic Lab, Samsung Semiconductor, Inc., Austin, 78754 Texas (United States); Strand, J.; Shluger, A. L. [Department of Physics and Astronomy, University College London, London WC1E 6BT (United Kingdom)

    2016-05-30

    Analysis of photodepopulation of electron traps in HfO{sub 2} films grown by atomic layer deposition is shown to provide the trap energy distribution across the entire oxide bandgap. The presence is revealed of two kinds of deep electron traps energetically distributed at around E{sub t} ≈ 2.0 eV and E{sub t} ≈ 3.0 eV below the oxide conduction band. Comparison of the trapped electron energy distributions in HfO{sub 2} layers prepared using different precursors or subjected to thermal treatment suggests that these centers are intrinsic in origin. However, the common assumption that these would implicate O vacancies cannot explain the charging behavior of HfO{sub 2}, suggesting that alternative defect models should be considered.

  15. Growth of hydrated gel layers in nuclear waste glasses

    International Nuclear Information System (INIS)

    Sullivan, T.M.; Machiels, A.J.

    1984-01-01

    The hydration kinetics of waste glasses in contact with an aqueous solution has been studied by using three different approaches. Emphasis has been placed on modeling processes in the transition zone defined as the region in which the nature of the glass changes from the original dry glass to an open hydrated structure. The first model relies on concentration-dependent diffusion coefficients to obtain a transition zone in which the ions mobility is extremely low compared to that in the gel layer. In the second model, the transition zone and hydrated layer are treated as distinct phases and it is assumed that ion exchange at their common boundary is the rate-controlling process. The third model treats the transition zone as a thin film of constant thickness and low diffusivity. In the absence of appreciable network dissolution, all three models indicate that growth of the gel layer becomes eventually proportional to the square root of time; however, as long as processes in the transition zone are rate controlling, growth is linearly proportional to time

  16. Transient Growth Analysis of Compressible Boundary Layers with Parabolized Stability Equations

    Science.gov (United States)

    Paredes, Pedro; Choudhari, Meelan M.; Li, Fei; Chang, Chau-Lyan

    2016-01-01

    The linear form of parabolized linear stability equations (PSE) is used in a variational approach to extend the previous body of results for the optimal, non-modal disturbance growth in boundary layer flows. This methodology includes the non-parallel effects associated with the spatial development of boundary layer flows. As noted in literature, the optimal initial disturbances correspond to steady counter-rotating stream-wise vortices, which subsequently lead to the formation of stream-wise-elongated structures, i.e., streaks, via a lift-up effect. The parameter space for optimal growth is extended to the hypersonic Mach number regime without any high enthalpy effects, and the effect of wall cooling is studied with particular emphasis on the role of the initial disturbance location and the value of the span-wise wavenumber that leads to the maximum energy growth up to a specified location. Unlike previous predictions that used a basic state obtained from a self-similar solution to the boundary layer equations, mean flow solutions based on the full Navier-Stokes (NS) equations are used in select cases to help account for the viscous-inviscid interaction near the leading edge of the plate and also for the weak shock wave emanating from that region. These differences in the base flow lead to an increasing reduction with Mach number in the magnitude of optimal growth relative to the predictions based on self-similar mean-flow approximation. Finally, the maximum optimal energy gain for the favorable pressure gradient boundary layer near a planar stagnation point is found to be substantially weaker than that in a zero pressure gradient Blasius boundary layer.

  17. Direct observation of atomic-level nucleation and growth processes from an ultrathin metallic glass films

    Energy Technology Data Exchange (ETDEWEB)

    Huang, K. Q.; Cao, C. R.; Sun, Y. T.; Li, J.; Bai, H. Y.; Zheng, D. N., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn; Wang, W. H., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn [Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Gu, L., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn [Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100190 (China)

    2016-01-07

    Till date, there have been no direct atomic-level experimental observations of the earliest stages of the nucleation and growth processes of nanocrystals formed by thermally induced crystallization in ultrathin metallic glasses (MGs). Here, we present a study of the crystallization process in atomically thin and highly stable MG films using double spherical aberration-corrected scanning transmission electron microscopy (Cs-TEM). Taking advantage of the stability of MG films with a slow crystallization process and the atomic-level high resolution of Cs-TEM, we observe the formation of the nucleus precursor of nanocrystals formed by atom aggregation followed by concomitant coalescence and stepwise evolution of the shape of the nanocrystals with a monodispersed and separated bimodal size distribution. Molecular dynamics simulation of the atomic motion in the glass film on a rigid amorphous substrate confirms the stepwise evolution processes of atom aggregation, cluster formation, cluster movement on the substrate, and cluster coalescence into larger crystalline particles. Our results might provide a better fundamental understanding of the nucleation and growth processes of nanocrystals in thin MG films.

  18. Atomic layer deposition of lithium phosphates as solid-state electrolytes for all-solid-state microbatteries

    International Nuclear Information System (INIS)

    Wang, Biqiong; Liu, Jian; Sun, Qian; Li, Ruying; Sun, Xueliang; Sham, Tsun-Kong

    2014-01-01

    Atomic layer deposition (ALD) has been shown as a powerful technique to build three-dimensional (3D) all-solid-state microbattery, because of its unique advantages in fabricating uniform and pinhole-free thin films in 3D structures. The development of solid-state electrolyte by ALD is a crucial step to achieve the fabrication of 3D all-solid-state microbattery by ALD. In this work, lithium phosphate solid-state electrolytes were grown by ALD at four different temperatures (250, 275, 300, and 325 °C) using two precursors (lithium tert-butoxide and trimethylphosphate). A linear dependence of film thickness on ALD cycle number was observed and uniform growth was achieved at all four temperatures. The growth rate was 0.57, 0.66, 0.69, and 0.72 Å/cycle at deposition temperatures of 250, 275, 300, and 325 °C, respectively. Furthermore, x-ray photoelectron spectroscopy confirmed the compositions and chemical structures of lithium phosphates deposited by ALD. Moreover, the lithium phosphate thin films deposited at 300 °C presented the highest ionic conductivity of 1.73 × 10 −8 S cm −1 at 323 K with ∼0.51 eV activation energy based on the electrochemical impedance spectroscopy. The ionic conductivity was calculated to be 3.3 × 10 −8 S cm −1 at 26 °C (299 K). (paper)

  19. Protective silicon coating for nanodiamonds using atomic layer deposition

    International Nuclear Information System (INIS)

    Lu, J.; Wang, Y.H.; Zang, J.B.; Li, Y.N.

    2007-01-01

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH 4 ). The coating was performed by sequential reaction of SiH 4 saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability

  20. Protective silicon coating for nanodiamonds using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, J. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Wang, Y.H. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Zang, J.B. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China) and College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)]. E-mail: diamondzjb@163.com; Li, Y.N. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)

    2007-01-30

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH{sub 4}). The coating was performed by sequential reaction of SiH{sub 4} saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability.

  1. Improvement of oxidation resistance of copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Lin, M.C. [Research Center for Biomedical Devices and Prototyping Production, Taipei Medical University, No. 250, Wu-Hsing Street, Taipei 110, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China)

    2012-10-01

    Graphical abstract: Results of glancing incident angle diffraction (GIXD) show the bare-Cu specimen was attacked by oxidation, whereas the coated-Cu specimens prevented from this problem. Highlights: Black-Right-Pointing-Pointer Deposition of Al{sub 2}O{sub 3} films on pure copper by an atomic layer deposition (ALD) technique. Black-Right-Pointing-Pointer Analysis of properties of the films coated at various substrate temperatures using the ALD technique. Black-Right-Pointing-Pointer Identification of the improvement of oxidation resistance of pure copper by the ALD-Al{sub 2}O{sub 3} films. Black-Right-Pointing-Pointer Assessment of the durability of the ALD-Al{sub 2}O{sub 3} films by adhesion strength. - Abstract: Al{sub 2}O{sub 3} films were deposited by the atomic layer deposition (ALD) technique onto pure copper at temperatures in the range 100-200 Degree-Sign C. The chemical composition, microstructure, and mechanic properties of the ALD-deposited Al{sub 2}O{sub 3} films were systematically analyzed. The variations in the film characteristics with substrate temperature were observed. Oxidation trials revealed that 20-nm-thick Al{sub 2}O{sub 3} films deposited at a substrate temperature as low as 100 Degree-Sign C suppress oxidative attack on pure copper. The Al{sub 2}O{sub 3} films also showed excellent durability of adhesion strength, according to predictions using the Coffin-Manson model based on the results of accelerated temperature cycling tests. These features indicate that ALD-deposited Al{sub 2}O{sub 3} film is a very promising candidate to be a protective coating for pure copper.

  2. Amorphous-tetrahedral diamondlike carbon layered structures resulting from film growth energetics

    Science.gov (United States)

    Siegal, M. P.; Barbour, J. C.; Provencio, P. N.; Tallant, D. R.; Friedmann, T. A.

    1998-08-01

    High-resolution transmission electron microscopy (HRTEM) shows that amorphous-tetrahedral diamondlike carbon (a-tC) films grown by pulsed-laser deposition on Si(100) consist of three-to-four layers, depending on the growth energetics. We estimate the density of each layer using both HRTEM image contrast and Rutherford backscattering spectrometry. The first carbon layer and final surface layer have relatively low density. The bulk of the film between these two layers has higher density. For films grown under the most energetic conditions, there exists a superdense a-tC layer between the interface and bulk layers. The density of all four layers, and the thickness of the surface and interfacial layers, correlate well with the energetics of the depositing carbon species.

  3. Investigation of aperiodic W/C multi-layer mirror for X-ray optics

    International Nuclear Information System (INIS)

    Wang Zhanshan; Cheng Xinbin; Zhu Jingtao; Huang Qiushi; Zhang Zhong; Chen Lingyan

    2011-01-01

    Design, fabrication and characterization of aperiodic tungsten/carbon (W/C) multi-layer mirror were studied. W/C multi-layer was designed as a broad-angle reflective supermirror for Cu-Kα line (λ = 0.154 nm) in the grazing incident angular range (0.9-1.1 deg.) using simulated annealing algorithm. To deposit the W/C depth-graded multi-layer mirror accurately, we introduce an effective layer growth rate as a function of layer thickness. This method greatly improves the reflectivity curve compared to the conventional multi-layer mirror prepared with constant growth rate. The deposited multi-layer mirror exhibits an average reflectivity of 19% over the grazing incident angle range of 0.88-1.08 deg. which mainly coincides with the designed value. Furthermore, the physical mechanisms were discussed and the re-sputtering process of light-atom layers is accounted for the modification of layer thicknesses which leads to the effective growth rates. Using this calibration method, the aperiodic multi-layer mirrors can be better fabricated for X-ray optics.

  4. UV protective zinc oxide coating for biaxially oriented polypropylene packaging film by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lahtinen, Kimmo, E-mail: kimmo.lahtinen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kääriäinen, Tommi, E-mail: tommi.kaariainen@colorado.edu [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Johansson, Petri, E-mail: petri.johansson@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Kotkamo, Sami, E-mail: sami.kotkamo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Seppänen, Tarja, E-mail: tarja.seppanen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Cameron, David C., E-mail: david.cameron@miktech.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland)

    2014-11-03

    Biaxially oriented polypropylene (BOPP) packaging film was coated with zinc oxide (ZnO) coatings by atomic layer deposition (ALD) in order to protect the film from UV degradation. The coatings were made at a process temperature of 100 °C using diethylzinc and water as zinc and oxygen precursors, respectively. The UV protective properties of the coatings were tested by using UV–VIS and infrared spectrometry, differential scanning calorimetry (DSC) and a mechanical strength tester, which characterised the tensile and elastic properties of the film. The results obtained with 36 and 67 nm ZnO coatings showed that the ZnO UV protective layer is able to provide a significant decrease in photodegradation of the BOPP film under UV exposure. While the uncoated BOPP film suffered a complete degradation after a 4-week UV exposure, the 67 nm ZnO coated BOPP film was able to preserve half of its original tensile strength and 1/3 of its elongation at break after a 6-week exposure period. The infrared analysis and DSC measurements further proved the UV protection of the ZnO coatings. The results show that a nanometre scale ZnO coating deposited by ALD is a promising option when a transparent UV protection layer is sought for polymer substrates. - Highlights: • Atomic layer deposited zinc oxide coatings were used as UV protection layers. • Biaxially oriented polypropylene (BOPP) film was well protected against UV light. • Formation of UV degradation products in BOPP was significantly reduced. • Mechanical properties of the UV exposed BOPP film were significantly improved.

  5. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  6. Effect of surface pretreatment on interfacial chemical bonding states of atomic layer deposited ZrO2 on AlGaN

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    Atomic layer deposition (ALD) of ZrO 2 on native oxide covered (untreated) and buffered oxide etchant (BOE) treated AlGaN surface was analyzed by utilizing x-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy. Evidenced by Ga–O and Al–O chemical bonds by XPS, parasitic oxidation during deposition is largely enhanced on BOE treated AlGaN surface. Due to the high reactivity of Al atoms, more prominent oxidation of Al atoms is observed, which leads to thicker interfacial layer formed on BOE treated surface. The results suggest that native oxide on AlGaN surface may serve as a protecting layer to inhibit the surface from further parasitic oxidation during ALD. The findings provide important process guidelines for the use of ALD ZrO 2 and its pre-ALD surface treatments for high-k AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors and other related device applications

  7. Rational design of atomic-layer-deposited LiFePO4 as a high-performance cathode for lithium-ion batteries.

    Science.gov (United States)

    Liu, Jian; Banis, Mohammad N; Sun, Qian; Lushington, Andrew; Li, Ruying; Sham, Tsun-Kong; Sun, Xueliang

    2014-10-08

    Atomic layer deposition is successfully applied to synthesize lithium iron phosphate in a layer-by-layer manner by using self-limiting surface reactions. The lithium iron phosphate exhibits high power density, excellent rate capability, and ultra-long lifetime, showing great potential for vehicular lithium batteries and 3D all-solid-state microbatteries. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Nonlinear Transient Growth and Boundary Layer Transition

    Science.gov (United States)

    Paredes, Pedro; Choudhari, Meelan M.; Li, Fei

    2016-01-01

    Parabolized stability equations (PSE) are used in a variational approach to study the optimal, non-modal disturbance growth in a Mach 3 at plate boundary layer and a Mach 6 circular cone boundary layer. As noted in previous works, the optimal initial disturbances correspond to steady counter-rotating streamwise vortices, which subsequently lead to the formation of streamwise-elongated structures, i.e., streaks, via a lift-up effect. The nonlinear evolution of the linearly optimal stationary perturbations is computed using the nonlinear plane-marching PSE for stationary perturbations. A fully implicit marching technique is used to facilitate the computation of nonlinear streaks with large amplitudes. To assess the effect of the finite-amplitude streaks on transition, the linear form of plane- marching PSE is used to investigate the instability of the boundary layer flow modified by spanwise periodic streaks. The onset of bypass transition is estimated by using an N- factor criterion based on the amplification of the streak instabilities. Results show that, for both flow configurations of interest, streaks of sufficiently large amplitude can lead to significantly earlier onset of transition than that in an unperturbed boundary layer without any streaks.

  9. Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition

    Science.gov (United States)

    Puyoo, E.; Malhaire, C.; Thomas, D.; Rafaël, R.; R'Mili, M.; Malchère, A.; Roiban, L.; Koneti, S.; Bugnet, M.; Sabac, A.; Le Berre, M.

    2017-03-01

    Platinum nanoparticle-based strain gauges are elaborated by means of atomic layer deposition on flexible polyimide substrates. Their electro-mechanical response is tested under mechanical bending in both buckling and conformational contact configurations. A maximum gauge factor of 70 is reached at a strain level of 0.5%. Although the exponential dependence of the gauge resistance on strain is attributed to the tunneling effect, it is shown that the majority of the junctions between adjacent Pt nanoparticles are in a short circuit state. Finally, we demonstrate the feasibility of an all-plastic pressure sensor integrating Pt nanoparticle-based strain gauges in a Wheatstone bridge configuration.

  10. Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics

    KAUST Repository

    Alshammari, Fwzah Hamud; Hota, Mrinal Kanti; Wang, Zhenwei; Aljawhari, Hala; Alshareef, Husam N.

    2017-01-01

    Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93

  11. Low temperature formation of higher-k cubic phase HfO{sub 2} by atomic layer deposition on GeO{sub x}/Ge structures fabricated by in-situ thermal oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, R., E-mail: zhang@mosfet.t.u-tokyo.ac.jp [School of Engineering, The University of Tokyo, 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-8656 (Japan); Department of Information Science and Electronic Engineering, Zhejiang University, 38 Zheda Road, Hangzhou 310027 (China); Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S. [School of Engineering, The University of Tokyo, 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2016-02-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO{sub 2} using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO{sub x} interfacial layer. It is found that the cubic phase is dominant in the HfO{sub 2} film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO{sub 2} film on a 1-nm-thick GeO{sub x} form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO{sub 2} can be induced by the formation of six-fold crystalline GeO{sub x} structures in the underlying GeO{sub x} interfacial layer.

  12. Influence of PEDOT:PSS on the effectiveness of barrier layers prepared by atomic layer deposition in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Wegler, Barbara, E-mail: barbara.wegler@siemens.com [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen, Germany and Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany); Schmidt, Oliver [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Hensel, Bernhard [Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany)

    2015-01-15

    Organic light emitting diodes (OLEDs) are well suited for energy saving lighting applications, especially when thinking about highly flexible and large area devices. In order to avoid the degradation of the organic components by water and oxygen, OLEDs need to be encapsulated, e.g., by a thin sheet of glass. As the device is then no longer flexible, alternative coatings are required. Atomic layer deposition (ALD) is a very promising approach in this respect. The authors studied OLEDs that were encapsulated by 100 nm Al{sub 2}O{sub 3} deposited by ALD. The authors show that this coating effectively protects the active surface area of the OLEDs from humidity. However, secondary degradation processes still occur at sharp edges of the OLED stack where the extremely thin encapsulation layer does not provide perfect coverage. Particularly, the swelling of poly(3,4-ethylenedioxythiophene) mixed with poly(styrenesulfonate), which is a popular choice for the planarization of the bottom electrode and at the same time acts as a hole injection layer, affects the effectiveness of the encapsulation layer.

  13. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  14. ATOMIC LAYER DEPOSITION OF TITANIUM OXIDE THIN FILMS ONNANOPOROUS ALUMINA TEMPLATES FOR MEDICAL APPLICATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Brigmon, R.

    2009-05-05

    Nanostructured materials may play a significant role in controlled release of pharmacologic agents for treatment of cancer. Many nanoporous polymer materials are inadequate for use in drug delivery. Nanoporous alumina provides several advantages over other materials for use in controlled drug delivery and other medical applications. Atomic layer deposition was used to coat all the surfaces of the nanoporous alumina membrane in order to reduce the pore size in a controlled manner. Both the 20 nm and 100 nm titanium oxide-coated nanoporous alumina membranes did not exhibit statistically lower viability compared to the uncoated nanoporous alumina membrane control materials. In addition, 20 nm pore size titanium oxide-coated nanoporous alumina membranes exposed to ultraviolet light demonstrated activity against Escherichia coli and Staphylococcus aureus bacteria. Nanostructured materials prepared using atomic layer deposition may be useful for delivering a pharmacologic agent at a precise rate to a specific location in the body. These materials may serve as the basis for 'smart' drug delivery devices, orthopedic implants, or self-sterilizing medical devices.

  15. Supercapacitor electrodes by direct growth of multi-walled carbon nanotubes on Al: a study of performance versus layer growth evolution

    International Nuclear Information System (INIS)

    Zhao, Fu; Vicenzo, Antonello; Hashempour, Mazdak; Bestetti, Massimiliano

    2014-01-01

    Supercapacitor electrodes were fabricated by direct growth of multi-walled carbon nanotubes (CNTs) on Al current collectors via a chemical vapor deposition process in the presence of a spin-coated Co-Mo catalyst. A detailed study of the dependence of the CNT layer structure and thickness on growth time set the basis for the assessment of supercapacitors assembled with the CNTs/Al electrodes. As the main features of the layer growth evolution, an increase in the population of finer CNTs and a shift from a random entanglement to a rough vertical alignment of nanotubes were noted with proceeding growth. The growth time influence on the performance of supercapacitors was in fact apparent. Particularly, the specific capacitance of CNTs/Al electrodes in 0.5 M K 2 SO 4 aqueous electrolyte increased from 35 to 80 F g −1 as the CNT layer thickness varied from 20 to 60 μm, with a concurrent loss in rate capability (knee frequency from 1 kHz to 60 Hz). The latter was excellent in general, arguably due to both a fast ion transport through the interconnected CNT network and a negligible contribution of the active layer/current collector contact to the equivalent series resistance (0.15–0.22 mΩ g), a distinct advantage of the direct growth fabrication method. Overall, a relatively simple process of direct growth of CNTs on Al foils is shown to be an effective method to fabricate supercapacitor electrodes, notably in the absence of special measures and processing steps finalized to a tight control of nanotubes growth and organization

  16. Co-depositing Sn controls the growth of Al films as surfactant

    International Nuclear Information System (INIS)

    Barna, P. B.; Kovacs, A.; Misjak, F.; Eisenmenger-Sittner, C.; Bangert, H.; Tomastik, C.

    2002-01-01

    The present study investigates the influence of co-deposited Sn on the atomic processes involved in the structure evolution of vapour-deposited Al films. The films were prepared in HV by thermal evaporation from W sources at 1600 C substrate temperature either on Si wafers covered by a thermally grown oxide or on air cleaved mica. By applying the half-shadow technique, pure and Sn-doped Al films could be deposited simultaneously. The samples were investigated by AFM, scanning AES, X-TEM as well as by X-ray diffraction methods. The grain growth of Al is promoted by Sn in all stages of the film formation. Scanning AES measurements prove the existence of a wetting Sn layer both on the surface of Al islands and on the surface of the continuos Al layer. Excess Sn forms islands on the growth surface. The surface of pure Al layers exhibits grain boundary grooves and bunches of growth steps around terraces, while that of the Sn doped layers is more rounded. The substrate-film interface was covered by a thin Sn layer. AES measurements also prove the presence of Sn on the growth surface of Al films even after termination of Sn addition. Results of these experiments indicate that during co-deposition of Al and Sn the impinging Al atoms penetrate the wetting layer and are incorporated into the already existing Al crystals. A model has been developed for describing the growth of Al crystals in the presence Sn. (Authors)

  17. Cleaved-edge overgrowth of aligned quantum dots on strained layers of InGaAs

    International Nuclear Information System (INIS)

    Wasserman, D.; Lyon, S.A.

    2004-01-01

    Strain aligned InAs quantum dots were grown on the cleaved edges of first growth samples containing strained In x Ga (1-x) As layers of varying thickness and indium fraction. The formation of the cleaved-edge quantum dots was observed by means of atomic force microscopy. 100% linear alignment of InAs quantum dots over the InGaAs strain layers of the first growth sample is demonstrated. Linear density of the aligned dots was found to depend on the properties of the underlying InGaAs strain layers. Vertical alignment of an additional InAs quantum dot layer over the buried, linearly aligned, initial dot layer was observed for thin GaAs spacer layers

  18. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2017-02-24

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications. The SnO2/MXene anode exploits the high Li-ion capacity offered by SnO2, while maintaining the structural and mechanical integrity by the conductive MXene platform. The atomic layer deposition (ALD) conditions used to deposit SnO2 on MXene terminated with oxygen, fluorine, and hydroxyl-groups were found to be critical for preventing MXene degradation during ALD. We demonstrate that SnO2/MXene electrodes exhibit excellent electrochemical performance as Li-ion battery anodes, where conductive MXene sheets act to buffer the volume changes associated with lithiation and delithiation of SnO2. The cyclic performance of the anodes is further improved by depositing a very thin passivation layer of HfO2, in the same ALD reactor, on the SnO2/MXene anode. This is shown by high-resolution transmission electron microscopy to also improve the structural integrity of SnO2 anode during cycling. The HfO2 coated SnO2/MXene electrodes demonstrate a stable specific capacity of 843 mAh/g when used as Li-ion battery anodes.

  19. Wavelength dependence of the linear growth rate of the Es layer instability

    Directory of Open Access Journals (Sweden)

    R. B. Cosgrove

    2007-06-01

    Full Text Available It has recently been shown, by computation of the linear growth rate, that midlatitude sporadic-E (Es layers are subject to a large scale electrodynamic instability. This instability is a logical candidate to explain certain frontal structuring events, and polarization electric fields, which have been observed in Es layers by ionosondes, by coherent scatter radars, and by rockets. However, the original growth rate derivation assumed an infinitely thin Es layer, and therefore did not address the short wavelength cutoff. Also, the same derivation ignored the effects of F region loading, which is a significant wavelength dependent effect. Herein is given a generalized derivation that remedies both these short comings, and thereby allows a computation of the wavelength dependence of the linear growth rate, as well as computations of various threshold conditions. The wavelength dependence of the linear growth rate is compared with observed periodicities, and the role of the zeroth order meridional wind is explored. A three-dimensional paper model is used to explain the instability geometry, which has been defined formally in previous works.

  20. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  1. A novel, ultra sensible biosensor built by layer-by-layer covalent attachment of a receptor for diagnosis of tumor growth

    International Nuclear Information System (INIS)

    Uygun, Zihni Onur; Sezgintuerk, Mustafa Kemal

    2011-01-01

    Highlights: → Vascular Entothelial Growth Factor Receptor-1 was used as a biorecognition element as a first time in the literature. → Electrochemical impedance spectroscopy, as a measurement principle was used for analysis of VEGF-R1/VEGF interaction as a first time. → A layer-by-layer immobilization procedure enhanced the sensibility of the biosensor. → The biosensor could detect vascular endothelial growth factor in the range of 100-600 femtogram mL -1 . - Abstract: In the presented research, a novel, ultra sensitive biosensor for the impedimetric detection of vascular endothelial growth factor (VEGF) is introduced. The human vascular endothelial growth factor receptor 1 (VEGF-R1, Flt-1) was used as a biorecognition element for the first time. The immobilization of VEGF-R1 on glassy carbon electrodes was carried out using layer-by-layer covalent attachment of VEGF-R1. The electrochemical properties of the layers constructed on the electrodes were characterized by electrochemical impedance spectroscopy (EIS) and cyclic voltammetry (CV). The differences in electron transfer resistance (R et ) between the working solution and the biosensor surface, recorded by the redox probe K 3 [Fe(CN) 6 ]/K 4 [Fe(CN) 6 ], confirmed the binding of VEGF to VEGF-R1. The new biosensor allowed a detection limit of 100 fg mL -1 with a linear range of 100-600 fg mL -1 to be obtained. The biosensor also exhibited good repeatability (with a correlation coefficient of 1.95%), and reproducibility.

  2. A porous layer: an evidence for the deterioration of MOVPE InN grown at high temperature (∝650 C)

    International Nuclear Information System (INIS)

    Sugita, K.; Hashimoto, A.; Yamamoto, A.

    2009-01-01

    This paper indicates an evidence for the deterioration of the MOVPE InN during the growth at high temperature (∝650 C). It is noted that the deterioration occurs near the interface and InN film becomes porous layer during the further growth. The porous layer has high electron density. The rate-limiting process of N-face InN decomposition depends on atomic hydrogen. The atomic hydrogen produced by the decomposition of NH 3 is responsible for the deterioration of InN film. The crystal quality of InN improves with decreasing the porous layer which is important for MOVPE InN. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Effect of Growth Temperature on the Structural and Electrical Properties of ZrO2 Films Fabricated by Atomic Layer Deposition Using a CpZr[N(CH32]3/C7H8 Cocktail Precursor

    Directory of Open Access Journals (Sweden)

    Jong-Ki An

    2018-03-01

    Full Text Available The effect of growth temperature on the atomic layer deposition of zirconium oxide (ZrO2 dielectric thin films that were fabricated using a CpZr[N(CH32]3/C7H8 cocktail precursor with ozone was investigated. The chemical, structural, and electrical properties of ZrO2 films grown at temperatures from 250 to 350 °C were characterized. Stoichiometric ZrO2 films formed at 250–350 °C with an atomic ratio of O to Zr of 1.8–1.9 and a low content of carbon impurities. The film formed at 300 °C was predominantly the tetragonal crystalline phase, whereas that formed at 350 °C was a mixture of tetragonal and monoclinic phases. Electrical properties, such as capacitance, leakage current, and voltage linearity of TiN/ZrO2/TiN capacitors fabricated using the thin ZrO2 films grown at different temperatures were compared capacitor applications. The ZrO2 film grown at 300 °C exhibited low impurity content, predominantly tetragonal crystalline structure, a high dielectric permittivity of 38.3, a low leakage current of below 10−7 A/cm2 at 2 V, and low-voltage linearity.

  4. Highly resolving Rutherford-scattering spectrometry for the study of ZrO{sub 2} layer growth in the beginning stage; Hochaufloesende Rutherford-Streuspektrometrie zur Untersuchung von ZrO{sub 2}-Schichtwachstum im Anfangsstadium

    Energy Technology Data Exchange (ETDEWEB)

    Vieluf, Maik

    2010-06-15

    By means of High Resolution Rutherford Backscattering Spectrometry (HR-RBS) the diffusion behaviour and layer growth of ZrO{sub 2} on SiO{sub 2} and TiN in the initial regime were investigated. The analysis of concentration profiles in ultrathin layers and interfaces was the focus of this work, made possible by the excellent depth resolution of less than 0.3 nm near the surface. For the first time a two-dimensional position sensitive semiconductor detector was implemented and characterized in the setup of the HR-RBS for the improvement of the quality of the measurement results. Furthermore, a measurement procedure was put into operation that allowed the reduction of ion induced damage. Through the optimization of the experimental conditions and the development of a program package for the support of the analyst, an efficient measurement procedure could be routinely ensured. At the time of a binary collision between the incident ion and the target element with a small impact factor, the charge state changes frequently, especially due to the abruptly decreasing ion velocity of the projectile and the overlapping of the electron clouds. For HR-RBS with an energy-separating dipole magnet, the charge state distribution of the scattered ions must be known for the interpretation of the measured spectra. For the first time a significant dependence of the charge state distribution of the scattered C ions on the layer thickness as well as atomic number of the detected target elements, here from the fourth subgroup, was demonstrated. This new knowledge allowed systematic investigations of the ZrO{sub 2} layer growth in the initial regime. The ZrO{sub 2} layers were produced by means of the atomic layer deposition (ALD). Based on the evidence for agglomeration of ZrO{sub 2} on SiO{sub 2} a method was introduced, which takes local thickness variations into account during the simulation of the HR-RBS spectra. An accurate statement about the ZrO{sub 2}/SiO{sub 2} interface was

  5. Growth and structure of L1 sub 0 ordered FePt films on GaAs(001)

    CERN Document Server

    Nefedov, A; Theis-Broehl, K; Zabel, H; Doi, M; Schuster, E; Keune, W

    2002-01-01

    The structural properties of epitaxial L1 sub 0 ordered FePt(001) films, grown by molecular beam epitaxy (alternating deposition of Fe and Pt atomic layers) on buffer-Pt/seed-Fe/GaAs(001) have been studied by in situ reflection high-energy electron diffraction and by ex situ x-ray scattering as a function of the growth conditions. Reflection high-energy electron diffraction intensity oscillations measured during FePt layer growth provide evidence for island growth at T sub s = 200 deg. C and quasi layer-by-layer growth at T sub s = 350 deg. C. From small-angle and wide-angle x-ray scattering it was found that the degree of epitaxy depends critically on morphology of the seed layer and the substrate roughness. X-ray diffraction analysis showed that the long-range order parameter increases from near zero for films grown at 200 deg. C to 0.65 for films grown at 350 deg. C. This confirms the fact that the order parameter is mainly determined by the surface mobility of the atoms which is controlled experimentally ...

  6. Growth of ZnO layers for transparent and flexible electronics

    International Nuclear Information System (INIS)

    Mofor, A.C.; Bakin, A.S.; Postels, B.; Suleiman, M.; Elshaer, A.; Waag, A.

    2008-01-01

    We have deposited and characterised ZnO on flexible and transparent plastic polymer. We employed a specially designed vapour phase growth system with elemental sources for zinc and oxygen and deposited thin ZnO films at temperatures below 400 deg. C. Basic photoluminescence characterisation confirms ZnO. Ohmic contacts were fabricated on these layers and the layers exhibit significantly high electron concentration with carrier mobility μ of up to 10.78 cm 2 V -1 s -1 . Furthermore, we show how these layers can be processed with conventional device processing techniques

  7. Growth of ZnO layers for transparent and flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Mofor, A.C.; Bakin, A.S.; Postels, B.; Suleiman, M.; Elshaer, A.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Str. 66, D-38106 Braunschweig (Germany)

    2008-02-15

    We have deposited and characterised ZnO on flexible and transparent plastic polymer. We employed a specially designed vapour phase growth system with elemental sources for zinc and oxygen and deposited thin ZnO films at temperatures below 400 deg. C. Basic photoluminescence characterisation confirms ZnO. Ohmic contacts were fabricated on these layers and the layers exhibit significantly high electron concentration with carrier mobility {mu} of up to 10.78 cm{sup 2} V{sup -1} s{sup -1}. Furthermore, we show how these layers can be processed with conventional device processing techniques.

  8. Surface passivation of nano-textured fluorescent SiC by atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Lu, Weifang; Ou, Yiyu; Jokubavicius, Valdas

    2016-01-01

    Nano-textured surfaces have played a key role in optoelectronic materials to enhance the light extraction efficiency. In this work, morphology and optical properties of nano-textured SiC covered with atomic layer deposited (ALD) TiO2 were investigated. In order to obtain a high quality surface fo...

  9. Atomic Resolution Structural and Chemical Imaging Revealing the Sequential Migration of Ni, Co, and Mn upon the Battery Cycling of Layered Cathode

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Pengfei; Zheng, Jianming; Zhang, Ji-Guang; Wang, Chongmin

    2017-05-11

    Layered lithium transition metal oxides (LTMO) are promising candidate cathode materials for next generation high energy density lithium ion battery. The challenge for using this category of cathode is the capacity and voltage fading, which is believed to be associated with the layered structure disordering, a process that is initiated from the surface or solid-electrolyte interface and facilitated by transition metal (TM) reduction and oxygen vacancy formation. However, the atomic level dynamic mechanism of such a layered structure disordering is still not fully clear. In this work, utilizing atomic resolution electron energy loss spectroscopy (EELS), we map, for the first time at atomic scale, the spatial evolution of Ni, Co and Mn in a cycled LiNi1/3M1/3Co1/3O2 layered cathode. In combination with atomic level structural imaging, we discovered the direct correlation of TM ions migration behavior with lattice disordering, featuring the residing of TM ions in the tetrahedral site and a sequential migration of Ni, Co, and Mn upon the increased lattice disordering of the layered structure. This work highlights that Ni ions, though acting as the dominant redox species in many LTMO, are labile to migrate to cause lattice disordering upon battery cycling; while the Mn ions are more stable as compared with Ni and Co and can act as pillar to stabilize layered structure. Direct visualization of the behavior of TM ions during the battery cycling provides insight for designing of cathode with structural stability and correspondingly a superior performance.

  10. Sensor-based atomic layer deposition for rapid process learning and enhanced manufacturability

    Science.gov (United States)

    Lei, Wei

    In the search for sensor based atomic layer deposition (ALD) process to accelerate process learning and enhance manufacturability, we have explored new reactor designs and applied in-situ process sensing to W and HfO 2 ALD processes. A novel wafer scale ALD reactor, which features fast gas switching, good process sensing compatibility and significant similarity to the real manufacturing environment, is constructed. The reactor has a unique movable reactor cap design that allows two possible operation modes: (1) steady-state flow with alternating gas species; or (2) fill-and-pump-out cycling of each gas, accelerating the pump-out by lifting the cap to employ the large chamber volume as ballast. Downstream quadrupole mass spectrometry (QMS) sampling is applied for in-situ process sensing of tungsten ALD process. The QMS reveals essential surface reaction dynamics through real-time signals associated with byproduct generation as well as precursor introduction and depletion for each ALD half cycle, which are then used for process learning and optimization. More subtle interactions such as imperfect surface saturation and reactant dose interaction are also directly observed by QMS, indicating that ALD process is more complicated than the suggested layer-by-layer growth. By integrating in real-time the byproduct QMS signals over each exposure and plotting it against process cycle number, the deposition kinetics on the wafer is directly measured. For continuous ALD runs, the total integrated byproduct QMS signal in each ALD run is also linear to ALD film thickness, and therefore can be used for ALD film thickness metrology. The in-situ process sensing is also applied to HfO2 ALD process that is carried out in a furnace type ALD reactor. Precursor dose end-point control is applied to precisely control the precursor dose in each half cycle. Multiple process sensors, including quartz crystal microbalance (QCM) and QMS are used to provide real time process information. The

  11. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Science.gov (United States)

    Zuzuarregui, Ana; Coto, Borja; Rodríguez, Jorge; Gregorczyk, Keith E.; Ruiz de Gopegui, Unai; Barriga, Javier; Knez, Mato

    2015-08-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  12. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zuzuarregui, Ana, E-mail: a.zuzuarregui@nanogune.eu; Gregorczyk, Keith E. [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier [IK4-Tekniker, Iñaki Goenaga 5, 20600 Eibar (Spain); Rodríguez, Jorge [Torresol Energy (SENER Group), Avda. de Zugazarte 61, 48930 Las Arenas (Spain); Knez, Mato [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); IKERBASQUE Basque Foundation for Science, Maria Diaz de Haro 3, 48013 Bilbao (Spain)

    2015-08-10

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  13. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    International Nuclear Information System (INIS)

    Zuzuarregui, Ana; Gregorczyk, Keith E.; Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier; Rodríguez, Jorge; Knez, Mato

    2015-01-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur

  14. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization.

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M

    2018-05-04

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO 2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al 2 O 3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  15. On the nature of the disordered layer produced by ion implantation

    International Nuclear Information System (INIS)

    Zellama, K.; Germain, P.; Squelard, S.; Bourgoin, J.C.; Piaguet, J.; Robic, J.Y.

    1978-01-01

    The aim of this communication is to compare some thermodynamic parameters measured in amorphous layers produced by evaporation and in disordered layers produced by ion implantation (which will be called implanted layers). The thermodynamics parameters studied are: the temperature of the annealing stages (reflecting the activation energies for atomic rearrangement) and the activation energy of the growth rate for crystallization. This investigation has been performed in germanium because the crystallization in this material has been extensively studied. (author)

  16. Control of growth mode in SrTiO3 homoepitaxy under 500 deg. C

    International Nuclear Information System (INIS)

    Li Yanrong; Li Jinlong; Zhang Ying; Wei Xianhua; Deng Xinwu; Liu Xingzhao

    2004-01-01

    Homoepitaxial SrTiO 3 thin films were grown by laser molecular beam epitaxy. The growth mode was determined by in-situ reflective high energy electron diffraction, and the surface of the films was studied by ex-situ atomic force microscopy. At the deposition rate of 0.16A ring /sec and the laser energy density of 6J/cm 2 , layer-by-layer growth was observed above 460 deg. C substrate temperature, while the Stranski-Krastanov growth mode, that is layer-by-layer growth plus island growth mode, prevailed between 460 deg. C and 410 deg. C. On further decreasing the substrate temperature, the island growth was determined under 410 deg. C. With the optimization of deposition process in terms of laser energy density and deposition rate, the lowest crystallization temperatures of SrTiO 3 films grown in layer-by-layer growth mode were obtained as low as 280 deg. C. The effects of laser energy density on growth temperature were studied

  17. The influence of tertiary butyl hydrazine as a co-reactant on the atomic layer deposition of silver

    Energy Technology Data Exchange (ETDEWEB)

    Golrokhi, Zahra; Marshall, Paul A.; Romani, Simon [Centre for Materials and Structures, School of Engineering,The University of Liverpool, Liverpool L69 3GH (United Kingdom); Rushworth, Simon [EpiValence, The Wilton Centre, Redcar, Cleveland, TS10 4RF (United Kingdom); Chalker, Paul R. [Centre for Materials and Structures, School of Engineering,The University of Liverpool, Liverpool L69 3GH (United Kingdom); Potter, Richard J., E-mail: rjpott@liverpool.ac.uk [Centre for Materials and Structures, School of Engineering,The University of Liverpool, Liverpool L69 3GH (United Kingdom)

    2017-03-31

    Highlights: • We demonstrate metallic silver growth by direct liquid injection thermal ALD. • A substituted hydrazine is used as a powerful reducing agent for the first time. • The hydrazine extends the ALD temperature window compared with alcohol. • Hydrazine promotes a more planar growth mode compared to alcohol. • Film adhesion is improved using hydrazine compared with alcohol. - Abstract: Ultra-thin conformal silver films are the focus of development for applications such as anti-microbial surfaces, optical components and electronic devices. In this study, metallic silver films have been deposited using direct liquid injection thermal atomic layer deposition (ALD) using (hfac)Ag(1,5-COD) ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) as the metal source and tertiary butyl hydrazine (TBH) as a co-reactant. The process provides a 23 °C wide ‘self-limiting’ ALD temperature window between 105 and 128 °C, which is significantly wider than is achievable using alcohol as a co-reactant. A mass deposition rate of ∼20 ng/cm{sup 2}/cycle (∼0.18 Å/cycle) is observed under self-limiting growth conditions. The resulting films are crystalline metallic silver with a near planar film-like morphology which are electrically conductive. By extending the temperature range of the ALD window by the use of TBH as a co-reactant, it is envisaged that the process will be exploitable in a range of new low temperature applications.

  18. Atomic Layer Deposition of Stable LiAlF4 Lithium Ion Conductive Interfacial Layer for Stable Cathode Cycling.

    Science.gov (United States)

    Xie, Jin; Sendek, Austin D; Cubuk, Ekin D; Zhang, Xiaokun; Lu, Zhiyi; Gong, Yongji; Wu, Tong; Shi, Feifei; Liu, Wei; Reed, Evan J; Cui, Yi

    2017-07-25

    Modern lithium ion batteries are often desired to operate at a wide electrochemical window to maximize energy densities. While pushing the limit of cutoff potentials allows batteries to provide greater energy densities with enhanced specific capacities and higher voltage outputs, it raises key challenges with thermodynamic and kinetic stability in the battery. This is especially true for layered lithium transition-metal oxides, where capacities can improve but stabilities are compromised as wider electrochemical windows are applied. To overcome the above-mentioned challenges, we used atomic layer deposition to develop a LiAlF 4 solid thin film with robust stability and satisfactory ion conductivity, which is superior to commonly used LiF and AlF 3 . With a predicted stable electrochemical window of approximately 2.0 ± 0.9 to 5.7 ± 0.7 V vs Li + /Li for LiAlF 4 , excellent stability was achieved for high Ni content LiNi 0.8 Mn 0.1 Co 0.1 O 2 electrodes with LiAlF 4 interfacial layer at a wide electrochemical window of 2.75-4.50 V vs Li + /Li.

  19. Methods for improved growth of group III nitride buffer layers

    Science.gov (United States)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    2014-07-15

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphology of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).

  20. Bi induced step-flow growth in the homoepitaxial growth of Au(1 1 1)

    International Nuclear Information System (INIS)

    Kamiko, M.; Mizuno, H.; Chihaya, H.; Xu, J.-H.; Kojima, I.; Yamamoto, R.

    2005-01-01

    Homoepitaxial growth of Au on Bi-covered Au(1 1 1) was studied at room temperature using reflection high-energy electron diffraction (RHEED) and Auger electron spectroscopy (AES). From observations of RHEED it is found that the Au(1 1 1) (23 x 1) reconstruction structure changes to a (1 x 1) by about 0.16-0.5 ML deposition of Bi and to a (2√3 x 2√3)R30 deg by about 1.0 ML deposition of Bi, respectively. The surface morphology evolution by Bi deposition leads to a change of Au homoepitaxial growth behavior from layer-by-layer to step flow. This indicates that the surface diffusion distance of Au atoms on the Bi-precovered (1 x 1) and (2√3 x 2√3)R30 deg surfaces is longer than that on the Au(1 1 1) (23 x 1) clean surfaces. A strong surface segregation of Bi was found at top of surface. It is concluded that Bi atoms acted as an effective surfactant in the Au homoepitaxial growth by promoting Au intralayer mass transport

  1. Growth of centimeter-scale atomically thin MoS2 films by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Gene Siegel

    2015-05-01

    Full Text Available We are reporting the growth of single layer and few-layer MoS2 films on single crystal sapphire substrates using a pulsed-laser deposition technique. A pulsed KrF excimer laser (wavelength: 248 nm; pulse width: 25 ns was used to ablate a polycrystalline MoS2 target. The material thus ablated was deposited on a single crystal sapphire (0001 substrate kept at 700 °C in an ambient vacuum of 10−6 Torr. Detailed characterization of the films was performed using atomic force microscopy (AFM, Raman spectroscopy, UV-Vis spectroscopy, and photoluminescence (PL measurements. The ablation of the MoS2 target by 50 laser pulses (energy density: 1.5 J/cm2 was found to result in the formation of a monolayer of MoS2 as shown by AFM results. In the Raman spectrum, A1g and E12g peaks were observed at 404.6 cm−1 and 384.5 cm−1 with a spacing of 20.1 cm−1, confirming the monolayer thickness of the film. The UV-Vis absorption spectrum exhibited two exciton absorption bands at 672 nm (1.85 eV and 615 nm (2.02 eV, with an energy split of 0.17 eV, which is in excellent agreement with the theoretically predicted value of 0.15 eV. The monolayer MoS2 exhibited a PL peak at 1.85 eV confirming the direct nature of the band-gap. By varying the number of laser pulses, bi-layer, tri-layer, and few-layer MoS2 films were prepared. It was found that as the number of monolayers (n in the MoS2 films increases, the spacing between the A1g and E12g Raman peaks (Δf increases following an empirical relation, Δ f = 26 . 45 − 15 . 42 1 + 1 . 44 n 0 . 9 cm − 1 .

  2. Hydrogen–argon plasma pre-treatment for improving the anti-corrosion properties of thin Al2O3 films deposited using atomic layer deposition on steel

    International Nuclear Information System (INIS)

    Härkönen, Emma; Potts, Stephen E.; Kessels, Wilhelmus M.M.; Díaz, Belén; Seyeux, Antoine; Światowska, Jolanta; Maurice, Vincent; Marcus, Philippe; Radnóczi, György; Tóth, Lajos; Kariniemi, Maarit; Niinistö, Jaakko; Ritala, Mikko

    2013-01-01

    The effect of H 2 –Ar plasma pre-treatment prior to thermal atomic layer deposition (ALD) and plasma-enhanced atomic layer deposition (PEALD) of Al 2 O 3 films on steel for corrosion protection was investigated. Time-of-flight secondary ion mass spectrometry and transmission electron microscopy were used to observe the changes in the interface. The electrochemical properties of the samples were studied with polarization measurements, and the coating porosities were calculated from the polarization results for easier comparison of the coatings. Prior to thermal ALD the plasma pre-treatment was observed to reduce the amount of impurities at the interface and coating porosity by 1–3 orders of magnitude. The anti-corrosion properties of the PEALD coatings could also be improved by the pre-treatment. However, exposure of the pre-treatment plasma activated steel surface to oxygen plasma species in PEALD led to facile oxide layer formation in the interface. The oxide layer formed this way was thicker than the native oxide layer and appeared to be detrimental to the protective properties of the coating. The best performance for PEALD Al 2 O 3 coatings was achieved when, after the plasma pre-treatment, the surface was given time to regrow a thin protective interfacial oxide prior to exposure to the oxygen plasma. The different effects that thermal and plasma-enhanced ALD have on the substrate-coating interface were compared. The reactivity of the oxygen precursor was shown to have a significant influence on substrate surface in the early stages of film growth and thereafter also on the overall quality of the protective film. - Highlights: • Influence of H 2 –Ar plasma pre-treatment to ALD coatings on steel was studied. • The pre-treatment modified the coating–substrate interface composition and thickness. • The pre-treatment improved the barrier properties of the coatings

  3. In situ surface X-ray diffraction studies of the copper-electrolyte interface. Atomic structure and homoepitaxial grwoth

    Energy Technology Data Exchange (ETDEWEB)

    Golks, Frederik

    2011-05-19

    Copper electrodeposition is the predominantly used technique for on-chip wiring in the fabrication of ultra-large scale integrated (ULSI) microchips. In this 'damascene copper electroplating' process, multicomponent electrolytes containing organic additives realize void-free filling of trenches with high aspect ratio ('superconformal deposition'). Despite manifold studies, motivated by the continuous trend to shrink wiring dimensions and thus the demand of optimized plating baths, detailed knowledge on the growth mechanism - in presence and absence of additives - is still lacking. Using a recently developed hanging meniscus X-ray transmission cell, brilliant synchrotron x-rays and a fast, one-dimensional detector system, unique real-time in situ surface X-ray diffraction studies of copper electrodeposition were performed under realistic reaction conditions, approaching rates of technological relevance. Preparatory measurements of the electrochemical dissolution of Au(001) in chloride-containing electrolyte demonstrated the capability of this powerful technique, specifically the possibility to follow atomic-scale deposition or dissolution processes with a time resolution down to five milliseconds. The electrochemical as well as structural characterization of the Cu(001)- and Cu(111)-electrolyte interfaces provided detailed insight into the complex atomic-scale structures in presence of specifically adsorbed chloride on these surfaces. The interface of Cu(001) in chloride-containing electrolyte exhibits a continuous surface phase transition of a disordered Cl adlayer to a c(2 x 2) Cl adlayer with increasing potential. The latter was found to induce a small vertical corrugation of substrate atoms, which can be ascribed to lattice relaxations induced by the presence of coadsorbed water molecules and cations in the outer part of the electrochemical double layer. The study of the specific adsorption of chloride on Cu(111) from acidic aqueous

  4. In situ surface X-ray diffraction studies of the copper-electrolyte interface. Atomic structure and homoepitaxial grwoth

    Energy Technology Data Exchange (ETDEWEB)

    Golks, Frederik

    2011-05-19

    Copper electrodeposition is the predominantly used technique for on-chip wiring in the fabrication of ultra-large scale integrated (ULSI) microchips. In this 'damascene copper electroplating' process, multicomponent electrolytes containing organic additives realize void-free filling of trenches with high aspect ratio ('superconformal deposition'). Despite manifold studies, motivated by the continuous trend to shrink wiring dimensions and thus the demand of optimized plating baths, detailed knowledge on the growth mechanism - in presence and absence of additives - is still lacking. Using a recently developed hanging meniscus X-ray transmission cell, brilliant synchrotron x-rays and a fast, one-dimensional detector system, unique real-time in situ surface X-ray diffraction studies of copper electrodeposition were performed under realistic reaction conditions, approaching rates of technological relevance. Preparatory measurements of the electrochemical dissolution of Au(001) in chloride-containing electrolyte demonstrated the capability of this powerful technique, specifically the possibility to follow atomic-scale deposition or dissolution processes with a time resolution down to five milliseconds. The electrochemical as well as structural characterization of the Cu(001)- and Cu(111)-electrolyte interfaces provided detailed insight into the complex atomic-scale structures in presence of specifically adsorbed chloride on these surfaces. The interface of Cu(001) in chloride-containing electrolyte exhibits a continuous surface phase transition of a disordered Cl adlayer to a c(2 x 2) Cl adlayer with increasing potential. The latter was found to induce a small vertical corrugation of substrate atoms, which can be ascribed to lattice relaxations induced by the presence of coadsorbed water molecules and cations in the outer part of the electrochemical double layer. The study of the specific adsorption of chloride on Cu(111) from acidic aqueous electrolyte revealed a

  5. Growth of AlN films and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Jain, Rakesh B.; Gao, Ying; Zhang, Jianping; Qhaleed Fareed, R.S.; Gaska, Remis [Sensor Electronic Technology, Inc., 1195 Atlas Rd., Columbia, SC 29209 (United States); Li, Jiawei; Arjunan, Arulchakkravarthi; Yang, Jinwei; Asif Khan, M. [Department of Electrical Engineering, University of South Carolina, Columbia, SC 29208 (United States); Kuokstis, Edmundas [MTMI, Vilnius University, Vilnius (Lithuania)

    2006-06-15

    Single crystal AlN layers have been produced by migration enhanced metal organic chemical vapor deposition (MEMOCVD), hydride vapor phase epitaxy (HVPE) and their combination. The growth was carried out on 2'' basal plane sapphire substrates. In MEMOCVD, the duration and waveforms of precursors were varied to achieve better surface mobility and thus better atomic incorporation. It resulted in superior layer quality templates with the narrowest (002) X-ray rocking curve full width half maximum (FWHM). Such high quality AlN templates were used as seeds for subsequent HVPE growth. Thick films with thickness ranging from 1-25 {mu}m have been grown by HVPE with growth rates as high as 200 {mu}m/min, highest ever reported. Films grown by the two methods have been extensively characterized by Nomarski microscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), high-resolution X-ray diffractometry (HRXRD), and photoluminescence (PL). (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Interlayer electron-hole pair multiplication by hot carriers in atomic layer semiconductor heterostructures

    Science.gov (United States)

    Barati, Fatemeh; Grossnickle, Max; Su, Shanshan; Lake, Roger; Aji, Vivek; Gabor, Nathaniel

    Two-dimensional heterostructures composed of atomically thin transition metal dichalcogenides provide the opportunity to design novel devices for the study of electron-hole pair multiplication. We report on highly efficient multiplication of interlayer electron-hole pairs at the interface of a tungsten diselenide / molybdenum diselenide heterostructure. Electronic transport measurements of the interlayer current-voltage characteristics indicate that layer-indirect electron-hole pairs are generated by hot electron impact excitation. Our findings, which demonstrate an efficient energy relaxation pathway that competes with electron thermalization losses, make 2D semiconductor heterostructures viable for a new class of hot-carrier energy harvesting devices that exploit layer-indirect electron-hole excitations. SHINES, an Energy Frontier Research Center funded by the U.S. Department of Energy, Air Force Office of Scientific Research.

  7. Optimization of parameters in the simulation of the interdiffusion layer growth in Al-U couples

    International Nuclear Information System (INIS)

    Kniznik, Laura; Alonso, Paula R.; Gargano, Pablo H.; Rubiolo, Gerardo H.

    2009-01-01

    U-Mo alloy dispersed in aluminum is considered as a high U density fuel for research reactors. In and out of pile experiments showed a reaction layer in U-Mo/Al interphase with formation of intermetallics compounds: Al 2 U, Al 3 U and Al 4 U. Under irradiation, porosities originate an unacceptable swelling of the fuel plate. The kinetics of growth of the intermetallic compounds in the U-Mo/Al interphase is treated in the Al 3 U/Al couple as a planar moving boundary problem due to diffusion of Al and U atoms in the direction perpendicular to the interphase surface. Using data from literature, we built a thermodynamic database to be read by the Thermocalc code to calculate phase equilibria. The diffusion problem was carried out by the DICTRA simulation package which articulates data evaluated by Thermocalc with a mobility database. In a previous work we built preliminary databases, for both free energy and mobilities. In the present work, we adjust the parameters from experimental thermodynamic equilibria and concentration profiles existing in literature, and we simulate satisfactorily the growth of the Al 4 U phase. (author)

  8. Molecular dynamics study of growth and interface structure during aluminum deposition on Ni(1 0 0) substrate

    International Nuclear Information System (INIS)

    er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco); Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco); Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" >Hassani, A.; Makan, A.; er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" >Sbiaai, K.; er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco))" >Tabyaoui, A.; er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" >Hasnaoui, A.

    2015-01-01

    Highlights: • Aluminum thin film growth on Ni(1 0 0) substrate was investigated. • Molecular dynamics simulation based on EAM interaction potential was considered. • Hexagonal and fourfold structures coexisted in the first layer. • Interface mismatch was revealed by wavy effect occurring in both lateral directions. • Film growth followed a layer-by-layer mode only in the first three deposited layers. - Abstract: We investigate aluminum thin film growth on Ni(1 0 0) substrate by means of molecular dynamics simulation. Embedded Atom Method interaction potential is considered. The simulation is performed at 300 K using an incident energy of 1 eV. The substrate-grown film interface shows the coexistence of hexagonal and fourfold structures in the first layer during the initial stage of deposition. As the deposition proceeds, the hexagonal geometry transforms to fourfold one which becomes dominant toward the end of deposition. The coverage of this layer exceeded 100%. Moreover, the deposited Al atoms with fourfold geometry adopt the lattice parameter of Ni as the thickness of deposited film increases. The interface mismatch investigation revealed that the roughness is dictated by how the Al(1 1 1) fits to the Ni(1 0 0) substrate, which may be reflected by a wavy effect occurring in both lateral directions. Furthermore, the film grows by a layer-by-layer mode with a coverage rate greater than 66.7% in the first three layers, while it follows an island mode with a coverage rate lower than the previous value (66.7%) beyond the third layer. Overall, a detailed analysis of each layer growth has established a relationship between the number of deposited atoms and the coverage rate of each layer

  9. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO{sub 2} thin films grown by atomic layer deposition at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: babaisps@rrcat.gov.in [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Ajimsha, R.S. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Rajiv, K.; Mukherjee, C. [Mechanical and Optical Support Section, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Gupta, M. [UGC-DAE Consortium, Indore Centre, Khandwa Road, Indore 452017 (India); Misra, P.; Kukreja, L.M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2014-10-01

    Highlights: • Refractive index was found to be increased from amorphous to the nanocrystalline films. • Refractive index was found to be inversely proportional with growth per cycle. • Large-grained anatase films showed lower refractive indices than the amorphous films. • Roughness was taken into consideration due to the columnar growths of crystalline films. - Abstract: TiO{sub 2} thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (T{sub s}) in a wide range (50 °C ≤ T{sub s} ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (T{sub s} ≤ 150 °C) to the nanocrystalline films (250{sup 0} < T{sub s} ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple–DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  10. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  11. Transmission electron microscopy studies of HfO{sub 2} thin films grown by chloride-based atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Aidla, A. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia); Aarik, J. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia)

    2006-11-15

    Detailed transmission electron microscopy characterization of HfO{sub 2} films deposited on Si(1 0 0) using atomic layer deposition has been carried out. The influence of deposition temperature has been investigated. At 226 deg. C, a predominantly quasi-amorphous film containing large grains of cubic HfO{sub 2} (a {sub 0} = 5.08 A) was formed. Grain morphology enabled the nucleation sites to be determined. Hot stage microscopy showed that both the cubic phase and the quasi-amorphous phase were very resistant to thermal modification up to 500 deg. C. These observations suggest that nucleation sites for the growth of the crystalline cubic phase form at the growing surface of the film, rather homogeneously within the film. The films grown at higher temperatures (300-750 deg. C) are crystalline and monoclinic. The principal effects of deposition temperature were on: grain size, which coarsens at the highest temperature; roughness with increases at the higher temperatures due to the prismatic faceting, and texture, with texturing being strongest at intermediate temperatures. Detailed interfacial characterization shows that interfacial layers of SiO{sub 2} form at low and high temperatures. However, at intermediate temperatures, interfaces devoid of SiO{sub 2} were formed.

  12. Atomic diffusion induced degradation in bimetallic layer coated cemented tungsten carbide

    International Nuclear Information System (INIS)

    Peng, Zirong; Rohwerder, Michael; Choi, Pyuck-Pa; Gault, Baptiste; Meiners, Thorsten; Friedrichs, Marcel; Kreilkamp, Holger; Klocke, Fritz; Raabe, Dierk

    2017-01-01

    Highlights: • We study the temporal degradation of PtIr/Cr/WC and PtIr/Ni/WC systems. • Short cut diffusion, segregation, oxidation and interdiffusion reactions occurred. • Outward diffusion of Cr (Ni) via PtIr grain boundaries triggered the degradation. • The microstructure of the PtIr layer controlled the systems stability. • We propose an atomic diffusion induced degradation mechanism. - Abstract: We investigated the temporal degradation of glass moulding dies, made of cemented tungsten carbide coated with PtIr on an adhesive Cr or Ni interlayer, by electron microscopy and atom probe tomography. During the exposure treatments at 630 °C under an oxygen partial pressure of 1.12 × 10"−"2"3 bar, Cr (Ni) was found to diffuse outwards via grain boundaries in the PtIr, altering the surface morphology. Upon dissolution of the interlayer, the WC substrate also started degrading. Extensive interdiffusion processes involving PtIr, Cr (Ni) and WC took place, leading to the formation of intermetallic phases and voids, deteriorating the adhesion of the coating.

  13. Area-selective atomic layer deposition of platinum using photosensitive polyimide.

    Science.gov (United States)

    Vervuurt, René H J; Sharma, Akhil; Jiao, Yuqing; Kessels, Wilhelmus Erwin M M; Bol, Ageeth A

    2016-10-07

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a reference. The results show that polyimide has excellent selectivity towards the Pt deposition, after 1000 ALD cycles less than a monolayer of Pt is deposited on the polyimide surface. The polyimide film could easily be removed after ALD using a hydrogen plasma, due to a combination of weakening of the polyimide resist during Pt ALD and the catalytic activity of Pt traces on the polyimide surface. Compared to PMMA for AS-ALD of Pt, polyimide has better temperature stability. This resulted in an improved uniformity of the Pt deposits and superior definition of the Pt patterns. In addition, due to the absence of reflow contamination using polyimide the nucleation phase during Pt ALD is drastically shortened. Pt patterns down to 3.5 μm were created with polyimide, a factor of ten smaller than what is possible using PMMA, at the typical Pt ALD processing temperature of 300 °C. Initial experiments indicate that after further optimization of the polyimide process Pt features down to 100 nm should be possible, which makes AS-ALD of Pt using photosensitive polyimide a promising candidate for patterning at the nanoscale.

  14. Enhanced Doping Efficiency of Al-Doped ZnO by Atomic Layer Deposition Using Dimethylaluminum Isopropoxide as an Alternative Aluminum Precursor

    NARCIS (Netherlands)

    Wu, Y.; Potts, S.E.; Hermkens, P.M.; Knoops, H.C.M.; Roozeboom, F.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition offers the unique opportunity to control, at the atomic level, the 3D distribution of dopants in highly uniform and conformal thin films. Here, it is demonstrated that the maximum doping efficiency of Al in ZnO can be improved from ∼10% to almost 60% using dimethylaluminum

  15. Fatigue crack growth monitoring in multi-layered structures using guided ultrasonic waves

    International Nuclear Information System (INIS)

    Kostson, E; Fromme, P

    2009-01-01

    This contribution investigates the application of low frequency guided ultrasonic waves for monitoring fatigue crack growth at fastener holes in the 2nd layer of multi-layered plate structures, a common problem in aerospace industry. The model multi-layered structure investigated consists of two aluminum plate-strips adhesively bonded using a structural paste adhesive. Guided ultrasonic waves were excited using multiple piezoelectric discs bonded to the surface of the multi-layered structure. The wave propagation in the tensile specimen was measured using a laser interferometer and compared to numerical simulations. Thickness and width mode shapes of the excited flexural waves were identified from Semi-Analytical Finite Element (SAFE) calculations. Experiments and 3D Finite Element (FE) simulations show a change in the scattered field around fastener holes caused by a defect in the 2nd layer. The amplitude of the guided ultrasonic wave was monitored during fatigue experiments at a single point. The measured changes in the amplitude of the ultrasonic signal due to fatigue crack growth agree well with FE simulations.

  16. Engineering Particle Surface Chemistry and Electrochemistry with Atomic Layer Deposition

    Science.gov (United States)

    Jackson, David Hyman Kentaro

    Atomic layer deposition (ALD) is a vapor phase thin film coating technique that relies on sequential pulsing of precursors that undergo self-limited surface reactions. The self- limiting reactions and gas phase diffusion of the precursors together enable the conformal coating of microstructured particles with a high degree of thickness and compositional control. ALD may be used to deposit thin films that introduce new functionalities to a particle surface. Examples of new functionalities include: chemical reactivity, a mechanically strong protective coating, and an electrically resistive layer. The coatings properties are often dependent on the bulk properties and microstructure of the particle substrate, though they usually do not affect its bulk properties or microstructure. Particle ALD finds utility in the ability to synthesize well controlled, model systems, though it is expensive due to the need for costly metal precursors that are dangerous and require special handling. Enhanced properties due to ALD coating of particles in various applications are frequently described empirically, while the details of their enhancement mechanisms often remain the focus of ongoing research in the field. This study covers the various types of particle ALD and attempts to describe them from the unifying perspective of surface science.

  17. Scalable synthesis of palladium nanoparticle catalysts by atomic layer deposition

    International Nuclear Information System (INIS)

    Liang Xinhua; Lyon, Lauren B.; Jiang Yingbing; Weimer, Alan W.

    2012-01-01

    Atomic layer deposition (ALD) was used to produce Pd/Al 2 O 3 catalysts using sequential exposures of Pd(II) hexafluoroacetylacetonate and formalin at 200 °C in a fluidized bed reactor. The ALD-prepared Pd/alumina catalysts were characterized by various methods including hydrogen chemisorption, XPS, and TEM, and compared with a commercially available 1 wt% Pd/alumina catalyst, which was also characterized. The content of Pd on alumina support and the size of Pd nanoparticles can be controlled by the number of ALD-coating cycles and the dose time of the Pd precursor. One layer of organic component from the Pd precursor remained on the Pd particle surface. The ALD 0.9 wt% Pd/alumina had greater active metal surface area and percent metal dispersion than the commercial 1 wt% Pd/alumina catalyst. The ALD and commercial catalysts were subjected to catalytic testing to determine their relative activities for glucose oxidation to gluconic acid in aqueous solution. The ALD 0.9 wt% Pd/alumina catalyst had comparable activity as compared to the commercial 1 wt% Pd catalyst. No noticeable amount of Pd leaching was observed for the ALD-prepared catalysts during the vigorously stirred reaction.

  18. Barrierless growth of precursor-free, ultrafast laser-fragmented noble metal nanoparticles by colloidal atom clusters - A kinetic in situ study.

    Science.gov (United States)

    Jendrzej, Sandra; Gökce, Bilal; Amendola, Vincenzo; Barcikowski, Stephan

    2016-02-01

    Unintended post-synthesis growth of noble metal colloids caused by excess amounts of reactants or highly reactive atom clusters represents a fundamental problem in colloidal chemistry, affecting product stability or purity. Hence, quantified kinetics could allow defining nanoparticle size determination in dependence of the time. Here, we investigate in situ the growth kinetics of ps pulsed laser-fragmented platinum nanoparticles in presence of naked atom clusters in water without any influence of reducing agents or surfactants. The nanoparticle growth is investigated for platinum covering a time scale of minutes to 50days after nanoparticle generation, it is also supplemented by results obtained from gold and palladium. Since a minimum atom cluster concentration is exceeded, a significant growth is determined by time resolved UV/Vis spectroscopy, analytical disc centrifugation, zeta potential measurement and transmission electron microscopy. We suggest a decrease of atom cluster concentration over time, since nanoparticles grow at the expense of atom clusters. The growth mechanism during early phase (<1day) of laser-synthesized colloid is kinetically modeled by rapid barrierless coalescence. The prolonged slow nanoparticle growth is kinetically modeled by a combination of coalescence and Lifshitz-Slyozov-Wagner kinetic for Ostwald ripening, validated experimentally by the temperature dependence of Pt nanoparticle size and growth quenching by Iodide anions. Copyright © 2015. Published by Elsevier Inc.

  19. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    International Nuclear Information System (INIS)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H.; Kinge, Sachin

    2015-01-01

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO 2 layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10 −2  A W −1 and a shot-derived specific detectivity of 3 × 10 9  Jones at 1530 nm wavelength

  20. Influence of Different Defects in Vertically Aligned Carbon Nanotubes on TiO2 Nanoparticle Formation through Atomic Layer Deposition.

    Science.gov (United States)

    Acauan, Luiz; Dias, Anna C; Pereira, Marcelo B; Horowitz, Flavio; Bergmann, Carlos P

    2016-06-29

    The chemical inertness of carbon nanotubes (CNT) requires some degree of "defect engineering" for controlled deposition of metal oxides through atomic layer deposition (ALD). The type, quantity, and distribution of such defects rules the deposition rate and defines the growth behavior. In this work, we employed ALD to grow titanium oxide (TiO2) on vertically aligned carbon nanotubes (VACNT). The effects of nitrogen doping and oxygen plasma pretreatment of the CNT on the morphology and total amount of TiO2 were systematically studied using transmission electron microscopy, Raman spectroscopy, and thermogravimetric analysis. The induced chemical changes for each functionalization route were identified by X-ray photoelectron and Raman spectroscopies. The TiO2 mass fraction deposited with the same number of cycles for the pristine CNT, nitrogen-doped CNT, and plasma-treated CNT were 8, 47, and 80%, respectively. We demonstrate that TiO2 nucleation is dependent mainly on surface incorporation of heteroatoms and their distribution rather than structural defects that govern the growth behavior. Therefore, selecting the best way to functionalize CNT will allow us to tailor TiO2 distribution and hence fabricate complex heterostructures.

  1. Atomic layer deposition of molybdenum disulfide films using MoF 6 and H 2 S

    Energy Technology Data Exchange (ETDEWEB)

    Mane, Anil U. [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439; Letourneau, Steven [Micron School of Materials Science and Engineering, Boise State University, 1910 University Dr., Boise, Idaho 83725; Mandia, David J. [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439; Liu, Jian [Department of Chemistry, Northwestern University, 2145 Sheridan Rd., Evanston, Illinois 60208; Libera, Joseph A. [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439; Lei, Yu [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439; Peng, Qing [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439; Graugnard, Elton [Micron School of Materials Science and Engineering, Boise State University, 1910 University Dr., Boise Idaho 83725; Elam, Jeffrey W. [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave, Argonne, Illinois 60439

    2018-01-01

    Molybdenum sulfide films were grown by atomic layer deposition on silicon and fused silica substrates using molybdenum hexafluoride (MoF6) and hydrogen sulfide at 200 degrees C. In situ quartz crystal microbalance (QCM) measurements confirmed linear growth at 0.46 angstrom/cycle and self-limiting chemistry for both precursors. Analysis of the QCM step shapes indicated that MoS2 is the reaction product, and this finding is supported by x-ray photoelectron spectroscopy measurements showing that Mo is predominantly in the Mo(IV) state. However, Raman spectroscopy and x-ray diffraction measurements failed to identify crystalline MoS2 in the as-deposited films, and this might result from unreacted MoFx residues in the films. Annealing the films at 350 degrees C in a hydrogen rich environment yielded crystalline MoS2 and reduced the F concentration in the films. Optical transmission measurements yielded a bandgap of 1.3 eV. Finally, the authors observed that the MoS2 growth per cycle was accelerated when a fraction of the MoF6 pulses were substituted with diethyl zinc. Published by the AVS

  2. Dispersion engineering of thick high-Q silicon nitride ring-resonators via atomic layer deposition.

    Science.gov (United States)

    Riemensberger, Johann; Hartinger, Klaus; Herr, Tobias; Brasch, Victor; Holzwarth, Ronald; Kippenberg, Tobias J

    2012-12-03

    We demonstrate dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition. Both, magnitude and bandwidth of anomalous dispersion can be significantly increased. The results are confirmed by high resolution frequency-comb-assisted-diode-laser spectroscopy and are in very good agreement with the simulated modification of the mode spectrum.

  3. Bio-mimicked atomic-layer-deposited iron oxide-based memristor with synaptic potentiation and depression functions

    Science.gov (United States)

    Wan, Xiang; Gao, Fei; Lian, Xiaojuan; Ji, Xincun; Hu, Ertao; He, Lin; Tong, Yi; Guo, Yufeng

    2018-06-01

    In this study, an iron oxide (FeO x )-based memristor was investigated for the realization of artificial synapses. An FeO x resistive switching layer was prepared by self-limiting atomic layer deposition (ALD). The movement of oxygen vacancies enabled the device to have history-dependent synaptic functions, which was further demonstrated by device modeling and simulation. Analog synaptic potentiation/depression in conductance was emulated by applying consecutive voltage pulses in the simulation. Our results suggest that the ALD FeO x -based memristor can be used as the basic building block for neural networks, neuromorphic systems, and brain-inspired computers.

  4. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  5. Selective deposition contact patterning using atomic layer deposition for the fabrication of crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik

    2014-01-01

    Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%

  6. The growth and electronic structure of azobenzene-based functional molecules on layered crystals

    International Nuclear Information System (INIS)

    Iwicki, J; Ludwig, E; Buck, J; Kalläne, M; Kipp, L; Rossnagel, K; Köhler, F; Herges, R

    2012-01-01

    In situ ultraviolet photoelectron spectroscopy is used to study the growth of ultrathin films of azobenzene-based functional molecules (azobenzene, Disperse Orange 3 and a triazatriangulenium platform with an attached functional azo-group) on the layered metal TiTe 2 and on the layered semiconductor HfS 2 at liquid nitrogen temperatures. Effects of intermolecular interactions, of the substrate electronic structure, and of the thermal energy of the sublimated molecules on the growth process and on the adsorbate electronic structure are identified and discussed. A weak adsorbate-substrate interaction is particularly observed for the layered semiconducting substrate, holding the promise of efficient molecular photoswitching.

  7. Barrier properties of plastic films coated with an Al{sub 2}O{sub 3} layer by roll-to-toll atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hirvikorpi, Terhi, E-mail: Terhi.Hirvikorpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Laine, Risto, E-mail: Risto.Laine@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vähä-Nissi, Mika, E-mail: Mika.Vaha-Nissi@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kilpi, Väinö, E-mail: Vaino.Kilpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Salo, Erkki, E-mail: Erkki.Salo@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Li, Wei-Min, E-mail: Wei-Min.Li@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Lindfors, Sven, E-mail: Sven.Lindfors@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vartiainen, Jari, E-mail: Jari.Vartiainen@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kenttä, Eija, E-mail: Eija.Kentta@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Nikkola, Juha, E-mail: Juha.Nikkola@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1300, FI-33101 Tampere (Finland); Harlin, Ali, E-mail: Ali.Harlin@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kostamo, Juhana, E-mail: Juhana.Kostamo@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland)

    2014-01-01

    Thin (30–40 nm) and highly uniform Al{sub 2}O{sub 3} coatings have been deposited at relatively low temperature of 100 °C onto various polymeric materials employing the atomic layer deposition (ALD) technique, both batch and roll-to-roll (R2R) mode. The applications for ALD have long been limited those feasible for batch processing. The work demonstrates that R2R ALD can deposit thin films with properties that are comparable to the film properties fabricated by in batch. This accelerates considerably the commercialization of many products, such as flexible, printed electronics, organic light-emitting diode lighting, third generation thin film photovoltaic devices, high energy density thin film batteries, smart textiles, organic sensors, organic/recyclable packaging materials, and flexible displays, to name a few. - Highlights: • Thin and uniform Al{sub 2}O{sub 3} coatings have been deposited onto polymers materials. • Batch and roll-to-roll (R2R) atomic layer deposition (ALD) have been employed. • Deposition with either process improved the barrier properties. • Sensitivity of coated films to defects affects barrier obtained with R2R ALD.

  8. Inorganic-organic hybrid coatings on stainless steel by layer-by-layer deposition and surface-initiated atom-transfer-radical polymerization for combating biocorrosion.

    Science.gov (United States)

    Yuan, S J; Pehkonen, S O; Ting, Y P; Neoh, K G; Kang, E T

    2009-03-01

    To improve the biocorrosion resistance of stainless steel (SS) and to confer the bactericidal function on its surface for inhibiting bacterial adhesion and biofilm formation, well-defined inorganic-organic hybrid coatings, consisting of the inner compact titanium oxide multilayers and outer dense poly(vinyl-N-hexylpyridinium) brushes, were successfully developed. Nanostructured titanium oxide multilayer coatings were first built up on the SS substrates via the layer-by-layer sol-gel deposition process. The trichlorosilane coupling agent, containing the alkyl halide atom-transfer-radical polymerization (ATRP) initiator, was subsequently immobilized on the titanium oxide coatings for surface-initiated ATRP of 4-vinylpyridine (4VP). The pyridium nitrogen moieties of the covalently immobilized 4VP polymer, or P(4VP), brushes were quaternized with hexyl bromide to produce a high concentration of quaternary ammonium salt on the SS surfaces. The excellent antibacterial efficiency of the grafted polycations, poly(vinyl-N-pyridinium bromide), was revealed by viable cell counts and atomic force microscopy images of the surface. The effectiveness of the hybrid coatings in corrosion protection was verified by the Tafel plot and electrochemical impedance spectroscopy measurements.

  9. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    Science.gov (United States)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  10. Interface engineering for oxide electronics: tuning electronic properties by atomically controlled growth

    NARCIS (Netherlands)

    Huijben, Mark

    2006-01-01

    The main aim of this thesis is to develop a controlled growth with atomic precision for the realization of artificial perovskite structures, to exploit the exceptional physical properties of complex oxide materials such as high-temperature superconductors and conducting interfaces between band

  11. Studies on layer growth and interfaces on Ta-base thin layers by means of XPS; Untersuchungen zu Schichtwachstum und Grenzflaechen an Ta-basierten Duennschichten mittels XPS

    Energy Technology Data Exchange (ETDEWEB)

    Zier, M.

    2007-11-02

    In this thesis studies on the growth and on the formation of the interfaces of Ta and TaN layers on Si and SiO{sub 2} were performed. In the system TaN on SiO{sub 2} no reaction on the interface could be found. As the system with the mostly disturbed interface Ta on SiO{sub 2} was proved. Here a reduction of the SiO{sub 2} at simultaneous oxidation of the Ta was to be observed. Additionally tantalum silicide was formed to be considered concerning the bonding state as Ta{sub 5}Si{sub 3}, from which a double layer of a tantalum silicide and a tantalum suboxide resulted. A whole thickness of the double layer of above 1 nm resulted. In the system Ta on Si at the deposition of the film on the interface a tantalum silicide was formed to be characterized concerning the bonding state as TaSi{sub 2}. The thickness of the interlayer growed thereby up to 0.8 nm in form of islands. Finally in the system TaN on Si a silicon nitride formation during the deposition was observed, which was attributed to the insertion of adsorbed nitrogen from the sputtering atmosphere by recoil implantation of the sputtered Ta atoms. The silicon nitride interlayer growed thereby up to a thickness of 0.8 nm.

  12. Dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Bhartiya, S. [Laser Materials Development & Devices Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Gupta, M. [UGC-DAE Consortium for Scientific Research, Indore 452 017 (India)

    2016-01-25

    We report on the dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers having well-defined and spatially-localized Ti dopant profiles along film thickness. These films were grown by in situ incorporation of sub-monolayer TiO{sub x} on the growing ZnO film surface and subsequent overgrowth of thin conducting ZnO spacer layer using atomic layer deposition. Film thickness was varied in the range of ∼6–65 nm by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers of nearly identical dopant-profiles. The evolution of zero-field sheet resistance (R{sub ◻}) versus temperature with decreasing film thickness showed a metal to insulator transition. On the metallic side of the metal-insulator transition, R{sub ◻}(T) and magnetoresistance data were found to be well corroborated with the theoretical framework of electron weak localization in the diffusive transport regime. The temperature dependence of both R{sub ◻} and inelastic scattering length provided strong evidence for a smooth crossover from 2D to 3D weak localization behaviour. Results of this study provide deeper insight into the electron transport in low-dimensional n-type ZnO/TiO{sub x} stacked layers which have potential applications in the field of transparent oxide electronics.

  13. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  14. Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition

    NARCIS (Netherlands)

    Mackus, A.J.M.; Mulders, J.J.L.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    An approach for direct-write fabrication of high-purity platinum nanostructures has been developed by combining nanoscale lateral patterning by electron beam induced deposition (EBID) with area-selective deposition of high quality material by atomic layer deposition (ALD). Because virtually pure,

  15. Building a Better Capacitor with Thin-Film Atomic Layer Deposition Processing

    Energy Technology Data Exchange (ETDEWEB)

    Pike, Christopher [North Seattle College, WA (United States)

    2015-08-28

    The goal of this research is to determine procedures for creating ultra-high capacity supercapacitors by using nanofabrication techniques and high k-value dielectrics. One way to potentially solve the problem of climate change is to switch the source of energy to a source that doesn’t release many tons of greenhouse gases, gases which cause global warming, into the Earth’s atmosphere. These trap in more heat from the Sun’s solar energy and cause global temperatures to rise. Atomic layer deposition will be used to create a uniform thin-film of dielectric to greatly enhance the abilities of our capacitors and will build them on the nanoscale.

  16. Observation of He bubbles in ion irradiated fusion materials by conductive atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Hongyu [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); Li, Ruihuan [School of Physics and Optoelectronic Engineering, Dalian University of Technology, Dalian 116024 (China); Yang, Deming [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Science, Changchun University of Science and Technology, Changchun, Jilin 130022 (China); Wu, Yunfeng; Niu, Jinhai; Yang, Qi [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); Zhao, Jijun [School of Physics and Optoelectronic Engineering, Dalian University of Technology, Dalian 116024 (China); Liu, Dongping, E-mail: dongping.liu@dlnu.edu.cn [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); Fujian Key Laboratory for Plasma and Magnetic Resonance, Department of Electronic Science, Aeronautics, School of Physics and Mechanical and Electrical Engineering, Xiamen University, Xiamen, Fujian 361005 (China)

    2013-10-15

    Using a non-destructive conductive atomic force microscope combined with the Ar{sup +} etching technique, we demonstrate that nanoscale and conductive He bubbles are formed in the implanted layer of single-crystalline 6H-SiC irradiated with 100 keV He{sup +}. We find that the surface swelling of irradiated SiC samples is well correlated with the growth of elliptic He bubbles in the implanted layer. First-principle calculations are performed to estimate the internal pressure of the He bubble in the void of SiC. Analysis indicates that nanoscale He bubbles acting as a captor capture the He atoms diffusing along the implanted layer at an evaluated temperature and result in the surface swelling of irradiated SiC materials.

  17. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  18. Electrical charging characteristics of the hetero layer film for reducing water-borne paint contamination in electrostatic rotary atomizers

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Y.; Imanishi, T.; Yoshida, O.; Mizuno, A. [ABB Japan, Tokyo (Japan)

    2010-07-01

    The electrostatic rotary atomizer is the most efficient of all liquid spray painting methods. Its use minimizes the waste of paint and reduces emissions of volatile organic compounds (VOCs). Water-borne painting processes which use water-soluble paint also reduce VOC emissions, but the atomizer body is easily contaminated by the paint mists. The Institute of Electrical and Electronics Engineers (IEEE) considered the causes of water-borne paint contamination and presented the experimental results of a contamination proof system in which the atomizer is surrounded by the repelling film that is charged and repels the incoming paint droplets. Among the key factors for repelling film were electrical properties, such as low capacitance and high insulation to keep high surface potential. Charging uniformity was found to be among the most important characteristic to avoid contamination. The pulse electro-acoustic (PEA) method was used to check these features using space charge measurements inside the repelling film. It was concluded that hetero layer films have more uniform charging characteristics than single layer films.

  19. Modeling and optimization of atomic layer deposition processes on vertically aligned carbon nanotubes.

    Science.gov (United States)

    Yazdani, Nuri; Chawla, Vipin; Edwards, Eve; Wood, Vanessa; Park, Hyung Gyu; Utke, Ivo

    2014-01-01

    Many energy conversion and storage devices exploit structured ceramics with large interfacial surface areas. Vertically aligned carbon nanotube (VACNT) arrays have emerged as possible scaffolds to support large surface area ceramic layers. However, obtaining conformal and uniform coatings of ceramics on structures with high aspect ratio morphologies is non-trivial, even with atomic layer deposition (ALD). Here we implement a diffusion model to investigate the effect of the ALD parameters on coating kinetics and use it to develop a guideline for achieving conformal and uniform thickness coatings throughout the depth of ultra-high aspect ratio structures. We validate the model predictions with experimental data from ALD coatings of VACNT arrays. However, the approach can be applied to predict film conformality as a function of depth for any porous topology, including nanopores and nanowire arrays.

  20. Monte Carlo simulation of continuous-space crystal growth

    International Nuclear Information System (INIS)

    Dodson, B.W.; Taylor, P.A.

    1986-01-01

    We describe a method, based on Monte Carlo techniques, of simulating the atomic growth of crystals without the discrete lattice space assumed by conventional Monte Carlo growth simulations. Since no lattice space is assumed, problems involving epitaxial growth, heteroepitaxy, phonon-driven mechanisms, surface reconstruction, and many other phenomena incompatible with the lattice-space approximation can be studied. Also, use of the Monte Carlo method circumvents to some extent the extreme limitations on simulated timescale inherent in crystal-growth techniques which might be proposed using molecular dynamics. The implementation of the new method is illustrated by studying the growth of strained-layer superlattice (SLS) interfaces in two-dimensional Lennard-Jones atomic systems. Despite the extreme simplicity of such systems, the qualitative features of SLS growth seen here are similar to those observed experimentally in real semiconductor systems