WorldWideScience

Sample records for aln films grown

  1. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  2. Polarity inversion of AlN film grown on nitrided a-plane sapphire substrate with pulsed DC reactive sputtering

    Directory of Open Access Journals (Sweden)

    Marsetio Noorprajuda

    2018-04-01

    Full Text Available The effect of oxygen partial pressure (PO2 on polarity and crystalline quality of AlN films grown on nitrided a-plane sapphire substrates by pulsed direct current (DC reactive sputtering was investigated as a fundamental study. The polarity inversion of AlN from nitrogen (−c-polarity to aluminum (+c-polarity occurred during growth at a high PO2 of 9.4×103 Pa owing to Al-O octahedral formation at the interface of nitrided layer and AlN sputtered film which reset the polarity of AlN. The top part of the 1300 nm-thick AlN film sputtered at the high PO2 was polycrystallized. The crystalline quality was improved owing to the high kinetic energy of Al sputtered atom in the sputtering phenomena. Thinner AlN films were also fabricated at the high PO2 to eliminate the polycrystallization. For the 200 nm-thick AlN film sputtered at the high PO2, the full width at half-maximum values of the AlN (0002 and (10−12 X-ray diffraction rocking curves were 47 and 637 arcsec, respectively.

  3. Crystallinity and superconductivity of as-grown MgB2 thin films with AlN buffer layers

    International Nuclear Information System (INIS)

    Tsujimoto, K.; Shimakage, H.; Wang, Z.; Kaya, N.

    2005-01-01

    The effects of aluminum nitride (AlN) buffer layers on the superconducting properties of MgB 2 thin film were investigated. The AlN buffer layers and as-grown MgB 2 thin films were deposited in situ using the multiple-target sputtering system. The best depositing condition for the AlN/MgB 2 bi-layer occurred when the AlN was deposited on c-cut sapphire substrates at 290 deg. C. The crystallinity of the AlN/MgB 2 bi-layer was studied using the XRD φ-scan and it showed that AlN and MgB 2 had the same in-plane alignment rotated at an angle of 30 deg. as compared to c-cut sapphire. The critical temperature of the MgB 2 film was 29.8 K and the resistivity was 50.0 μΩ cm at 40 K

  4. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  5. Lattice damage induced by Tb-implanted AlN crystalline films

    International Nuclear Information System (INIS)

    Lu Fei; Hu Hui; Rizzi, A.

    2002-01-01

    AlN films with thickness from 100 to 1000 nm were grown on SiC substrate by MBE. AlN crystalline films were doped by implantation with 160 keV Tb ions to fluences of 5x10 14 , 1.5x10 15 , 3x10 15 and 6x10 15 ions/cm 2 , respectively. The damage profiles in AlN films induced by Tb implantation were investigated using RBS/channeling technique. A procedure developed by Feldman and Rodgers was used to extract damage profile by considering the dechanneling mechanism of multiple. The comparison of the extracted profile with TRIM prediction shows a significant difference in the shape and in the position of damage profile. The damage profile in AlN film is similar as Tb distribution. The RBS/channeling of Tb-implanted AlN film before and after 950 deg. C annealing treatments show a good consistency, which indicate that high temperature annealing cannot result in a significant change in both crystal damage and in Tb distribution

  6. The optical properties and applications of AlN thin films prepared by a helicon sputtering system

    CERN Document Server

    Chiu, W Y; Kao, H L; Jeng, E S; Chen, J S; Jaing, C C

    2002-01-01

    AlN thin films were grown on SiO sub 2 /Si and quartz substrates using a helicon sputtering system. The dependence of film quality on growth parameters, such as total sputtering pressure, substrate temperature, and nitrogen concentration has been studied. There is a good correlation of thin film crystallinity addressed by x-ray diffraction (XRD) and spectroscopic ellipsometer. The optimized films exhibit highly oriented, with only (002) peak shown in a theta-2 theta scan XRD pattern, and extremely smooth surface with rms roughness of 2 Aa. The extinction coefficient of the film was 4x10 sup - sup 4 , which is lower than that of AlN films grown by conventional sputtering. Double-layer antireflection (DLAR) coating using AlN and Al sub 3 O sub 3 grown on quartz has been demonstrated. The transmittance of DLAR was high as 96% compared to 93% of bare substrates with the measurement error less than 0.2%. AlN films prepared by Helicon sputtering thus are potential for optical application.

  7. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  8. AlGaN/GaN MISHEMTs with AlN gate dielectric grown by thermal ALD technique.

    Science.gov (United States)

    Liu, Xiao-Yong; Zhao, Sheng-Xun; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Zhang, Chun-Min; Lu, Hong-Liang; Wang, Peng-Fei; Zhang, David Wei

    2015-01-01

    Recently, AlN plasma-enhanced atomic layer deposition (ALD) passivation technique had been proposed and investigated for suppressing the dynamic on-resistance degradation behavior of high-electron-mobility transistors (HEMTs). In this paper, a novel gate dielectric and passivation technique for GaN-on-Si AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MISHEMTs) is presented. This technique features the AlN thin film grown by thermal ALD at 400°C without plasma enhancement. A 10.6-nm AlN thin film was grown upon the surface of the HEMT serving as the gate dielectric under the gate electrode and as the passivation layer in the access region at the same time. The MISHEMTs with thermal ALD AlN exhibit enhanced on/off ratio, reduced channel sheet resistance, reduction of gate leakage by three orders of magnitude at a bias of 4 V, reduced threshold voltage hysteresis of 60 mV, and suppressed current collapse degradation.

  9. Properties of planar structures based on Policluster films of diamond and AlN

    Science.gov (United States)

    Belyanin, A. F.; Luchnikov, A. P.; Nalimov, S. A.; Bagdasarian, A. S.

    2018-01-01

    AlN films doped with zinc were grown on Si substrates by RF magnetron reactive sputtering of a compound target. Policluster films of diamond doped with boron were formed on layered Si/AlN substrates from the gas phase hydrogen and methane, activated arc discharge. By electron microscopy, X-ray diffraction and Raman spectroscopy the composition and structure of synthetic policluster films of diamond and AlN films were studied. Photovoltaic devices based on the AlN/PFD layered structure are presented.

  10. Effects of AlN nucleation layers on the growth of AlN films using high temperature hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Balaji, M.; Claudel, A.; Fellmann, V.; Gélard, I.; Blanquet, E.; Boichot, R.; Pierret, A.

    2012-01-01

    Highlights: ► Growth of AlN Nucleation layers and its effect on high temperature AlN films quality were investigated. ► AlN nucleation layers stabilizes the epitaxial growth of AlN and improves the surface morphology of AlN films. ► Increasing growth temperature of AlN NLs as well as AlN films improves the structural quality and limits the formation of cracks. - Abstract: AlN layers were grown on c-plane sapphire substrates with AlN nucleation layers (NLs) using high temperature hydride vapor phase epitaxy (HT-HVPE). Insertion of low temperature NLs, as those typically used in MOVPE process, prior to the high temperature AlN (HT-AlN) layers has been investigated. The NLs surface morphology was studied by atomic force microscopy (AFM) and NLs thickness was measured by X-ray reflectivity. Increasing nucleation layer deposition temperature from 650 to 850 °C has been found to promote the growth of c-oriented epitaxial HT-AlN layers instead of polycrystalline layers. The growth of polycrystalline layers has been related to the formation of dis-oriented crystallites. The density of such disoriented crystallites has been found to decrease while increasing NLs deposition temperature. The HT-AlN layers have been characterized by X-ray diffraction θ − 2θ scan and (0 0 0 2) rocking curve measurement, Raman and photoluminescence spectroscopies, AFM and field emission scanning electron microscopy. Increasing the growth temperature of HT-AlN layers from 1200 to 1400 °C using a NL grown at 850 °C improves the structural quality as well as the surface morphology. As a matter of fact, full-width at half-maximum (FWHM) of 0 0 0 2 reflections was improved from 1900 to 864 arcsec for 1200 °C and 1400 °C, respectively. Related RMS roughness also found to decrease from 10 to 5.6 nm.

  11. Growth of AlN films and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Jain, Rakesh B.; Gao, Ying; Zhang, Jianping; Qhaleed Fareed, R.S.; Gaska, Remis [Sensor Electronic Technology, Inc., 1195 Atlas Rd., Columbia, SC 29209 (United States); Li, Jiawei; Arjunan, Arulchakkravarthi; Yang, Jinwei; Asif Khan, M. [Department of Electrical Engineering, University of South Carolina, Columbia, SC 29208 (United States); Kuokstis, Edmundas [MTMI, Vilnius University, Vilnius (Lithuania)

    2006-06-15

    Single crystal AlN layers have been produced by migration enhanced metal organic chemical vapor deposition (MEMOCVD), hydride vapor phase epitaxy (HVPE) and their combination. The growth was carried out on 2'' basal plane sapphire substrates. In MEMOCVD, the duration and waveforms of precursors were varied to achieve better surface mobility and thus better atomic incorporation. It resulted in superior layer quality templates with the narrowest (002) X-ray rocking curve full width half maximum (FWHM). Such high quality AlN templates were used as seeds for subsequent HVPE growth. Thick films with thickness ranging from 1-25 {mu}m have been grown by HVPE with growth rates as high as 200 {mu}m/min, highest ever reported. Films grown by the two methods have been extensively characterized by Nomarski microscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), high-resolution X-ray diffractometry (HRXRD), and photoluminescence (PL). (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Fabrication of AlN thin films on different substrates at ambient temperature

    CERN Document Server

    Cai, W X; Wu, P H; Yang, S Z; Ji, Z M

    2002-01-01

    Aluminium nitride (AlN) is very useful as a barrier in superconductor-insulator-superconductor (SIS) device or as an insulating layer in many other applications. At ambient temperature, we deposit AlN thin films onto different substrates (such as MgO, LaAlO sub 3 and Si) by using radio-frequency magnetron sputtering and pure Al target. X-ray diffraction (XRD) and PHI-scan patterns show that the films grown on MgO substrates are excellent epitaxial films with (101) orientation of a hexagonal lattice. A possible structure of the interface between the film and the substrate is suggested and discussed.

  13. Structural characterization of AlN films synthesized by pulsed laser deposition

    International Nuclear Information System (INIS)

    Szekeres, A.; Fogarassy, Zs.; Petrik, P.; Vlaikova, E.; Cziraki, A.; Socol, G.; Ristoscu, C.; Grigorescu, S.; Mihailescu, I.N.

    2011-01-01

    We obtained AlN thin films by pulsed laser deposition (PLD) from a polycrystalline AlN target using a pulsed KrF* excimer laser source (248 nm, 25 ns, intensity of ∼4 x 10 8 W/cm 2 , repetition rate 3 Hz, 10 J/cm 2 laser fluence). The target-Si substrate distance was 5 cm. Films were grown either in vacuum (10 -4 Pa residual pressure) or in nitrogen at a dynamic pressure of 0.1 and 10 Pa, using a total of 20,000 subsequent pulses. The films structure was characterized by X-ray diffraction (XRD), transmission electron microscopy (TEM) and spectral ellipsometry (SE). Our TEM and XRD studies showed a strong dependence of the film structure on the nitrogen content in the ambient gas. The films deposited in vacuum exhibited a high quality polycrystalline structure with a hexagonal phase. The crystallite growth proceeds along the c-axis, perpendicular to the substrate surface, resulting in a columnar and strongly textured structure. The films grown at low nitrogen pressure (0.1 Pa) were amorphous as seen by TEM and XRD, but SE data analysis revealed ∼1.7 vol.% crystallites embedded in the amorphous AlN matrix. Increasing the nitrogen pressure to 10 Pa promotes the formation of cubic (≤10 nm) crystallites as seen by TEM but their density was still low to be detected by XRD. SE data analysis confirmed the results obtained from the TEM and XRD observations.

  14. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  15. Low-temperature growth of high quality AlN films on carbon face 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Myunghee [Department of General Systems Studies, The University of Tokyo, 3-8-1 Komaba, Meguro-ku, Tokyo 153-8902 (Japan); Ohta, Jitsuo; Fujioka, Hiroshi [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Tokyo 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Kawasaki 213-0012 (Japan); Kobayashi, Atsushi [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Tokyo 153-8505 (Japan); Oshima, Masaharu [Department of General Systems Studies, The University of Tokyo, 3-8-1 Komaba, Meguro-ku, Tokyo 153-8902 (Japan); Department of Applied Chemistry, The University of Tokyo, 4-3-1 Hongo, Tokyo 113-8656 (Japan); Core Research for Evolutional Science and Technology (CREST), Japan Science and Technology Agency (JST), Chiyoda-ku, Tokyo 102-0075 (Japan)

    2008-01-15

    AlN films have been grown on atomically flat carbon face 6H-SiC (000 anti 1) substrates by pulsed laser deposition and their structural properties have been investigated. In-situ reflection high-energy electron diffraction observations have revealed that growth of AlN at 710 C proceeds in a Stranski-Krastanov mode, while typical layer-by-layer growth occurs at room temperature (RT) with atomically flat surfaces. It has been revealed that the crystalline quality of the AlN film is dramatically improved by the reduction in growth temperature down to RT and the full width at half maximum values in the X-ray rocking curves for 0004 and 10 anti 12 diffractions of the RT-grown AlN film are 0.05 and 0.07 , respectively. X-ray reciprocal space mapping has revealed that the introduction of misfit dislocations is suppressed in the case of RT growth, which is probably responsible for the improvement in crystalline quality. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Microstructure and mechanical properties of stress-tailored piezoelectric AlN thin films for electro-acoustic devices

    Energy Technology Data Exchange (ETDEWEB)

    Reusch, Markus, E-mail: markus.reusch@iaf.fraunhofer.de [Laboratory for Compound Semiconductor Microsystems, IMTEK - Department of Microsystems Engineering, University of Freiburg, Georges-Koehler-Allee 103, 79110 Freiburg (Germany); Fraunhofer Institute for Applied Solid State Physics, Tullastrasse 72, 79108 Freiburg (Germany); Cherneva, Sabina [Institute of Mechanics, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 4, 1113 Sofia (Bulgaria); Lu, Yuan; Žukauskaitė, Agnė; Kirste, Lutz; Holc, Katarzyna [Fraunhofer Institute for Applied Solid State Physics, Tullastrasse 72, 79108 Freiburg (Germany); Datcheva, Maria [Institute of Mechanics, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 4, 1113 Sofia (Bulgaria); Stoychev, Dimitar [Institute of Physical Chemistry, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 11, 1113 Sofia (Bulgaria); Lebedev, Vadim [Fraunhofer Institute for Applied Solid State Physics, Tullastrasse 72, 79108 Freiburg (Germany); Ambacher, Oliver [Laboratory for Compound Semiconductor Microsystems, IMTEK - Department of Microsystems Engineering, University of Freiburg, Georges-Koehler-Allee 103, 79110 Freiburg (Germany); Fraunhofer Institute for Applied Solid State Physics, Tullastrasse 72, 79108 Freiburg (Germany)

    2017-06-15

    Highlights: • Sputtered AlN thin films with minimized intrinsic stress gradient. • Gradual increase of N{sub 2} concentration during film growth. • No degradation of AlN film properties by changing process conditions. • 2D Raman mapping of nanoindentation area. - Abstract: Nanoindentation measurements along with atomic force microscopy, X-ray diffraction, and residual stress analyses on the basis of Raman measurements have been performed to characterize stress-tailored AlN thin films grown using reactive RF magnetron sputtering. The intrinsic stress gradient caused by the growing in-plane grain size along film thickness was minimized by increasing the N{sub 2} concentration in the Ar/N{sub 2} gas mixture during the growth process. The increase of N{sub 2} concentration did not degrade the device-relevant material properties such as crystallographic orientation, surface morphology, piezoelectric response, or indentation modulus. Due to comparable crystallographic film properties for all investigated samples it was concluded that mainly the AlN crystallites contribute to the mechanical film properties such as indentation modulus and hardness, while the film stress or grain boundaries had only a minor influence. Therefore, by tailoring the stress gradient in the AlN films, device performance, fabrication yield, and the design flexibility of electro-acoustic devices can be greatly improved.

  17. Engineering of nearly strain-free ZnO films on Si(1 1 1) by tuning AlN buffer thickness

    International Nuclear Information System (INIS)

    Venkatachalapathy, Vishnukanthan; Galeckas, Augustinas; Lee, In-Hwan; Kuznetsov, Andrej Yu.

    2012-01-01

    ZnO properties were investigated as a function of AlN buffer layer thickness (0–100 nm) in ZnO/AlN/Si(1 1 1) structures grown by metal organic vapor phase epitaxy. A significant improvement of ZnO film crystallinity by tuning AlN buffer thickness was confirmed by x-ray diffraction, topography and photoluminescence measurements. An optimal AlN buffer layer thickness of 50 nm is defined, which allows for growth of nearly strain-free ZnO films. The presence of free excitons at 10 K suggests high crystal quality for all ZnO samples grown on AlN/Si(1 1 1) templates. The intensities of neutral and ionized donor bound exciton lines are found to correlate with the in-plane and out-of-plane strain in the films, respectively.

  18. Engineering of nearly strain-free ZnO films on Si(1 1 1) by tuning AlN buffer thickness

    Energy Technology Data Exchange (ETDEWEB)

    Venkatachalapathy, Vishnukanthan, E-mail: vishnukanthan.venkatachalapathy@smn.uio.no [Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048 Blindern, NO-0316 Oslo (Norway); Galeckas, Augustinas [Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048 Blindern, NO-0316 Oslo (Norway); Lee, In-Hwan [School of Advanced Materials Engineering, Research Centre for Advanced Materials Development (RCAMD), Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Kuznetsov, Andrej Yu. [Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048 Blindern, NO-0316 Oslo (Norway)

    2012-05-15

    ZnO properties were investigated as a function of AlN buffer layer thickness (0-100 nm) in ZnO/AlN/Si(1 1 1) structures grown by metal organic vapor phase epitaxy. A significant improvement of ZnO film crystallinity by tuning AlN buffer thickness was confirmed by x-ray diffraction, topography and photoluminescence measurements. An optimal AlN buffer layer thickness of 50 nm is defined, which allows for growth of nearly strain-free ZnO films. The presence of free excitons at 10 K suggests high crystal quality for all ZnO samples grown on AlN/Si(1 1 1) templates. The intensities of neutral and ionized donor bound exciton lines are found to correlate with the in-plane and out-of-plane strain in the films, respectively.

  19. Indium hexagonal island as seed-layer to boost a-axis orientation of AlN thin films

    Science.gov (United States)

    Redjdal, N.; Salah, H.; Azzaz, M.; Menari, H.; Manseri, A.; Guedouar, B.; Garcia-Sanchez, A.; Chérif, S. M.

    2018-06-01

    Highly a-axis oriented aluminum nitride films have been grown on Indium coated (100) Si substrate by DC reactive magnetron sputtering. It is shown that In incorporated layer improve the extent of preferential growth along (100) axis and form dense AlN films with uniform surface and large grains, devoid of micro-cracks. As revealed by SEM cross section images, AlN structure consists of oriented columnar grains perpendicular to the Si surface, while AlN/In structure results in uniformely tilted column. SEM images also revealed the presence of In hexagonal islands persistent throughout the entire growth. Micro -Raman spectroscopy of the surface and the cross section of the AlN/In grown films evidenced their high degree of homogeneity and cristallinity.

  20. Microstructural analysis in the depth direction of a heteroepitaxial AlN thick film grown on a trench-patterned template by nanobeam X-ray diffraction

    Science.gov (United States)

    Shida, K.; Takeuchi, S.; Tohei, T.; Miyake, H.; Hiramatsu, K.; Sumitani, K.; Imai, Y.; Kimura, S.; Sakai, A.

    2018-04-01

    This work quantitatively assessed the three-dimensional distribution of crystal lattice distortions in an epitaxial AlN thick film grown on a trench-patterned template, using nanobeam X-ray diffraction. Position-dependent ω-2θ-φ mapping clearly demonstrated local tilting, spacing and twisting of lattice planes as well as fluctuations in these phenomena on a sub-micrometer scale comparable to the pitch of the trench-and-terrace patterning. Analysis of the crystal lattice distortion in the depth direction was performed using a newly developed method in which the X-ray nanobeam diffracted from the sample surface to specific depths can be selectively detected by employing a Pt wire profiler. This technique generated depth-resolved ω-2θ-φ maps confirming that fluctuations in lattice plane tilting and spacing greatly depend on the dislocation distribution and the history of the AlN epitaxial growth on the trench-patterned structure. It was also found that both fluctuations were reduced on approaching the AlN surface and, in particular, were sharply reduced at specific depths in the terrace regions. These sharp reductions are attributed to the formation of sacrificial zones with degraded crystal quality around the trenches and possibly lead to raising the crystal quality near the surface of the AlN film.

  1. Growth dynamics of reactive-sputtering-deposited AlN films

    International Nuclear Information System (INIS)

    Auger, M.A.; Vazquez, L.; Sanchez, O.; Jergel, M.; Cuerno, R.; Castro, M.

    2005-01-01

    We have studied the surface kinetic roughening of AlN films grown on Si(100) substrates by dc reactive sputtering within the framework of the dynamic scaling theory. Films deposited under the same experimental conditions for different growth times were analyzed by atomic force microscopy and x-ray diffraction. The AlN films display a (002) preferred orientation. We have found two growth regimes with a crossover time of 36 min. In the first regime, the growth dynamics is unstable and the films present two types of textured domains, well textured and randomly oriented, respectively. In contrast, in the second regime the films are homogeneous and well textured, leading to a relative stabilization of the surface roughness characterized by a growth exponent β=0.37±0.03. In this regime a superrough scaling behavior is found with the following exponents: (i) Global exponents: roughness exponent α=1.2±0.2 and β=0.37±0.03 and coarsening exponent 1/z=0.32±0.05; (ii) local exponents: α loc =1, β loc =0.32±0.01. The differences between the growth modes are found to be related to the different main growth mechanisms dominating their growth dynamics: sticking anisotropy and shadowing, respectively

  2. AlN piezoelectric films for sensing and actuation

    NARCIS (Netherlands)

    Tran, A.T.

    2014-01-01

    Aluminum Nitride (AlN) is explored as a thin film material for piezoelectric MEMS applications. A pulse DC reactive sputtering technique is used to deposit the AlN thin films and process parameters are optimized to obtain good crystallinity and high c-axis orientation films. A CMOS compatible

  3. Influence of metallic surface states on electron affinity of epitaxial AlN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, Shibin; Aggarwal, Neha [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2017-06-15

    The present article investigates surface metallic states induced alteration in the electron affinity of epitaxial AlN films. AlN films grown by plasma-assisted molecular beam epitaxy system with (30% and 16%) and without metallic aluminium on the surface were probed via photoemission spectroscopic measurements. An in-depth analysis exploring the influence of metallic aluminium and native oxide on the electronic structure of the films is performed. It was observed that the metallic states pinned the Fermi Level (FL) near valence band edge and lead to the reduction of electron affinity (EA). These metallic states initiated charge transfer and induced changes in surface and interface dipoles strength. Therefore, the EA of the films varied between 0.6–1.0 eV due to the variation in contribution of metallic states and native oxide. However, the surface barrier height (SBH) increased (4.2–3.5 eV) adversely due to the availability of donor-like surface states in metallic aluminium rich films.

  4. Epitaxial growth of AlN on single crystal Mo substrates

    International Nuclear Information System (INIS)

    Okamoto, Koichiro; Inoue, Shigeru; Nakano, Takayuki; Kim, Tae-Won; Oshima, Masaharu; Fujioka, Hiroshi

    2008-01-01

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30 o rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices

  5. Epitaxial growth of AlN on single crystal Mo substrates

    Energy Technology Data Exchange (ETDEWEB)

    Okamoto, Koichiro; Inoue, Shigeru [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Nakano, Takayuki; Kim, Tae-Won [Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan); Oshima, Masaharu [Department of Applied Chemistry, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo, 113-8656 (Japan); Fujioka, Hiroshi [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan)], E-mail: hfujioka@iis.u-tokyo.ac.jp

    2008-06-02

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30{sup o} rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices.

  6. Enhancement of c-axis texture of AlN films by substrate implantation

    International Nuclear Information System (INIS)

    Chen, C.H.; Yeh, J.M.; Hwang, J.

    2005-01-01

    Highly oriented AlN films are successfully deposited on B + implanted Si(1 1 1) substrates in a radio frequency inductively coupled plasma (RF/ICP) system. The implanted energy and dose used for the B + implanted Si(1 1 1) substrates are 200 keV and 10 15 cm -2 , respectively. The c-axis texture of AlN films can be affected by RF gun power and ion implantation. Experimental results show that the full width at half-maximum (FWHM) of AlN(0 0 2) in the X-ray rocking curve measurements decreases with increasing RF gun power. The optimum condition is at 500 W, where the FWHM of the AlN films deposited on Si(1 1 1) with and without B + implantation are 2.77 and 3.17, respectively. In average, the FWHM of the AlN films on B + implanted Si(1 1 1) are less than those on Si(1 1 1) by a factor of ∼10%. The enhancement of c-axis of AlN films due to B + implantation is attributed to the reduction of AlN grains. Raman spectra also suggest that ion implantation plays a role in reducing the tensile stress in AlN films deposited on B + implanted Si(1 1 1)

  7. DLC and AlN thin films influence the thermal conduction of HPLED light

    Science.gov (United States)

    Hsu, Ming Seng; Hsu, Ching Yao; Huang, Jen Wei; Shyu, Feng Lin

    2015-08-01

    Thermal dissipation had an important influence in the effect and life of light emitting diodes (LED) because it enables transfer the heat away from electric device to the aluminum plate that can be used for heat removal. In the industrial processing, the quality of the thermal dissipation decides by the gumming technique between the PCB and aluminum plate. In this study, we fabricated double layer ceramic thin films of diamond like carbon (DLC) and alumina nitride (AlN) by vacuum sputtering soldered the substrate of high power light emitting diodes (HPLED) light to check the heat conduction. The ceramic dielectric coatings were characterized by several subsequent analyses, especially the measurement of real work temperature. The X-Ray photoelectron spectroscopy (XPS) patterns reveal those ceramic phases were successfully grown onto the substrate. The work temperatures show DLC and AlN films coating had limited the heat transfer by the lower thermal conductivity of these ceramic films. Obviously, it hadn't transferred heat and limited work temperature of HPLED better than DLC thin film only.

  8. Investigation of blue luminescence in Mg doped AlN films

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Xiliang; Xiong, Juan, E-mail: xiongjuana@163.com; Zhang, Weihai; Liu, Lei; Gu, Haoshuang, E-mail: guhsh@hubu.edu.cn

    2015-02-05

    Highlights: • AlN films doped with 0.8–4.4 at.% Mg were deposited by magnetron sputtering. • Structural and photoluminescence properties of Mg-doped AlN films were synthesized in detailed. • A broad blue band centered at 420 nm and 440 nm was observed in Mg-doped AlN films. • An enhancement of A1 (TO) mod and a slightly blue-shift of E2 (high) mode were observed. - Abstract: The Al{sub 1−x}Mg{sub x}N thin films were deposited on (1 0 0) silicon substrates by magnetron sputtering. The structural and photoluminescence properties of the films with varying Mg concentrations were analyzed by X-ray diffraction (XRD), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), Raman spectra and photoluminescence (PL), respectively. The results clearly showed that the Mg atoms successfully incorporated into AlN, while the crystal structure of the films was maintained. The Raman spectra of Al{sub 1−x}Mg{sub x}N films reveals the enhancement of A{sub 1} (TO) mode, a slightly blue-shift and an augment in FWHM for E{sub 2} (high) phonon mode with increasing Mg content, which can be associated with the deterioration of (0 0 2) orientation and the appearance of (1 0 0) orientation. A broad blue band centered at 420 nm and 440 nm was observed in Mg-doped AlN films. It was suggested that the transitions from the shallow donor level not only to the ground state but also to the excited states of the deep level was responsible for the broad blue emission band. This work indicates the AlN film for the application in lighting emission devices.

  9. Characterization of N-polar AlN in GaN/AlN/(Al,Ga)N heterostructures grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Li, Haoran; Mazumder, Baishakhi; Bonef, Bastien; Keller, Stacia; Wienecke, Steven; Speck, James S.; Denbaars, Steven P.; Mishra, Umesh K.

    2017-11-01

    In GaN/(Al,Ga)N high-electron-mobility transistors (HEMT), AlN interlayer between GaN channel and AlGaN barrier suppresses alloy scattering and significantly improves the electron mobility of the two-dimensional electron gas. While high concentrations of gallium were previously observed in Al-polar AlN interlayers grown by metal-organic chemical vapor deposition, the N-polar AlN (Al x Ga1-x N) films examined by atom probe tomography in this study exhibited aluminum compositions (x) equal to or higher than 95% over a wide range of growth conditions. The also investigated AlN interlayer in a N-polar GaN/AlN/AlGaN/ S.I. GaN HEMT structure possessed a similarly high x content.

  10. Structure of AlN films deposited by magnetron sputtering method

    Directory of Open Access Journals (Sweden)

    Nowakowska-Langier K.

    2015-09-01

    Full Text Available AlN films on a Si substrate were synthesized by magnetron sputtering method. A dual magnetron system operating in AC mode was used in the experiment. Processes of synthesis were carried out in the atmosphere of a mixture of Ar/N2. Morphology and phase structure of the AlN films were investigated at different pressures. Structural characterizations were performed by means of SEM and X-ray diffraction methods. Our results show that the use of magnetron sputtering method in a dual magnetron sputtering system is an effective way to produce AlN layers which are characterized by a good adhesion to the silicon substrate. The morphology of the films is strongly dependent on the Ar/N2 gas mixture pressure. An increase of the mixture pressure is accompanied by a columnar growth of the layers. The films obtained at the pressure below 1 Pa are characterized by finer and compacter structure. The AlN films are characterized by a polycrystalline hexagonal (wurtzite structure in which the crystallographic orientation depends on the gas mixture pressure.

  11. Synthesis of c-axis oriented AlN thin films on different substrates: A review

    International Nuclear Information System (INIS)

    Iriarte, G.F.; Rodriguez, J.G.; Calle, F.

    2010-01-01

    Highly c-axis oriented AlN thin films have been deposited by reactive sputtering on different substrates. The crystallographic properties of layered film structures consisting of a piezoelectric layer, aluminum nitride (AlN), synthesized on a variety of substrates, have been examined. Aluminum nitride thin films have been deposited by reactive pulsed-DC magnetron sputtering using an aluminum target in an Ar/N 2 gas mixture. The influence of the most critical deposition parameters on the AlN thin film crystallography has been investigated by means of X-ray diffraction (XRD) analysis of the rocking curve Full-Width at Half Maximum (FWHM) of the AlN-(0 0 0 2) peak. The relationship between the substrate, the synthesis parameters and the crystallographic orientation of the AlN thin films is discussed. A guide is provided showing how to optimize these conditions to obtain highly c-axis oriented AlN thin films on substrates of different nature.

  12. Growth and characterization of a-axis oriented Cr-doped AlN films by DC magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Panda, Padmalochan; Ramaseshan, R., E-mail: seshan@igcar.gov.in; Dash, S. [Materials Science Group, IGCAR, Kalpakkam, 603102 (India); Krishna, Nanda Gopala [Corrosion Science and Technology Group, IGCAR, Kalpakkam, 603102 (India)

    2016-05-23

    Wurtzite type Cr-doped AlN thin films were grown on Si (100) substrates using DC reactive magnetron sputtering with a function of N{sub 2} concentration (15 to 25%). Evolution of crystal structure of these films was studied by GIXRD where a-axis preferred orientation was observed. The electronic binding energy and concentration of Cr in these films were estimated by X-ray photoemission spectroscopy (XPS). We have observed indentation hardness (H{sub IT}) of around 28.2 GPa for a nitrogen concentration of 25%.

  13. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    Energy Technology Data Exchange (ETDEWEB)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.; Xenogiannopoulou, E.; Golias, E.; Giamini, S. A.; Dimoulas, A. [National Center for Scientific Research “Demokritos,” 15310 Athens (Greece); Grazianetti, C.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy); Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, I-20126, Milano (Italy); Chiappe, D.; Molle, A. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy)

    2013-12-16

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  14. Structural properties, crystal quality and growth modes of MOCVD-grown AlN with TMAl pretreatment of sapphire substrate

    KAUST Repository

    Sun, Haiding; Wu, Feng; Altahtamouni, Talal Mohammed Ahmad; Alfaraj, Nasir; Li, Kun; Detchprohm, Theeradetch; Dupuis, Russell; Li, Xiaohang

    2017-01-01

    The growth of high quality AlN epitaxial films relies on precise control of the initial growth stages. In this work, we examined the influence of the trimethylaluminum (TMAl) pretreatment of sapphire substrates on the structural properties, crystal quality and growth modes of heteroepitaxial AlN films on (0001) sapphire substrates. Without the pretreatment, the AlN films nucleated on the smooth surface but exhibited mixed crystallographic Al- (N-) polarity, resulting in rough AlN film surfaces. With increasing the pretreatment time from 1 to 5 s, the N-polarity started to be impeded. However, small islands were formed on sapphire surface due to the decompostion of TMAl. As a result, small voids became noticeable at the nucleation layer (NL) because the growth started as quasi three-dimensional (3D) but transformed to 2D mode as the film grew thicker and got coalesced, leading to smoother and Al-polar films. On the other hand, longer pretreatment time of 40 s formed large 3D islands on sapphire, and thus initiated a 3D-growth mode of the AlN film, generating Al-polar AlN nanocolumns with different facets, which resulted into rougher film surfaces. The epitaxial growth modes and their correlation with the AlN film crystal quality under different TMAl pretreatments are also discussed.

  15. Structural properties, crystal quality and growth modes of MOCVD-grown AlN with TMAl pretreatment of sapphire substrate

    KAUST Repository

    Sun, Haiding

    2017-08-08

    The growth of high quality AlN epitaxial films relies on precise control of the initial growth stages. In this work, we examined the influence of the trimethylaluminum (TMAl) pretreatment of sapphire substrates on the structural properties, crystal quality and growth modes of heteroepitaxial AlN films on (0001) sapphire substrates. Without the pretreatment, the AlN films nucleated on the smooth surface but exhibited mixed crystallographic Al- (N-) polarity, resulting in rough AlN film surfaces. With increasing the pretreatment time from 1 to 5 s, the N-polarity started to be impeded. However, small islands were formed on sapphire surface due to the decompostion of TMAl. As a result, small voids became noticeable at the nucleation layer (NL) because the growth started as quasi three-dimensional (3D) but transformed to 2D mode as the film grew thicker and got coalesced, leading to smoother and Al-polar films. On the other hand, longer pretreatment time of 40 s formed large 3D islands on sapphire, and thus initiated a 3D-growth mode of the AlN film, generating Al-polar AlN nanocolumns with different facets, which resulted into rougher film surfaces. The epitaxial growth modes and their correlation with the AlN film crystal quality under different TMAl pretreatments are also discussed.

  16. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  17. Berkovich Nanoindentation on AlN Thin Films

    Directory of Open Access Journals (Sweden)

    Jian Sheng-Rui

    2010-01-01

    Full Text Available Abstract Berkovich nanoindentation-induced mechanical deformation mechanisms of AlN thin films have been investigated by using atomic force microscopy (AFM and cross-sectional transmission electron microscopy (XTEM techniques. AlN thin films are deposited on the metal-organic chemical-vapor deposition (MOCVD derived Si-doped (2 × 1017 cm−3 GaN template by using the helicon sputtering system. The XTEM samples were prepared by means of focused ion beam (FIB milling to accurately position the cross-section of the nanoindented area. The hardness and Young’s modulus of AlN thin films were measured by a Berkovich nanoindenter operated with the continuous contact stiffness measurements (CSM option. The obtained values of the hardness and Young’s modulus are 22 and 332 GPa, respectively. The XTEM images taken in the vicinity regions just underneath the indenter tip revealed that the multiple “pop-ins” observed in the load–displacement curve during loading are due primarily to the activities of dislocation nucleation and propagation. The absence of discontinuities in the unloading segments of load–displacement curve suggests that no pressure-induced phase transition was involved. Results obtained in this study may also have technological implications for estimating possible mechanical damages induced by the fabrication processes of making the AlN-based devices.

  18. C-axis orientated AlN films deposited using deep oscillation magnetron sputtering

    International Nuclear Information System (INIS)

    Lin, Jianliang; Chistyakov, Roman

    2017-01-01

    Highlights: • Highly orientated AlN films were deposited by DOMS technique. • Controlled ion flux bombardment improved the texture and crystalline quality. • Excessive ion bombardment showed a detrimental effect on the c-axis orientation growth. • Improved c-axis alignment accompanied with stress relaxation with increasing film thickness. - Abstract: Highly c-axis orientated aluminum nitride (AlN) films were deposited on silicon (100) substrates by reactive deep oscillation magnetron sputtering (DOMS). No epitaxial favored bond layer and substrate heating were applied for assisting texture growth. The effects of the peak target current density (varied from 0.39 to 0.8 Acm"−"2) and film thickness (varied from 0.25 to 3.3 μm) on the c-axis orientation, microstructure, residual stress and mechanical properties of the AlN films were investigated by means of X-ray diffraction rocking curve methodology, transmission electron microscopy, optical profilometry, and nanoindentation. All AlN films exhibited a preferred orientation and compressive residual stresses. At similar film thicknesses, an increase in the peak target current density to 0.53 Acm"−"2 improved the orientation. Further increasing the peak target current density to above 0.53 Acm"−"2 showed limited contribution to the texture development. The study also showed that an increase in the thickness of the AlN films deposited by DOMS improved the c-axis alignment accompanied with a reduction in the residual stress.

  19. C-axis orientated AlN films deposited using deep oscillation magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Jianliang, E-mail: Jianliang.lin@swri.org [Southwest Research Institute, San Antonio, TX 78238 (United States); Chistyakov, Roman [Zpulser LLC, Mansfield, MA 02048 (United States)

    2017-02-28

    Highlights: • Highly <0001> orientated AlN films were deposited by DOMS technique. • Controlled ion flux bombardment improved the <0001> texture and crystalline quality. • Excessive ion bombardment showed a detrimental effect on the c-axis orientation growth. • Improved c-axis alignment accompanied with stress relaxation with increasing film thickness. - Abstract: Highly <0001> c-axis orientated aluminum nitride (AlN) films were deposited on silicon (100) substrates by reactive deep oscillation magnetron sputtering (DOMS). No epitaxial favored bond layer and substrate heating were applied for assisting texture growth. The effects of the peak target current density (varied from 0.39 to 0.8 Acm{sup −2}) and film thickness (varied from 0.25 to 3.3 μm) on the c-axis orientation, microstructure, residual stress and mechanical properties of the AlN films were investigated by means of X-ray diffraction rocking curve methodology, transmission electron microscopy, optical profilometry, and nanoindentation. All AlN films exhibited a <0001> preferred orientation and compressive residual stresses. At similar film thicknesses, an increase in the peak target current density to 0.53 Acm{sup −2} improved the <0001> orientation. Further increasing the peak target current density to above 0.53 Acm{sup −2} showed limited contribution to the texture development. The study also showed that an increase in the thickness of the AlN films deposited by DOMS improved the c-axis alignment accompanied with a reduction in the residual stress.

  20. Production of AlN films: ion nitriding versus PVD coating

    International Nuclear Information System (INIS)

    Figueroa, U.; Salas, O.; Oseguera, J.

    2004-01-01

    The properties of AlN render this material very attractive for optical, electronic, and tribological applications; thus, a great interest exists for the production of thin AlN films on a variety of substrates. Many methods have been developed for this purpose where two processes stand out: plasma-assisted nitriding (PAN) and PVD coating. In the present paper, we compare the processing advantages and disadvantages of both methods in terms of the characteristics of the layers formed. AlN production by ion nitriding is very sensitive to presputtering cleaning and working pressure. Layers several micrometers thick can be produced in a few hours, which are formed by a fine mixture of Al+AlN. The surface morphology of the layers is rather rough. On the other hand, formation of PVD AlN coatings by DC reactive magnetron sputtering is more readily performed and better controlled than in ion nitriding. PVD results in macroscopically smoother AlN films and with similar thickness than the ion nitrided layers but produced in shorter processing times. The morphology of the PVD AlN layers is columnar with a fairly flat surface. Mechanisms for the formation of both types of AlN layers are proposed. One of the main differences between the two processes that explain the different AlN layer morphologies is the energy of the particles that arrive at the substrate. Considering only the processing advantages and the morphology of the AlN layers formed, PVD performs better than PAN processing

  1. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  2. Enhanced field emission from Si doped nanocrystalline AlN thin films

    International Nuclear Information System (INIS)

    Thapa, R.; Saha, B.; Chattopadhyay, K.K.

    2009-01-01

    Si doped and undoped nanocrystalline aluminum nitride thin films were deposited on various substrates by direct current sputtering technique. X-ray diffraction analysis confirmed the formation of phase pure hexagonal aluminum nitride with a single peak corresponding to (1 0 0) reflection of AlN with lattice constants, a = 0.3114 nm and c = 0.4986 nm. Energy dispersive analysis of X-rays confirmed the presence of Si in the doped AlN films. Atomic force microscopic studies showed that the average particle size of the film prepared at substrate temperature 200 deg. C was 9.5 nm, but when 5 at.% Si was incorporated the average particle size increased to ∼21 nm. Field emission study indicated that, with increasing Si doping concentration, the emission characteristics have been improved. The turn-on field (E to ) was 15.0 (±0.7) V/μm, 8.0 (±0.4) V/μm and 7.8 (±0.5) V/μm for undoped, 3 at.% and 5 at.% Si doped AlN films respectively and the maximum current density of 0.27 μA/cm 2 has been observed for 5 at.% Si doped nanocrystalline AlN film. It was also found that the dielectric properties were highly dependent on Si doping.

  3. Structure and chemistry of the Si(111)/AlN interface

    Science.gov (United States)

    Radtke, G.; Couillard, M.; Botton, G. A.; Zhu, D.; Humphreys, C. J.

    2012-01-01

    We investigate the atomic structure and the chemistry of the Si(111)/AlN interface for an AlN film grown at low-temperature (735 °C) by metalorganic vapor phase epitaxy. A heterogeneous interface is formed from the alternation of crystallographically abrupt and partly amorphous regions. The polarity of the AlN film, along with the projected atomic structure of the crystalline interface, is retrieved using high-angle annular dark field imaging, and a model, based on these experimental observations, is proposed for the bonding at the interface. Electron energy-loss spectrum-imaging, however, also reveals a chemical intermixing, placing our growth conditions at the onset of SiNx interlayer formation.

  4. GaN growth on silane exposed AlN seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Ruiz-Zepeda, F. [Posgrado en Fisica de Materiales, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Km. 107 Carret, Tijuana-Ensenada, C.P. 22860, Ensenada, B.C. (Mexico); Contreras, O. [Centro de Ciencias de la Materia Condesada, Universidad Nacional Autonoma de Mexico, Apdo. Postal 356, C.P. 22800, Ensenada, B.C. (Mexico); Dadgar, A.; Krost, A. [Otto-von-Guericke-Universitaet Magdeburg, FNW-IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2008-07-01

    The microstructure and surface morphology of GaN films grown on AlN seed layers exposed to silane flow has been studied by TEM and AFM. The epilayers were grown on silicon(111) substrates by MOCVD. The AlN seed layer surface was treated at different SiH{sub 4} exposure times prior to the growth of the GaN film. A reduction in the density of threading dislocations is observed in the GaN films and their surface roughness is minimized for an optimal SiH{sub 4} exposure time between 75-90 sec. At this optimal condition a step-flow growth mode of GaN film is predominant. The improvement of the surface and structure quality of the epilayers is observed to be related to an annihilation process of threading dislocations done by SiN{sub x} masking. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  6. Memory and Electrical Properties of (100-Oriented AlN Thin Films Prepared by Radio Frequency Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Maw-Shung Lee

    2014-01-01

    Full Text Available The (100-oriented aluminum nitride (AlN thin films were well deposited onto p-type Si substrate by radio frequency (RF magnetron sputtering method. The optimal deposition parameters were the RF power of 350 W, chamber pressure of 9 mTorr, and nitrogen concentration of 50%. Regarding the physical properties, the microstructure of as-deposited (002- and (100-oriented AlN thin films were obtained and compared by XRD patterns and TEM images. For electrical properties analysis, we found that the memory windows of (100-oriented AlN thin films are better than those of (002-oriented thin films. Besides, the interface and interaction between the silicon and (100-oriented AlN thin films was serious important problem. Finally, the current transport models of the as-deposited and annealed (100-oriented AlN thin films were also discussed. From the results, we suggested and investigated that large memory window of the annealed (100-oriented AlN thin films was induced by many dipoles and large electric field applied.

  7. Investigation of AlN films grown by molecular beam epitaxy on vicinal Si(111) as templates for GaN quantum dots

    International Nuclear Information System (INIS)

    Benaissa, M.; Vennegues, P.; Tottereau, O.; Nguyen, L.; Semond, F.

    2006-01-01

    The use of AlN epitaxial films deposited on vicinal Si(111) as templates for the growth of GaN quantum dots is investigated by transmission electron microscopy and atomic force microscopy. It is found that the substrate vicinality induces both a slight tilt of the AlN (0001) direction with respect to the [111] direction and a step bunching mechanism. As a consequence, a dislocation dragging behavior is observed giving rise to dislocation-free areas well suited for the nucleation of GaN quantum dots

  8. Electro-acoustic sensors based on AlN thin film: possibilities and limitations

    Science.gov (United States)

    Wingqvist, Gunilla

    2011-06-01

    The non-ferroelectric polar wurtzite aluminium nitride (AlN) material has been shown to have potential for various sensor applications both utilizing the piezoelectric effect directly for pressure sensors or indirectly for acoustic sensing of various physical, chemical and biochemical sensor applications. Especially, sputter deposited AlN thin films have played a central role for successful development of the thin film electro-acoustic technology. The development has been primarily driven by one device - the thin film bulk acoustic resonator (FBAR or TFBAR), with its primary use for high frequency filter applications for the telecom industry. AlN has been the dominating choice for commercial application due to compatibility with the integrated circuit technology, low acoustic and dielectric losses, high acoustic velocity in combination with comparably high (but still for some applications limited) electromechanical coupling. Recently, increased piezoelectric properties (and also electromechanical coupling) in the AlN through the alloying with scandium nitride (ScN) have been identified both experimentally and theoretically. Inhere, the utilization of piezoelectricity in electro-acoustic sensing will be discussed together with expectation on acoustic FBAR sensor performance with variation in piezoelectric material properties in the parameter space around AlN due to alloying, in view of the ScxAl1-xN (0

  9. Surface acoustic wave devices on AlN/3C–SiC/Si multilayer structures

    International Nuclear Information System (INIS)

    Lin, Chih-Ming; Lien, Wei-Cheng; Riekkinen, Tommi; Senesky, Debbie G; Pisano, Albert P; Chen, Yung-Yu; Felmetsger, Valery V

    2013-01-01

    Surface acoustic wave (SAW) propagation characteristics in a multilayer structure including a piezoelectric aluminum nitride (AlN) thin film and an epitaxial cubic silicon carbide (3C–SiC) layer on a silicon (Si) substrate are investigated by theoretical calculation in this work. Alternating current (ac) reactive magnetron sputtering was used to deposit highly c-axis-oriented AlN thin films, showing the full width at half maximum (FWHM) of the rocking curve of 1.36° on epitaxial 3C–SiC layers on Si substrates. In addition, conventional two-port SAW devices were fabricated on the AlN/3C–SiC/Si multilayer structure and SAW propagation properties in the multilayer structure were experimentally investigated. The surface wave in the AlN/3C–SiC/Si multilayer structure exhibits a phase velocity of 5528 m s −1 and an electromechanical coupling coefficient of 0.42%. The results demonstrate the potential of AlN thin films grown on epitaxial 3C–SiC layers to create layered SAW devices with higher phase velocities and larger electromechanical coupling coefficients than SAW devices on an AlN/Si multilayer structure. Moreover, the FWHM values of rocking curves of the AlN thin film and 3C–SiC layer remained constant after annealing for 500 h at 540 °C in air atmosphere. Accordingly, the layered SAW devices based on AlN thin films and 3C–SiC layers are applicable to timing and sensing applications in harsh environments. (paper)

  10. Influence of substrate bias on the structure and properties of (Ti, Al)N films deposited by filtered cathodic vacuum arc

    International Nuclear Information System (INIS)

    Cheng, Y.H.; Tay, B.K.; Lau, S.P.; Shi, X.

    2001-01-01

    (Ti, Al)N films were deposited by an off-plane, double-bend, filtered cathodic vacuum arc technique in N 2 atmosphere at room temperature. The (Ti, Al)N films deposited are atomically smooth. The influence of substrate negative bias at the wide range (0-1000 V) on the deposition rate, surface morphology, crystal structure, internal stress, and mechanical properties of (Ti, Al)N films were systematically studied. Increasing substrate bias results in the decrease of deposition rate and the increase of surface roughness monotonically. At the bias of 0 V, (Ti, Al)N films are amorphous, and the internal stress, hardness, and Young's modulus for the deposited films are fairly low. With increasing substrate bias to 200 V, single-phase face-centered cubic-type nanocrystalline (Ti, Al)N films can be obtained, and the internal stress, hardness, and Young's modulus increase to the maximum of 7 GPa, 28 GPa, and 240 GPa, respectively. Further increase of substrate bias results in the decrease of intensity and the broadening of x-ray diffraction lines, and the gradual decrease of internal stress, hardness, and Young's modulus in (Ti, Al)N films

  11. Barrier effect of AlN film in flexible Cu(In,Ga)Se{sub 2} solar cells on stainless steel foil and solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Li, Boyan; Li, Jianjun [Institute of Photo-electronic Thin Film Devices and Technology, Key Laboratory of Photo-electronic Thin Film Devices and Technology of Tianjin, Nankai University, Tianjin 300071 (China); Wu, Li [The MOE Key Laboratory of Weak-Light Nonlinear Photonics, School of Physics, Nankai University, Tianjin 300071 (China); Liu, Wei; Sun, Yun [Institute of Photo-electronic Thin Film Devices and Technology, Key Laboratory of Photo-electronic Thin Film Devices and Technology of Tianjin, Nankai University, Tianjin 300071 (China); Zhang, Yi, E-mail: yizhang@nankai.edu.cn [Institute of Photo-electronic Thin Film Devices and Technology, Key Laboratory of Photo-electronic Thin Film Devices and Technology of Tianjin, Nankai University, Tianjin 300071 (China)

    2015-04-05

    Highlights: • The adhension between AlN film and Mo are verygood. • AlN film can be effectively used as the barrier of flexible CIGS solar cell on SS substrate. • AlN film is suitable as the insulation barrier of flexible CIGS solar cell on SS substrate. - Abstract: The AlN film deposited by DC magnetron sputtering on stainless steel (SS) foils was used as the barrier in flexible Cu(In,Ga)Se{sub 2} (CIGS) solar cells on stainless steel foil and characterized comprehensively by X-ray diffraction (XRD), scanning electron microscopy (SEM), I–V, and QE measurements study. The study of AlN as insulation barrier in the flexible CIGS solar cell showed that the adhesion strength between the SS foil and the deposited AlN film was very strong even after annealing at high temperature at 530 °C. More importantly, a high resistance of over 10 MΩ was remained with the film with thickness of around 200 nm after annealing. This indicates that the AlN film is suitable as an effective insulation barrier in flexible CIGS solar cells based on SS foil. In addition, the XRD and SEM results showed that the AlN film did not influence the crystal structure of the Mo film which was deposited upon the AlN layer and used as the electrical contact in CIGS solar cells. It was found that the AlN film contributed to an improved crystallinity of the Mo contact layer compared to the bare SS foil. The combined results of secondary ion mass spectrometry, I–V and EQE measurements of the corresponding flexible CIGS solar cells confirmed that 1 μm-thick AlN film could be used as an efficient barrier layer in CIGS solar cells on SS foil.

  12. Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma

    International Nuclear Information System (INIS)

    Goerke, Sebastian; Ziegler, Mario; Ihring, Andreas; Dellith, Jan; Undisz, Andreas; Diegel, Marco; Anders, Solveig; Huebner, Uwe; Rettenmayr, Markus; Meyer, Hans-Georg

    2015-01-01

    Highlights: • AlN films grown at 150 °C by ALD using trimethylaluminum and H 2 /N 2 -plasma. • Nearly stoichiometric AlN films (ratio Al:N = 0.938), polycrystalline by XRD/TEM. • Refractive index of n = 1.908 and low thermal conductivity of κ = 1.66 W/(m K). • Free-standing AlN membranes mechanically stable and buckling free (tensile strain). • Membrane patterning by focused ion beam etching possible. - Abstract: Aluminum nitride (AlN) thin films with thicknesses from 20 to 100 nm were deposited on silicon, amorphous silica, silicon nitride, and vitreous carbon by plasma enhanced atomic layer deposition (PE-ALD). Trimethylaluminum (TMA) and a H 2 /N 2 plasma mixture were used as precursors. We investigated the influence of deposition temperature and plasma parameters on the growth characteristics and the film properties of AlN. Stable PE-ALD growth conditions were obtained from 150 °C to the highest tested temperature of 300 °C. The growth rate, refractive index, and thickness homogeneity on 4″ wafers were determined by spectroscopic ellipsometry. X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM) and Rutherford backscattering spectrometry (RBS) were carried out to analyze crystallinity and composition of the films. Furthermore, the thermal conductivity and the film stress were determined. The stress was sufficiently low to fabricate mechanically stable free-standing AlN membranes with lateral dimensions of up to 2.2 × 2.2 mm 2 . The membranes were patterned with focused ion beam etching. Thus, these AlN membranes qualify as dielectric support material for a variety of potential applications

  13. Stoichiometric control for heteroepitaxial growth of smooth ɛ-Ga2O3 thin films on c-plane AlN templates by mist chemical vapor deposition

    Science.gov (United States)

    Tahara, Daisuke; Nishinaka, Hiroyuki; Morimoto, Shota; Yoshimoto, Masahiro

    2017-07-01

    Epitaxial ɛ-Ga2O3 thin films with smooth surfaces were successfully grown on c-plane AlN templates by mist chemical vapor deposition. Using X-ray diffraction 2θ-ω and φ scans, the out-of-plane and in-plane epitaxial relationship was determined to be (0001) ɛ-Ga2O3 [10\\bar{1}0] ∥ (0001)AlN[10\\bar{1}0]. The gallium/oxygen ratio was controlled by varying the gallium precursor concentration in the solution. While scanning electron microscopy showed the presence of large grains on the surfaces of the films formed for low concentrations of oxygen species, no large grains were observed under stoichiometric conditions. Cathodoluminescence measurements showed a deep-level emission ranging from 1.55-3.7 eV; however, no band-edge emission was observed.

  14. The extraordinary role of the AlN interlayer in growth of AlN sputtered on Ti electrodes

    NARCIS (Netherlands)

    Tran, Tuan; Pandraud, G.; Tichelaar, F.D.; Nguyen, Duc Minh; Schellevis, H.; Sarro, P.M.

    2013-01-01

    The structure of AlN layers grown on Ti with and without an AlN interlayer between the Si substrate and the Ti layer is investigated. The AlN grains take over the orientation of the Ti columnar grains in both cases. Surprisingly, the Ti grains do not take over completely the orientations of the AlN

  15. Indentation-Induced Mechanical Deformation Behaviors of AlN Thin Films Deposited on c-Plane Sapphire

    International Nuclear Information System (INIS)

    Jian, Sh.R.; Juang, J.Y.

    2012-01-01

    The mechanical properties and deformation behaviors of AlN thin films deposited on c-plane sapphire substrates by helicon sputtering method were determined using the Berkovich nano indentation and cross-sectional transmission electron microscopy (XTEM). The load-displacement curves show the 'pop-ins' phenomena during nano indentation loading, indicative of the formation of slip bands caused by the propagation of dislocations. No evidence of nano indentation-induced phase transformation or cracking patterns was observed up to the maximum load of 80 mN, from either XTEM or atomic force microscopy (AFM) of the mechanically deformed regions. Instead, XTEM revealed that the primary deformation mechanism in AlN thin films is via propagation of dislocations on both basal and pyramidal planes. Furthermore, the hardness and Young's modulus of AlN thin films estimated using the continuous contact stiffness measurements (CSMs) mode provided with the nanoindenter are 16.2 GPa and 243.5 GPa, respectively.

  16. Effect of AlN doping on the growth morphology of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Singh, N.B.; Jones, E.; Berghmans, A.; Wagner, B.P.; Jelen, E.; McLaughlin, S.; Knuteson, D.J.; Fitelson, M.; King, M.; Kahler, D. [Northrop Grumman Corporation, ES-ATL, Linthicum, MD (United States)

    2009-09-15

    AlN doped SiC films were deposited on on-axis Si-face 4H-SiC(0001) substrates by the physical vapor transport (PVT) method. Thick film in the range of 20 {mu}m range was grown and morphology was characterized. Films were grown by physical vapor deposition (PVD) in a vertical geometry in the nitrogen atmosphere. We observed that nucleation occurred in the form of discs and growth occurred in hexagonal geometry. The X-ray studies showed (001)orientation and full width of half maxima (FWHM) was less than 0.1 indicating good crystallinity. We also observed that film deposited on the carbon crucible had long needles with anisotropic growth very similar to that of pure AlN. Some of the needles grew up to sizes of 200{mu}m in length and 40 to 50 {mu}m in width. It is clear that annealing of SiC-AlN powder or high temperature physical vapor deposition produces similar crystal structure for producing AlN-SiC solid solution. SEM studies indicated that facetted hexagons grew on the top of each other and coarsened and merged to form cm size grains on the substrate. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Investigation of different mechanisms of GaN growth induced on AlN and GaN nucleation layers

    International Nuclear Information System (INIS)

    Tasco, V.; Campa, A.; Tarantini, I.; Passaseo, A.; Gonzalez-Posada, F.; Munoz, E.; Redondo-Cubero, A.; Lorenz, K.; Franco, N.

    2009-01-01

    The evolution of GaN growth on AlN and GaN nucleation layers is compared through morphological and structural analyses, including ion beam analysis. By using AlN nucleation layer grown at high temperature, improved crystalline quality is exhibited by 300 nm thin GaN epilayers. GaN (002) x-ray rocking curve as narrow as 168 arc sec and atomic-step surface morphology characterize such a thin GaN film on AlN. Defects are strongly confined into the first 50 nm of growth, whereas a fast laterally coherent growth is observed when increasing thickness, as an effect of high temperature AlN surface morphology and Ga adatom dynamics over this template

  18. Optical Properties Dependence with Gas Pressure in AlN Films Deposited by Pulsed Laser Ablation

    International Nuclear Information System (INIS)

    Perez, J A; Riascos, H; Caicedo, J C; Cabrera, G; Yate, L

    2011-01-01

    AlN films were deposited by pulsed laser deposition technique (PLD) using an Nd: YAG laser (λ = 1064 nm). The films were deposited in a nitrogen atmosphere as working gas; the target was an aluminum high purity (99.99%). The films were deposited with a laser fluence of 7 J/cm2 for 10 minutes on silicon (100) substrates. The substrate temperature was 300 deg. C and the working pressure was varied from 3 mtorr to 11 mtorr. The thickness measured by profilometer was 150 nm for all films. The crystallinity was observed via XRD pattern, the morphology and composition of the films were studied using scanning electron microscopy (SEM) and Energy Dispersive X-ray analysis (EDX), respectively. The optical reflectance spectra and color coordinates of the films were obtained by optical spectral reflectometry technique in the range of 400 cm-1- 900 cm-1 by an Ocean Optics 2000 spectrophotometer. In this work, a clear dependence of the reflectance, dominant wavelength and color purity was found in terms of the applied pressure to the AlN films. A reduction in reflectance of about 55% when the pressure was increased from 3 mtorr to 11 mtorr was observed. This paper deals with the formation of AlN thin films as promising materials for the integration of SAW devices on Si substrates due to their good piezoelectric properties and the possibility of deposition at low temperature compatible with the manufacturing of Si integrated circuits.

  19. Optical Properties Dependence with Gas Pressure in AlN Films Deposited by Pulsed Laser Ablation

    Energy Technology Data Exchange (ETDEWEB)

    Perez, J A; Riascos, H [Departamento de Fisica, Universidad Tecnologica de Pereira, Grupo plasma Laser y Aplicaciones A.A 097 (Colombia); Caicedo, J C [Grupo pelIculas delgadas, Universidad del Valle, Cali (Colombia); Cabrera, G; Yate, L, E-mail: jcaicedoangulo@gmail.com [Department de Fisica Aplicada i Optica, Universitat de Barcelona, Catalunya (Spain)

    2011-01-01

    AlN films were deposited by pulsed laser deposition technique (PLD) using an Nd: YAG laser ({lambda} = 1064 nm). The films were deposited in a nitrogen atmosphere as working gas; the target was an aluminum high purity (99.99%). The films were deposited with a laser fluence of 7 J/cm2 for 10 minutes on silicon (100) substrates. The substrate temperature was 300 deg. C and the working pressure was varied from 3 mtorr to 11 mtorr. The thickness measured by profilometer was 150 nm for all films. The crystallinity was observed via XRD pattern, the morphology and composition of the films were studied using scanning electron microscopy (SEM) and Energy Dispersive X-ray analysis (EDX), respectively. The optical reflectance spectra and color coordinates of the films were obtained by optical spectral reflectometry technique in the range of 400 cm-1- 900 cm-1 by an Ocean Optics 2000 spectrophotometer. In this work, a clear dependence of the reflectance, dominant wavelength and color purity was found in terms of the applied pressure to the AlN films. A reduction in reflectance of about 55% when the pressure was increased from 3 mtorr to 11 mtorr was observed. This paper deals with the formation of AlN thin films as promising materials for the integration of SAW devices on Si substrates due to their good piezoelectric properties and the possibility of deposition at low temperature compatible with the manufacturing of Si integrated circuits.

  20. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  1. AlGaN-based deep-ultraviolet light-emitting diodes grown on high-quality AlN template using MOVPE

    KAUST Repository

    Yan, Jianchang; Wang, Junxi; Zhang, Yun; Cong, Peipei; Sun, Lili; Tian, Yingdong; Zhao, Chao; Li, Jinmin

    2015-01-01

    In this article, we report the growth of high-quality AlN film using metal-organic vapor phase epitaxy. Three layers of middle-temperature (MT) AlN were introduced during the high-temperature (HT) AlN growth. During the MT-AlN layer growth, aluminum and nitrogen sources were closed for 6 seconds after every 5-nm MT-AlN, while H2 carrier gas was always on. The threading dislocation density in an AlN epi-layer on a sapphire substrate was reduced by almost half. AlGaN-based deep-ultraviolet light-emitting diodes were further fabricated based on the AlN/sapphire template. At 20 mA driving current, the emitted peak wavelength is 284.5 nm and the light output power exceeds 3 mW.

  2. AlGaN-based deep-ultraviolet light-emitting diodes grown on high-quality AlN template using MOVPE

    KAUST Repository

    Yan, Jianchang

    2015-03-01

    In this article, we report the growth of high-quality AlN film using metal-organic vapor phase epitaxy. Three layers of middle-temperature (MT) AlN were introduced during the high-temperature (HT) AlN growth. During the MT-AlN layer growth, aluminum and nitrogen sources were closed for 6 seconds after every 5-nm MT-AlN, while H2 carrier gas was always on. The threading dislocation density in an AlN epi-layer on a sapphire substrate was reduced by almost half. AlGaN-based deep-ultraviolet light-emitting diodes were further fabricated based on the AlN/sapphire template. At 20 mA driving current, the emitted peak wavelength is 284.5 nm and the light output power exceeds 3 mW.

  3. Study of High Quality Indium Nitride Films Grown on Si(100 Substrate by RF-MOMBE with GZO and AlN Buffer Layers

    Directory of Open Access Journals (Sweden)

    Wei-Chun Chen

    2012-01-01

    Full Text Available Wurtzite structure InN films were prepared on Si(100 substrates using radio-frequency metal-organic molecular beam epitaxy (RF-MOMBE system. Ga-doped ZnO (GZO and Amorphous AlN (a-AlN film were used as buffer layers for InN films growth. Structural, surface morphology and optical properties of InN films were investigated by X-ray diffraction (XRD, field emission scanning electron microscopy (FE-SEM, transmission electron microscopy (TEM, and photoluminescence (PL. XRD results indicated that all InN films exhibited preferred growth orientation along the c-axis with different intermediate buffers. TEM images exhibit the InN/GZO growth by two-dimensional mode and thickness about 900 nm. Also, the InN films can be obtained by growth rate about ~1.8 μm/h. Optical properties indicated that the band gap of InN/GZO is about 0.79 eV. These results indicate that the control of buffer layer is essential for engineering the growth of InN on silicon wafer.

  4. Extended analysis of the frequency dependence of the admittance of MIS structures with pulsed-laser-deposited AlN films

    Energy Technology Data Exchange (ETDEWEB)

    Simeonov, S; Bakalova, S; Szekeres, A; Kafedjiijska, E [Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Grigorescu, S; Socol, G; Mihailescu, I N [Lasers Department, National Institute for Lasers, Plasma and Radiation Physics, PO Box MG-54, RO-77125, Bucharest-Magurele (Romania)], E-mail: sbakalova@issp.bas.bg

    2008-05-01

    MIS structures with AlN films deposited on p-Si by pulsed laser deposition were prepared and admittance measurements were carried out in the frequency range of 100 Hz - 10 MHz. The density of traps in the AlN film and at the AlN/Si interface was evaluated using the electrical characteristics obtained, and the hopping mechanism of charge transport was determined from the dispersion of the a.c. conductance.

  5. Point Defect Identification and Management for Sub-300 nm Light Emitting Diodes and Laser Diodes Grown on Bulk AlN Substrates

    Science.gov (United States)

    Bryan, Zachary A.

    defects in the films due to the increase in their formation energies during growth. This method improved the electrical properties of p-type GaN and n-type AlGaN and reduced stress thereby preventing films from cracking. The optical and structural quality of high Al-content AlGaN multiple quantum wells, light emitting diodes (LEDs), and laser diodes (LDs) grown on single crystalline AlN substrates are investigated. The use of bulk AlN substrates enabled the undoubtable distinction between the effect of growth conditions, such as V/III ratio, on the optical quality from the influence of dislocations. At a high V/III ratio and the proper MQW design, a record high IQE of 80% at a carrier density of 1018 cm-3 is achieved at 258 nm. With these structures, true sub-300 nm lasing is realized and distinguished from super luminescence for the first time by the observations of lasing characteristics such as longitudinal cavity modes, 100% polarized emission, and an elliptically shaped far-field pattern. A transverse electric to transverse magnetic polarization crossover at 245 nm is found. Lasing is observed in both asymmetric and symmetric waveguide structures with and without the presence of Si- and Mg-doping in the waveguide layer. The lowest measurable lasing threshold is 50 kW/cm2 and potentially a lower threshold is obtained in a symmetric waveguide structure while the lowest measured lasing wavelength is 237 nm. Gain measurements reveal a net modal gain greater than 100 cm-1 which is the highest reported value for sub-300 nm lasers. Furthermore, a lowest reported FWHM of 0.012 nm is observed indicating the high quality of the laser structure. Finally, electrically injected LED and LD structures are studied showing great potential for the realization of the first sub-300 nm LD.

  6. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  7. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  8. Preliminary comparison of three processes of AlN oxidation: dry, wet and mixed ones

    Directory of Open Access Journals (Sweden)

    Korbutowicz R.

    2016-03-01

    Full Text Available Three methods of AlN layers oxidation: dry, wet and mixed (wet with oxygen were compared. Some physical parameters of oxidized thin films of aluminum nitride (AlN layers grown on silicon Si(1 1 1 were investigated by means Energy-Dispersive X-ray Spectroscopy (EDS and Spectroscopic Ellipsometry (SE. Three series of the thermal oxidations processes were carried out at 1012 °C in pure nitrogen as carrying gas and various gas ambients: (a dry oxidation with oxygen, (b wet oxidation with water steam and (c mixed atmosphere with various process times. All the research methods have shown that along with the rising of the oxidation time, AlN layer across the aluminum oxide nitride transforms to aluminum oxide. The mixed oxidation was a faster method than the dry or wet ones.

  9. Early stages of interface reactions between AlN and Ti thin films

    CERN Document Server

    Pinkas, M; Froumin, N; Pelleg, J; Dariel, M P

    2002-01-01

    The early stages of interface reactions between AlN and Ti thin films were investigated using x-ray diffractions, Auger electron spectroscopy, cross section transmission electron microscopy (XTEM), and high resolution XTEM. The AlN/Ti bilayers were deposited on a molybdenum substrate using reactive and nonreactive magnetron sputtering techniques. After deposition, the bilayers were heat treated for 1-10 h at 600 deg. C in a nitrogen atmosphere. Decomposition of the AlN layer took place at the AlN/Ti interface and its products, Al and N, reacted with Ti to produce a AlN/Al sub 3 Ti/Ti sub 2 N/Ti sub 3 Al/alpha-(Ti, Al)ss phase sequence. This phase sequence is not consistent with the Ti-Al-N phase diagram and is believed to be the outcome of the particular conditions that prevail in the thin film and correspond to a particular set of kinetic parameters. A model that explains the development of the phase sequence and predicts its evolution after prolonged heat treatments is put forward. The applicability of such...

  10. Using Mosaicity to Tune Thermal Transport in Polycrystalline AlN Thin Films

    KAUST Repository

    Singh, Shivkant

    2018-05-17

    The effect of controlling the c-axis alignment (mosaicity) to the cross-plane thermal transport in textured polycrystalline aluminum nitride (AlN) thin films is experimentally and theoretically investigated. We show that by controlling the sputtering conditions we are able to deposit AlN thin films with varying c-axis grain tilt (mosaicity) from 10° to 0°. Microstructural characterization shows that the films are nearly identical in thickness and grain size, and the difference in mosaicity alters the grain interface quality. This has a significant effect to thermal transport where a thermal conductivity of 4.22 W/mK vs. 8.09 W/mK are measured for samples with tilt angles of 10° vs. 0° respectively. The modified Callaway model was used to fit the theoretical curves to the experimental results using various phonon scattering mechanisms at the grain interface. It was found that using a non-gray model gives an overview of the phonon scattering at the grain boundaries, whereas treating the grain boundary as an array of dislocation lines with varying angle relative to the heat flow, best describes the mechanism of the thermal transport. Lastly, our results show that controlling the quality of the grain interface provides a tuning knob to control thermal transport in polycrystalline materials.

  11. Using Mosaicity to Tune Thermal Transport in Polycrystalline AlN Thin Films

    KAUST Repository

    Singh, Shivkant; Shervin, Shahab; Sun, Haiding; Yarali, Milad; Chen, Jie; Lin, Ronghui; Li, Kuang-Hui; Li, Xiaohang; Ryou, Jae-Hyun; Mavrokefalos, Anastassios

    2018-01-01

    The effect of controlling the c-axis alignment (mosaicity) to the cross-plane thermal transport in textured polycrystalline aluminum nitride (AlN) thin films is experimentally and theoretically investigated. We show that by controlling the sputtering conditions we are able to deposit AlN thin films with varying c-axis grain tilt (mosaicity) from 10° to 0°. Microstructural characterization shows that the films are nearly identical in thickness and grain size, and the difference in mosaicity alters the grain interface quality. This has a significant effect to thermal transport where a thermal conductivity of 4.22 W/mK vs. 8.09 W/mK are measured for samples with tilt angles of 10° vs. 0° respectively. The modified Callaway model was used to fit the theoretical curves to the experimental results using various phonon scattering mechanisms at the grain interface. It was found that using a non-gray model gives an overview of the phonon scattering at the grain boundaries, whereas treating the grain boundary as an array of dislocation lines with varying angle relative to the heat flow, best describes the mechanism of the thermal transport. Lastly, our results show that controlling the quality of the grain interface provides a tuning knob to control thermal transport in polycrystalline materials.

  12. Catalytic effect of Al and AlN interlayer on the growth and properties of containing carbon films

    International Nuclear Information System (INIS)

    Zhou, Bing; Liu, Zhubo; Tang, Bin; Rogachev, A.V.

    2015-01-01

    Highlights: • DLC and CN x bilayers with Al (AlN) interlayer were fabricated by cathode arc technique. • Complete diffusion of Al and C atoms occurs at the interface of Al/DLC (CN x ) bilayer. • Al/CN x bilayer presents a higher content of Csp 3 /Csp 2 bonds. • The hardness of Al/DLC bilayer decreases but increases for the other bilayers. • Morphology of the bilayers was explained by growth mechanism of DLC and surface state of substrate. - Abstract: Diamond-like carbon (DLC) and carbon nitride (CN x ) bilayer films with Al and AlN interlayer were fabricated by pulse cathode arc technique. The structure, composition, morphology and mechanical properties of the films were investigated by Raman, Auger electron spectroscopy (AES) and X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), Knoop sclerometer and surface profilometer. The results indicated that the complete diffusion between C and Al atoms occurs in the Al/DLC and Al/CN x bilayer. Al interlayer induces the increase of the size and ordering of Csp 2 clusters in the films but AlN interlayer increases the disordering degree of Csp 2 clusters. XPS results showed that a higher content of Csp 3 /Csp 2 bonds presents in the Al/CN x bilayer, and Al and AlN interlayer decreases the atomic ratio of N/C. AFM with phase contrast mode illustrated the morphologic characteristics of the bilayer films. All the bilayers show a nano-structural surface. The morphology changes of the bilayer were well explained by the surface state of the substrate and the growth mechanism of DLC films. The hardness of Al/DLC bilayer decreases but it increases for the other bilayers compared to the corresponding DLC (CN x ) monolayer. The internal stress of the bilayer is significantly lower than that of the monolayer except for the AlN/CN x bilayer. These studies could make the difference at the time of choosing a suitable functional film for certain application

  13. Control of two-dimensional growth of AlN and high Al-content AlGaN-based MQWs for deep-UV LEDs

    Directory of Open Access Journals (Sweden)

    Weihuang Yang

    2013-05-01

    Full Text Available Dense and atomically flat AlN film with root-mean-square roughness value of 0.32 nm was grown on sapphire substrate at a relatively lower temperature by using a three-step epitaxy technique. On the basis of this AlN template, AlGaN-based multiple quantum wells (MQWs with atomically flat hetero-interfaces were epitaxially grown to suppress nonradiative recombination by introducing In as a surfactant during simultaneous source supply. As a result, single intense- and narrow-peaked photoluminescence was obtained from the MQWs. Finally, the deep ultraviolet light emitting diodes with well-behaved I-V characteristic and strong electroluminescence in the range of 256–312 nm were fabricated successfully.

  14. Influence of ion/atom arrival ratio on structure and optical properties of AlN films by ion beam assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Jian-ping [Department of Energy Material and Technology, General Research Institute for Nonferrous Metals, Beijing 100088 (China); School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China); Fu, Zhi-qiang, E-mail: fuzq@cugb.edu.cn [School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China); Liu, Xiao-peng [Department of Energy Material and Technology, General Research Institute for Nonferrous Metals, Beijing 100088 (China); Yue, Wen; Wang, Cheng-biao [School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China)

    2014-10-30

    Highlights: • AlN films were fabricated by dual ion beam sputtering. • Chemical bond status and phase composition of the films were studied by XPS and XRD. • Optical constants were measured by spectroscopic ellipsometry. • Influence of ion/atom arrival ratio on the films was studied. - Abstract: In order to improve the optical properties of AlN films, the influence of the ion/atom arrival ratio on the structure and optical characteristics of AlN films deposited by dual ion beam sputtering was studied by using X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectroscopy, spectroscopic ellipsometry and UV–vis spectroscopy. The films prepared at the ion/atom arrival ratio of 1.4 are amorphous while the crystalline quality is improved with the increase of the ion/atom arrival ratio. The films deposited at the ion/atom arrival ratio of no less than 1.8 have an approximately stoichiometric ratio and mainly consist of aluminum nitride with little aluminum oxynitride, while metallic aluminum component appears in the films deposited at the ion/atom arrival ratio of 1.4. When the ion/atom arrival ratio is not less than 1.8, films are smooth, high transmitting and dense. The films prepared with high ion/atom arrival ratio (≥1.8) display the characteristic of a dielectric. The films deposited at the ion/atom arrival ratio of 1.4 are coarse, opaque and show characteristic of cermet.

  15. High Temperature Annealing Studies on the Piezoelectric Properties of Thin Aluminum Nitride Films

    Energy Technology Data Exchange (ETDEWEB)

    Farrell, R.; Pagan, V.R.; Kabulski, A.; Kuchibhatla, S.; Harman, J.; Kasarla, K.R.; Rodak, L.E.; Hensel, J.P.; Famouri, P.; Korakakis, D.

    2008-01-01

    A Rapid Thermal Annealing (RTA) system was used to anneal sputtered and MOVPE-grown Aluminum Nitride (AlN) thin films at temperatures up to 1000°C in ambient and controlled environments. According to Energy Dispersive X-Ray Analysis (EDAX), the films annealed in an ambient environment rapidly oxidize after five minutes at 1000°C. Below 1000°C the films oxidized linearly as a function of annealing temperature which is consistent with what has been reported in literature [1]. Laser Doppler Vibrometry (LDV) was used to measure the piezoelectric coefficient, d33, of these films. Films annealed in an ambient environment had a weak piezoelectric response indicating that oxidation on the surface of the film reduces the value of d33. A high temperature furnace has been built that is capable of taking in-situ measurements of the piezoelectric response of AlN films. In-situ d33 measurements are recorded up to 300°C for both sputtered and MOVPE-grown AlN thin films. The measured piezoelectric response appears to increase with temperature up to 300°C possibly due to stress in the film.

  16. High Temperature Annealing Studies on the Piezoelectric Properties of Thin Aluminum Nitride Films

    Energy Technology Data Exchange (ETDEWEB)

    R. Farrell; V. R. Pagan; A. Kabulski; Sridhar Kuchibhatl; J. Harman; K. R. Kasarla; L. E. Rodak; P. Famouri; J. Peter Hensel; D. Korakakis

    2008-05-01

    A Rapid Thermal Annealing (RTA) system was used to anneal sputtered and MOVPE grown Aluminum Nitride (AlN) thin films at temperatures up to 1000°C in ambient and controlled environments. According to Energy Dispersive X-Ray Analysis (EDAX), the films annealed in an ambient environment rapidly oxidize after five minutes at 1000°C. Below 1000°C the films oxidized linearly as a function of annealing temperature which is consistent with what has been reported in literature [1]. Laser Doppler Vibrometry (LDV) was used to measure the piezoelectric coefficient, d33, of these films. Films annealed in an ambient environment had a weak piezoelectric response indicating that oxidation on the surface of the film reduces the value of d33. A high temperature furnace has been built that is capable of taking in-situ measurements of the piezoelectric response of AlN films. In-situ d33 measurements are recorded up to 300°C for both sputtered and MOVPE-grown AlN thin films. The measured piezoelectric response appears to increase with temperature up to 300°C possibly due to stress in the film.

  17. Effect of III/V ratio on the polarity of AlN and GaN layers grown in the metal rich growth regime on Si(111) by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Agrawal, Manvi; Dharmarasu, Nethaji; Radhakrishnan, K.; Pramana, Stevin Snellius

    2015-01-01

    Wet chemical etching, reflection high energy electron diffraction, scanning electron microscope and convergent beam electron diffraction have been employed to study the polarities of AlN and the subsequently grown GaN as a function of metal flux in the metal rich growth regime. Both AlN and GaN exhibited metal polarity in the intermediate growth conditions. However, in the droplet growth regime, the polarity of AlN and GaN were N polar and Ga polar, respectively. It was observed that Ga polar GaN could be obtained on both Al and N polar AlN. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure exhibiting hall mobility of 900 cm 2 V -1 s -1 and sheet carrier density of 1.2 × 10 13 cm -2 was demonstrated using N polar AlN which confirmed Ga polarity of GaN. Al metal flux was likely to play an important role in controlling the polarity of AlN and determining the polarity of the subsequent GaN grown on Si(111) by plasma assisted molecular beam epitaxy (PA-MBE). (author)

  18. Statistical analysis of the effect of deposition parameters on the preferred orientation of sputtered AlN thin films

    International Nuclear Information System (INIS)

    Pantojas, V.M.; Otano-Rivera, W.; Caraballo, Jose N.

    2005-01-01

    A response surface statistical method was used to study the effects of deposition pressure, power and substrate temperature on the degree of preferred orientation of aluminum nitride films grown on Si (111) by dc magnetron sputtering. The AlN films were deposited at gas pressures ranging from 0.66 to 1.33 Pa, substrate temperature from 300 to 400 deg. C and power from 100 to 200 W. The degree of preferred orientation was evaluated and quantified using two-dimensional X-ray diffraction, which provides information on the out of plane (002) crystal alignment. The statistical method yielded a surface response curve in the parameter space and a correlation equation between the deposition parameters was obtained. Substrate temperature showed no significant effect upon texture quality for the temperature range studied. A surface response graph as a function of pressure and power was obtained. The main factor affecting texture quality was found to be a pressure-power interaction. The possible mechanisms that contribute to such correlation are discussed. Our best films yielded a rocking curve with full width at half maximum of 6.3 deg

  19. Effects of AlN Coating Layer on High Temperature Characteristics of Langasite SAW Sensors

    Directory of Open Access Journals (Sweden)

    Lin Shu

    2016-09-01

    Full Text Available High temperature characteristics of langasite surface acoustic wave (SAW devices coated with an AlN thin film have been investigated in this work. The AlN films were deposited on the prepared SAW devices by mid-frequency magnetron sputtering. The SAW devices coated with AlN films were measured from room temperature to 600 °C. The results show that the SAW devices can work up to 600 °C. The AlN coating layer can protect and improve the performance of the SAW devices at high temperature. The SAW velocity increases with increasing AlN coating layer thickness. The temperature coefficients of frequency (TCF of the prepared SAW devices decrease with increasing thickness of AlN coating layers, while the electromechanical coupling coefficient (K2 of the SAW devices increases with increasing AlN film thickness. The K2 of the SAW devices increases by about 20% from room temperature to 600 °C. The results suggest that AlN coating layer can not only protect the SAW devices from environmental contamination, but also improve the K2 of the SAW devices.

  20. Respiration and Heartbeat Measurement for Sleep Monitoring Using a Flexible AlN Piezoelectric Film Sensor

    Directory of Open Access Journals (Sweden)

    Nan BU

    2009-11-01

    Full Text Available Respiratory and heartbeat monitoring during sleep provides basic physiological information for diagnosis of sleep disorders. This paper proposes a new method for non-invasive and unconstrained measurement of respiration and heartbeat during sleep. A flexible piezoelectric film sensor made of aluminum nitride (AlN material is used for signal acquisition. The total thickness of this sensor is less than 40 μm; the thin thickness makes it imperceptible when integrated into a bed. In addition, the AlN film sensor has good sensitivity, so that pressure fluctuation due to respiration and heartbeat can be measured when a subject is lying on this sensor. The pressure fluctuation measured can be further separated into signals corresponding to respiration and heartbeat, respectively. In the proposed method, the signal separation is achieved using an algorithm based on empirical mode decomposition (EMD. From the experimental results, it was found that respiration and heartbeat signals can be successfully obtained with the proposed method.

  1. High-quality AlGaN/GaN grown on sapphire by gas-source molecular beam epitaxy using a thin low-temperature AlN layer

    Energy Technology Data Exchange (ETDEWEB)

    Jurkovic, M.J.; Li, L.K.; Turk, B.; Wang, W.I.; Syed, S.; Simonian, D.; Stormer, H.L.

    2000-07-01

    Growth of high-quality AlGaN/GaN heterostructures on sapphire by ammonia gas-source molecular beam epitaxy is reported. Incorporation of a thin AlN layer grown at low temperature within the GaN buffer is shown to result in enhanced electrical and structural characteristics for subsequently grown heterostructures. AlGaN/GaN structures exhibiting reduced background doping and enhanced Hall mobilities (2100, 10310 and 12200 cm{sup 2}/Vs with carrier sheet densities of 6.1 x 10{sup 12} cm{sup {minus}2}, and 5.8 x 10{sup 12} cm{sup {minus}2} at 300 K, 77 K, and 0.3 K, respectively) correlate with dislocation filtering in the thin AlN layer. Magnetotransport measurements at 0.3 K reveal well-resolved Shubnikov-de Haas oscillations starting at 3 T.

  2. Flexible-CMOS and biocompatible piezoelectric AlN material for MEMS applications

    International Nuclear Information System (INIS)

    Jackson, Nathan; Keeney, Lynette; Mathewson, Alan

    2013-01-01

    The development of a CMOS compatible flexible piezoelectric material is desired for numerous applications and in particular for biomedical MEMS devices. Aluminum nitride (AlN) is the most commonly used CMOS compatible piezoelectric material, which is typically deposited on Si in order to enhance the c-axis (002) crystal orientation which gives AlN its high piezoelectric properties. This paper reports on the successful deposition of AlN on polyimide (PI-2611) material. The AlN deposited has a FWHM (002) value of 5.1° and a piezoelectric d 33 value of 1.12 pm V −1 , and SEM images show high quality columnar grains. The highly crystalline AlN material is due to the semi-crystalline properties of the polyimide film used. Cytotoxicity testing showed the AlN/polyimide material to be non-toxic to 3T3 cells and primary neurons. Surface properties of the AlN/polyimide film were evaluated as they have a significant effect on the adhesion of cells to the film. The results show neurons adhering to the AlN surface. The results of this paper show the characterization of a new flexible-CMOS and biocompatible AlN/polyimide material for MEMS devices with improved crystallinity and piezoelectric properties. (paper)

  3. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    Science.gov (United States)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  4. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  5. Comparative study of initial growth stage in PVT growth of AlN on SiC and on native AlN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Epelbaum, B.M.; Heimann, P.; Bickermann, M.; Winnacker, A. [Department of Materials Science 6, University of Erlangen-Nuernberg, Martensstr. 7, 91058 Erlangen (Germany)

    2005-05-01

    The main issue in homoepitaxial growth of aluminum nitride (AlN) on native seed substrates appears to be aluminum oxynitride poisoning of seed surface leading to polycrystalline growth at 1750-1850 C. This is well below the lowest growth temperature appropriate for physical vapor transport (PVT) of bulk AlN, which is about 2150 C. Contrary, heteroepitaxial growth of AlN on SiC is relatively easy to achieve because of natural formation of a thin molten layer on the seed surface and VLS growth of AlN via the molten buffer layer. The most critical issue of AlN growth on SiC is cracking of the grown layer upon cooling as a result of different thermal expansion. Optimization of seeded growth process can be achieved by proper choice of SiC seed orientation and by use of ultra-pure starting material. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Development of Field-Controlled Smart Optic Materials (ScN, AlN) with Rare Earth Dopants

    Science.gov (United States)

    Kim, Hyun-Jung; Park, Yeonjoon; King, Glen C.; Choi, Sang H.

    2012-01-01

    The purpose of this investigation is to develop the fundamental materials and fabrication technology for field-controlled spectrally active optics that are essential for industry, NASA, and DOD applications such as: membrane optics, filters for LIDARs, windows for sensors, telescopes, spectroscopes, cameras, flat-panel displays, etc. ScN and AlN thin films were fabricated on c-axis Sapphire (0001) or quartz substrate with the RF and DC magnetron sputtering. The crystal structure of AlN in fcc (rocksalt) and hcp (wurtzite) were controlled. Advanced electrical characterizations were performed, including I-V and Hall Effect Measurement. ScN film has a free carrier density of 5.8 x 10(exp 20)/per cubic centimeter and a conductivity of 1.1 x 10(exp 3) per centimeter. The background ntype conductivity of as-grown ScN has enough free electrons that can readily interact with the photons. The high density of free electrons and relatively low mobility indicate that these films contain a high level of shallow donors as well as deep levels. Also, the UV-Vis spectrum of ScN and AlN thin films with rare earth elements (Er or Ho) were measured at room temperature. Their optical band gaps were estimated to be about 2.33eV and 2.24eV, respectively, which are obviously smaller than that of undoped thin film ScN (2.4eV). The red-shifted absorption onset gives direct evidence for the decrease of band gap (Eg) and the energy broadening of valence band states are attributable to the doping. As the doped elements enter the ScN crystal lattices, the localized band edge states form at the doped sites with a reduction of Eg. Using a variable angle spectroscopic ellipsometer, the decrease in refractive index with applied field is observed with a smaller shift in absorption coefficient.

  7. Comparison of the microstructure and chemistry of GaN(0001) films grown using trimethylgallium and triethylgallium on AlN/SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji-Soo; Reitmeier, Zachary J.; Davis, Robert F. [Department of Materials Science and Engineering, Box 7907, North Carolina State University, Raleigh, NC 27695 (United States)

    2005-05-01

    The metalorganic chemical vapor deposition of GaN(0001) films using triethylgallium (TEG) and trimethylgallium (TMG) precursors on AlN/6H-SiC(0001) substrates has been conducted using various sets of two temperatures, and the microstructural and chemical differences in the films determined. Growth of films at 980 C and 1020 C using TEG and TMG, respectively, resulted in the formation of separate elongated islands. Growth at the optimum temperatures (for our system) of 1020 C and 1050 C using these two respective precursors resulted in smooth surface microstructures. Analogous depositions at 1050 C and 1080 C resulted in the formation of hillocks over most of the surfaces. In the GaN films grown using TEG at 1020 C the concentrations of carbon (3 x 10{sup 17} cm{sup -3}) and hydrogen (1 x 10{sup 18} cm{sup -3}) were {proportional_to}10 times and {proportional_to}2 times lower than in the films deposited using TMG at 1050 C. The concentrations of oxygen and silicon were 1 x 10{sup 17} cm{sup -3} in the films grown using either precursor. Atomic force microscopy of the films grown using TEG and TMG at 1020 C and 1050 C, respectively, revealed a similar surface roughness with rms values of {proportional_to}1.8 nm within 50 {mu}m x 50 {mu}m scans. The full width at half maxima determined from omega scans of the GaN(0002) peak were {proportional_to}250 arcsec for films grown using both precursors. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Effect of Al/N ratio during nucleation layer growth on Hall mobility and buffer leakage of molecular-beam epitaxy grown AlGaN/GaN heterostructures

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Shanabrook, B.V.; Zhou Lin; Smith, David J.

    2004-01-01

    AlGaN/GaN high electron mobility transistor structures have been grown by plasma-assisted molecular beam epitaxy on semi-insulating 4H-SiC utilizing an AlN nucleation layer. The electron Hall mobility of these structures increases from 1050 cm 2 /V s to greater than 1450 cm 2 /V s when the Al/N flux ratio during the growth of the nucleation layer is increased from 0.90 to 1.07. Buffer leakage currents increase abruptly by nearly three orders of magnitude when the Al/N ratio increases from below to above unity. Transmission electron microscopy indicates that high buffer leakage is correlated with the presence of stacking faults in the nucleation layer and cubic phase GaN in the buffer, while low mobilities are correlated with high dislocation densities

  9. Influence of substrate biasing on the growth of c-axis oriented AlN thin films by RF reactive sputtering in pure nitrogen

    Energy Technology Data Exchange (ETDEWEB)

    Monteagudo-Lerma, L.; Naranjo, F.B.; Gonzalez-Herraez, M. [Departamento de Electronica, Escuela Politecnica, Universidad de Alcala, Campus Universitario, 28871 Alcala de Henares (Spain); Fernandez, S. [Departamento de Energias Renovables, Energia Solar Fotovoltaica, Centro de Investigaciones Energeticas, Medioambientales y Tecnologicas (CIEMAT), Avda. Complutense 22, 28040 Madrid (Spain)

    2012-03-15

    We report on the investigation of the influence of deposition conditions on structural, morphological and optical properties of AlN thin films deposited on sapphire (Al{sub 2}O{sub 3}) substrates by radio-frequency (RF) reactive sputtering. The deposition parameters studied are RF power, substrate temperature and substrate bias, while using pure nitrogen as reactive gas. The effect of such deposition parameters on AlN film properties are analyzed by different characterization methods as high resolution X-ray diffraction (HRXRD), field emission scanning electron microscopy (FESEM) and linear optical transmission. AlN thin films with a full-width at half-maximum (FWHM) of the rocking curve obtained for the (0002) diffraction peak of 1.2 are achieved under optimized conditions. The time resolved evolution of the self and externally-induced biasing of the substrate during deposition process is monitored and analyzed in terms of the rate of atomic species incorporation into the layer. The bias-induced change of the atomic incorporation leads to an enhancement in the structural quality of the layer and an increase of the deposition rate. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Cobalt nanoparticles deposited and embedded in AlN: Magnetic, magneto-optical, and morphological properties

    International Nuclear Information System (INIS)

    Huttel, Y.; Gomez, H.; Clavero, C.; Cebollada, A.; Armelles, G.; Navarro, E.; Ciria, M.; Benito, L.; Arnaudas, J.I.; Kellock, A.J.

    2004-01-01

    We present a structural, morphological, magnetic, and magneto-optical study of cobalt nanoparticles deposited on 50 A ring AlN/c-sapphire substrates and embedded in an AlN matrix. The dependence of the properties of Co nanoclusters deposited on AlN with growth temperature and amount of deposited Co are studied and discussed. Also we directly compare the properties of as grown and AlN embedded Co nanoclusters and show that the AlN matrix has a strong impact on their magnetic and magneto-optical properties

  11. Comparing XPS on bare and capped ZrN films grown by plasma enhanced ALD: Effect of ambient oxidation

    Science.gov (United States)

    Muneshwar, Triratna; Cadien, Ken

    2018-03-01

    In this article we compare x-ray photoelectron spectroscopy (XPS) measurements on bare- and capped- zirconium nitride (ZrN) films to investigate the effect of ambient sample oxidation on the detected bound O in the form of oxide ZrO2 and/or oxynitride ZrOxNy. ZrN films in both bare- and Al2O3/AlN capped- XPS samples were grown by plasma-enhanced atomic layer deposition (PEALD) technique using tetrakis dimethylamino zirconium (TDMAZr) precursor, forming gas (5% H2, rest N2) inductively coupled plasma (ICP), and as received research grade process gases under identical process conditions. Capped samples were prepared by depositing 1 nm thick PEALD AlN on ZrN, followed by additional deposition of 1 nm thick ALD Al2O3, without venting of ALD reactor. On bare ZrN sample at room temperature, spectroscopic ellipsometry (SE) measurements with increasing ambient exposure times (texp) showed a self-limiting surface oxidation with the oxide thickness (dox) approaching 3.7 ± 0.02 nm for texp > 120 min. In XPS data measured prior to sample sputtering (tsput = 0), ZrO2 and ZrOxNy were detected in bare- samples, whereas only ZrN and Al2O3/AlN from capping layer were detected in capped- samples. For bare-ZrN samples, appearance of ZrO2 and ZrOxNy up to sputter depth (dsput) of 15 nm in depth-profile XPS data is in contradiction with measured dox = 3.7 nm, but explained from sputtering induced atomic inter-diffusion within analyzed sample. Appearance of artifacts in the XPS spectra from moderately sputtered (dsput = 0.2 nm and 0.4 nm) capped-ZrN sample, provides an evidence to ion-bombardment induced modifications within analyzed sample.

  12. Surface state of GaN after rapid-thermal-annealing using AlN cap-layer

    Energy Technology Data Exchange (ETDEWEB)

    El-Zammar, G., E-mail: georgio.elzammar@univ-tours.fr [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Khalfaoui, W. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Oheix, T. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Yvon, A.; Collard, E. [STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Cayrel, F.; Alquier, D. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France)

    2015-11-15

    Graphical abstract: Surface state of a crack-free AlN cap-layer reactive sputtered on GaN and annealed at high temperature showing a smooth, pit-free surface. - Highlights: • We deposit a crystalline AlN layer by reactive magnetron sputtering on GaN. • We show the effect of deposition parameters of AlN by reactive magnetron sputtering on the quality of the grown layer. • We demonstrate the efficiency of double cap-layer for GaN protection during high temperature thermal treatments. • We show an efficient selective etch of AlN without damaging GaN surface. - Abstract: Critical issues need to be overcome to produce high performance Schottky diodes on gallium nitride (GaN). To activate dopant, high temperature thermal treatments are required but damage GaN surface where hexagonal pits appear and prevent any device processing. In this paper, we investigated the efficiency of cap-layers on GaN during thermal treatments to avoid degradation. Aluminum nitride (AlN) and silicon oxide (SiO{sub x}) were grown on GaN by direct current reactive magnetron sputtering and plasma-enhanced chemical vapor deposition, respectively. AlN growth parameters were studied to understand their effect on the grown layers and their protection efficiency. Focused ion beam was used to measure AlN layer thickness. Crystalline quality and exact composition were verified using X-ray diffraction and energy dispersive X-ray spectroscopy. Two types of rapid thermal annealing at high temperatures were investigated. Surface roughness and pits density were evaluated using atomic force microscopy and scanning electron microscopy. Cap-layers wet etching was processed in H{sub 3}PO{sub 4} at 120 °C for AlN and in HF (10%) for SiO{sub x}. This work reveals effective protection of GaN during thermal treatments at temperatures as high as 1150 °C. Low surface roughness was obtained. Furthermore, no hexagonal pit was observed on the surface.

  13. A novel surface micromachining process to fabricate AlN unimorph suspensions and its application for RF resonators

    NARCIS (Netherlands)

    Saravanan, S.; Saravanan, S.; Berenschot, Johan W.; Krijnen, Gijsbertus J.M.; Elwenspoek, Michael Curt

    2006-01-01

    A novel surface micromachining process is reported for aluminum nitride (AlN) thin films to fabricate piezoelectric unimorph suspension devices for micro actuator applications. Wet anisotropic etching of AlN thin film is used with a Cr metal mask layer in the microfabrication process. Tetra methyl

  14. Influence of TMAl preflow on AlN epitaxy on sapphire

    KAUST Repository

    Sun, Haiding; Wu, Feng; Park, Young Jae; Al tahtamouni, T. M.; Li, Kuang-Hui; Alfaraj, Nasir; Detchprohm, Theeradetch; Dupuis, Russell D.; Li, Xiaohang

    2017-01-01

    The trimethylaluminum (TMAl) preflow process has been widely applied on sapphire substrates prior to growing Al-polar AlN films by metalorganic chemical vapor deposition. However, it has been unclear how the TMAl preflow process really works. In this letter, we reported on carbon's significance in the polarity and growth mode of AlN films due to the TMAl preflow. Without the preflow, no trace of carbon was found at the AlN/sapphire interface and the films possessed mixed Al- and N-polarity. With the 5 s preflow, carbon started to precipitate due to the decomposition of TMAl, forming scattered carbon-rich clusters which were graphitic carbon. It was discovered that the carbon attracted surrounding oxygen impurity atoms and consequently suppressed the formation of AlxOyNz and thus N-polarity. With the 40 s preflow, the significant presence of carbon clusters at the AlN/sapphire interface was observed. While still attracting oxygen and preventing the N-polarity, the carbon clusters served as randomly distributed masks to further induce a 3D growth mode for the AlN growth. The corresponding epitaxial growth mode change is discussed.

  15. Influence of TMAl preflow on AlN epitaxy on sapphire

    KAUST Repository

    Sun, Haiding

    2017-05-12

    The trimethylaluminum (TMAl) preflow process has been widely applied on sapphire substrates prior to growing Al-polar AlN films by metalorganic chemical vapor deposition. However, it has been unclear how the TMAl preflow process really works. In this letter, we reported on carbon\\'s significance in the polarity and growth mode of AlN films due to the TMAl preflow. Without the preflow, no trace of carbon was found at the AlN/sapphire interface and the films possessed mixed Al- and N-polarity. With the 5 s preflow, carbon started to precipitate due to the decomposition of TMAl, forming scattered carbon-rich clusters which were graphitic carbon. It was discovered that the carbon attracted surrounding oxygen impurity atoms and consequently suppressed the formation of AlxOyNz and thus N-polarity. With the 40 s preflow, the significant presence of carbon clusters at the AlN/sapphire interface was observed. While still attracting oxygen and preventing the N-polarity, the carbon clusters served as randomly distributed masks to further induce a 3D growth mode for the AlN growth. The corresponding epitaxial growth mode change is discussed.

  16. Electrochemical formation of AlN in molten LiCl-KCl-Li{sub 3}N systems

    Energy Technology Data Exchange (ETDEWEB)

    Goto, Takuya [Department of Fundamental Energy Science, Graduate School of Energy Science, Kyoto University, Sakyo, Kyoto 606-8501 (Japan)]. E-mail: goto@energy.kyoto-u.ac.jp; Iwaki, Takayuki [Department of Fundamental Energy Science, Graduate School of Energy Science, Kyoto University, Sakyo, Kyoto 606-8501 (Japan); Ito, Yasuhiko [Department of Fundamental Energy Science, Graduate School of Energy Science, Kyoto University, Sakyo, Kyoto 606-8501 (Japan)

    2005-01-30

    Electrochemical formation of aluminum nitride was investigated in molten LiCl-KCl-Li{sub 3}N systems at 723 K. When Al was anodically polarized at 1.0 V (versus Li{sup +}/Li), oxidation of nitride ions proceeded to form adsorbed nitrogen atoms, which reacted with the surface to form AlN film. The obtained nitrided film had a thickness of sub-micron order. The obtained nitrided layer consisted of two regions; the outer layer involving AlN and aluminum oxynitride and the inner layer involving metallic Al and AlN. When Al electrode was anodically polarized at 2.0 V, anodic dissolution of Al electrode occurred to give aluminum ions, which reacted with nitride ions in the melt to produce AlN particles (1-5 {mu}m of diameter) of wurtzite structure.

  17. The characteristics and residual stress of aluminum nitride films grown by two-stage sputtering of mid-frequency power

    International Nuclear Information System (INIS)

    Lin, T.-C.; Cheng, H.-E.; Tang, S.-H.; Liu, W.-C.; Lee, Antony H.C.

    2008-01-01

    The [0 0 2] oriented aluminum nitride has a high surface acoustic wave speed and high mechanic-electron couple coefficient. It is a potential material for manufacturing piezoelectric devices in high frequency application. The AlN films deposited onto silicon substrates were fabricated by two-stage sputtering process with mid-frequency generator. The results showed that the film did not have well [0 0 2] preferred orientation at 1.0 and 1.5 kW, and exhibited a [0 0 2] preferred orientation at 2.0 kW. The adhesion was poor when the film had a high preferred orientation because the substrate was damaged by high energetic atoms bombardment. A two-stage growth method was investigated in order to get high [0 0 2] preferred orientation and good adhesion. A good performance was obtained at the first stage power of 1.5 kW and the second stage power of 2.0 kW. The film showed a tensile stress state when the film was deposited at 1.0 kW. In contrast, the stress state was changed to compressive when the films were grown at 2.0 kW. The two-stage growth could succeed not only to get a high [0 0 2] preferred orientation but also to develop a reducing global stress film

  18. Single layer porous gold films grown at different temperatures

    International Nuclear Information System (INIS)

    Zhang Renyun; Hummelgard, Magnus; Olin, Hakan

    2010-01-01

    Large area porous gold films can be used in several areas including electrochemical electrodes, as an essential component in sensors, or as a conducting material in electronics. Here, we report on evaporation induced crystal growth of large area porous gold films at 20, 40 and 60 deg. C. The gold films were grown on liquid surface at 20 deg. C, while the films were grown on the wall of beakers when temperature increased to 40 and 60 deg. C. The porous gold films consisted of a dense network of gold nanowires as characterized by TEM and SEM. TEM diffraction results indicated that higher temperature formed larger crystallites of gold wires. An in situ TEM imaging of the coalescence of gold nanoparticles mimicked the process of the growth of these porous films, and a plotting of the coalescence time and the neck radius showed a diffusion process. The densities of these gold films were also characterized by transmittance, and the results showed film grown at 20 deg. C had the highest density, while the film grown at 60 deg. C had the lowest consistent with SEM and TEM characterization. Electrical measurements of these gold films showed that the most conductive films were the ones grown at 40 deg. C. The conductivities of the gold films were related to the amount of contamination, density and the diameter of the gold nanowires in the films. In addition, a gold film/gold nanoparticle hybrid was made, which showed a 10% decrease in transmittance during hybridization, pointing to applications as chemical and biological sensors.

  19. Effect of AlN growth temperature on trap densities of in-situ metal-organic chemical vapor deposition grown AlN/AlGaN/GaN metal-insulator-semiconductor heterostructure field-effect transistors

    Directory of Open Access Journals (Sweden)

    Joseph J. Freedsman

    2012-06-01

    Full Text Available The trapping properties of in-situ metal-organic chemical vapor deposition (MOCVD grown AlN/AlGaN/GaN metal-insulator-semiconductor heterostructure field-effect transistors (MIS-HFETs with AlN layers grown at 600 and 700 °C has been quantitatively analyzed by frequency dependent parallel conductance technique. Both the devices exhibited two kinds of traps densities, due to AlN (DT-AlN and AlGaN layers (DT-AlGaN respectively. The MIS-HFET grown at 600 °C showed a minimum DT-AlN and DT-AlGaN of 1.1 x 1011 and 1.2 x 1010 cm-2eV-1 at energy levels (ET -0.47 and -0.36 eV. Further, the gate-lag measurements on these devices revealed less degradation ∼ ≤ 5% in drain current density (Ids-max. Meanwhile, MIS-HFET grown at 700 °C had more degradation in Ids-max ∼26 %, due to high DT-AlN and DT-AlGaN of 3.4 x 1012 and 5 x 1011 cm-2eV-1 positioned around similar ET. The results shows MIS-HFET grown at 600 °C had better device characteristics with trap densities one order of magnitude lower than MIS-HFET grown at 700 °C.

  20. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  1. Effect of the growth temperature and the AlN mole fraction on In incorporation and properties of quaternary III-nitride layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Fernandez-Garrido, S.; Pereiro, J.; Munoz, E.; Calleja, E.; Redondo-Cubero, A.; Gago, R.; Bertram, F.; Christen, J.; Luna, E.; Trampert, A.

    2008-01-01

    Indium incorporation into wurtzite (0001)-oriented In x Al y Ga 1-x-y N layers grown by plasma-assisted molecular beam epitaxy was studied as a function of the growth temperature (565-635 deg. C) and the AlN mole fraction (0.01< y<0.27). The layer stoichiometry was determined by Rutherford backscattering spectrometry (RBS). RBS shows that indium incorporation decreased continuously with increasing growth temperature due to thermally enhanced dissociation of In-N bonds and for increasing AlN mole fractions. High resolution x-ray diffraction and transmission electron microscopy (TEM) measurements did not show evidence of phase separation. The mosaicity of the quaternary layers was found to be mainly determined by the growth temperature and independent on alloy composition within the range studied. However, depending on the AlN mole fraction, nanometer-sized composition fluctuations were detected by TEM. Photoluminescence spectra showed a single broad emission at room temperature, with energy and bandwidth S- and W-shaped temperature dependences typical of exciton localization by alloy inhomogeneities. Cathodoluminescence measurements demonstrated that the alloy inhomogeneities, responsible of exciton localization, occur on a lateral length scale below 150 nm, which is corroborated by TEM

  2. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  3. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  4. Roles of kinetics and energetics in the growth of AlN by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Im, I. H.; Minegishi, T.; Hanada, T.; Lee, S. W.; Cho, M. W.; Yao, T.; Oh, D. C.; Chang, J. H.

    2006-01-01

    The roles of kinetics and energetics in the growth processes of AlN on c-sapphire by plasma assisted molecular beam epitaxy are investigated by varying the growth rate from 1 to 31 A/min and the substrate temperature from 800 to 1000 .deg. C. The energetics is found to govern the growth of AlN in the low-growth rate region even at a low substrate temperature of 800 .deg. C owing to the enhanced residence time of adatoms, thereby increasing the surface migration length. As the growth rate increases, the growth tends to be governed by kinetics because of a reduction in the residence time of adatoms. Consequently, the surface roughness and crystal quality are greatly improved for the low-growth-rate case. In addition, the lattice strain relaxation is completed from the beginning of epitaxy for energetics-limiting growth while lattice strain relaxation is retarded for kinetics-limiting growth because of pre-existing partial strain relaxation. Energetics becomes more favorable as the substrate temperature is raised because of an increase in the surface diffusion length owing to an enhanced diffusion coefficient. Consequently high-crystal-quality AlN layers are grown under the energetics-limiting growth condition with a screw dislocation density of 7.4 x 10 8 cm -2 even for a thin 42-nm thick film.

  5. Quantitative Evaluation of Strain in Epitaxial 2H AlN Layers

    International Nuclear Information System (INIS)

    Nader, N.; Pezoldt, J.

    2011-01-01

    To improve the quality of AlN layer deposit on SiC/Si, different Ge amounts (0.25, 0.5, 1, 2ML) were deposited before the carbonization process at the silicon substrate in order to reduce the lattice parameters mismatch between Si and SiC grown layers. The residual stress of the hexagonal AlN layers derives from the phonon frequency shifts of the E1(TO) phonon mode. The crystalline quality of the AlN layer is correlated to and investigated by the full width of the half maximum (FWHM) and the intensity of E1(TO) mode of the 2H-AlN. Best crystalline quality and lower stress value are found in the case where 1ML of Ge amount is predeposited. The E1(TO) mode phonon frequency shifts-down by 3 cm-1/GPa with respect to an unstrained layer. (author)

  6. Room-temperature heteroepitaxy of single-phase Al1−xInxN films with full composition range on isostructural wurtzite templates

    International Nuclear Information System (INIS)

    Hsiao, Ching-Lien; Palisaitis, Justinas; Junaid, Muhammad; Persson, Per O.Å.; Jensen, Jens; Zhao, Qing-Xiang; Hultman, Lars; Chen, Li-Chyong; Chen, Kuei-Hsien; Birch, Jens

    2012-01-01

    Al 1−x In x N heteroepitaxial layers covering the full composition range have been realized by magnetron sputter epitaxy on basal-plane AlN, GaN, and ZnO templates at room temperature (RT). Both Al 1−x In x N single layers and multilayers grown on these isostructural templates show single phase, single crystal wurtzite structure. Even at large lattice mismatch between the film and the template, for instance InN/AlN (∼ 13% mismatch), heteroepitaxy is achieved. However, RT-grown Al 1−x In x N films directly deposited on non-isostructural c-plane sapphire substrate exhibit a polycrystalline structure for all compositions, suggesting that substrate surface structure is important for guiding the initial nucleation. Degradation of Al 1−x In x N structural quality with increasing indium content is attributed to the formation of more point- and structural defects. The defects result in a prominent hydrostatic tensile stress component, in addition to the biaxial stress component introduced by lattice mismatch, in all RT-grown Al 1−x In x N films. These effects are reflected in the measured in-plane and out-of-plane strains. The effect of hydrostatic stress is negligible compared to the effects of lattice mismatch in high-temperature grown AlN layers thanks to their low amount of defects. We found that Vegard’s rule is applicable to determine x in the RT-grown Al 1−x In x N epilayers if the lattice constants of RT-sputtered AlN and InN films are used instead of those of the strain-free bulk materials. - Highlights: ► Magnetron sputter epitaxy of single-phase Al 1−x In x N(0001) at room temperature ► Growing Al 1−x In x N onto temperature sensitive substrates is desirable. ► Substrate surface structure plays a vital role at nucleation stage. ► Point and extended defects produce hydrostatic tensile stress. ► The applicability of Vegard's rule for these compounds is confirmed.

  7. Thermoelectric properties of ZnSb films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Venkatasubramanian, R; Watko, E; Colpitts, T

    1997-07-01

    The thermoelectric properties of ZnSb films grown by metallorganic chemical vapor deposition (MOCVD) are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the thicker ZnSb films offer improved carrier mobilities and lower free-carrier concentration levels. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 C. The thicker films, due to the lower doping levels, indicate higher Seebeck coefficients between 25 to 200 C. A short annealing of the ZnSb film at temperatures of {approximately}200 C results in reduced free-carrier level. Thermal conductivity measurements of ZnSb films using the 3-{omega} method are also presented.

  8. CVD of SiC and AlN using cyclic organometallic precursors

    Science.gov (United States)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  9. Occurrence and elimination of in-plane misoriented crystals in AlN epilayers on sapphire via pre-treatment control

    International Nuclear Information System (INIS)

    Wang Hu; Xiong Hui; Wu Zhi-Hao; Yu Chen-Hui; Tian Yu; Dai Jiang-Nan; Fang Yan-Yan; Zhang Jian-Bao; Chen Chang-Qing

    2014-01-01

    AlN epilayers are grown directly on sapphire (0001) substrates each of which has a low temperature AlN nucleation layer. The effects of pretreatments of sapphire substrates, including exposures to NH 3 /H 2 and to H 2 only ambients at different temperatures, before the growth of AlN epilayers is investigated. In-plane misoriented crystals occur in N-polar AlN epilayers each with pretreatment in a H 2 only ambient, and are characterized by six 60°-apart peaks with splits in each peak in (101-bar 2) phi scan and two sets of hexagonal diffraction patterns taken along the [0001] zone axis in electron diffraction. These misoriented crystals can be eliminated in AlN epilayers by the pretreatment of sapphire substrates in the NH 3 /H 2 ambient. AlN epilayers by the pretreatment of sapphire substrates in the NH 3 /H 2 ambient are Al-polar. Our results show the pretreatments and the nucleation layers are responsible for the polarities of the AlN epilayers. We ascribe these results to the different strain relaxation mechanisms induced by the lattice mismatch of AlN and sapphire. (interdisciplinary physics and related areas of science and technology)

  10. The Variations of Thermal Contact Resistance and Heat Transfer Rate of the AlN Film Compositing with PCM

    Directory of Open Access Journals (Sweden)

    Huann-Ming Chou

    2015-01-01

    Full Text Available The electrical industries have been fast developing over the past decades. Moreover, the trend of microelements and packed division multiplex is obviously for the electrical industry. Hence, the high heat dissipative and the electrical insulating device have been popular and necessary. The thermal conduct coefficient of aluminum nitride (i.e., AlN is many times larger than the other materials. Moreover, the green technology of composite with phase change materials (i.e., PCMs is worked as a constant temperature cooler. Therefore, PCMs have been used frequently for saving energy and the green environment. Based on the above statements, it does show great potential in heat dissipative for the AlN film compositing with PCM. Therefore, this paper is focused on the research of thermal contact resistance and heat transfer between the AlN/PCM pairs. According to the experimental results, the heat transfer decreases and the thermal contact resistance increases under the melting process of PCM. However, the suitable parameters such as contact pressures can be used to improve the above defects.

  11. Aluminum Gallium Nitride Alloys Grown via Metalorganic Vapor-Phase Epitaxy Using a Digital Growth Technique

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-04-01

    This work investigates the use of a digital growth technique as a viable method for achieving high-quality aluminum gallium nitride (Al x Ga1- x N) films via metalorganic vapor-phase epitaxy. Digital alloys are superlattice structures with period thicknesses of a few monolayers. Alloys with an AlN mole fraction ranging from 0.1 to 0.9 were grown by adjusting the thickness of the AlN layer in the superlattice. High-resolution x-ray diffraction was used to determine the superlattice period and c-lattice parameter of the structure, while reciprocal-space mapping was used to determine the a-lattice parameter and evaluate growth coherency. A comparison of the measured lattice parameter with both the nominal value and also the underlying buffer layer is discussed.

  12. Rutile TiO2 thin films grown by reactive high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Agnarsson, B.; Magnus, F.; Tryggvason, T.K.; Ingason, A.S.; Leosson, K.; Olafsson, S.; Gudmundsson, J.T.

    2013-01-01

    Thin TiO 2 films were grown on Si(001) substrates by reactive dc magnetron sputtering (dcMS) and high power impulse magnetron sputtering (HiPIMS) at temperatures ranging from 300 to 700 °C. Optical and structural properties of films were compared both before and after post-annealing using scanning electron microscopy, low angle X-ray reflection (XRR), grazing incidence X-ray diffractometry and spectroscopic ellipsometry. Both dcMS- and HiPIMS-grown films reveal polycrystalline rutile TiO 2 , even prior to post-annealing. The HiPIMS-grown films exhibit significantly larger grains compared to that of dcMC-grown films, approaching 100% of the film thickness for films grown at 700 °C. In addition, the XRR surface roughness of HiPIMS-grown films was significantly lower than that of dcMS-grown films over the whole temperature range 300–700 °C. Dispersion curves could only be obtained for the HiPIMS-grown films, which were shown to have a refractive index in the range of 2.7–2.85 at 500 nm. The results show that thin, rutile TiO 2 films, with high refractive index, can be obtained by HiPIMS at relatively low growth temperatures, without post-annealing. Furthermore, these films are smoother and show better optical characteristics than their dcMS-grown counterparts. - Highlights: • We demonstrate growth of rutile TiO 2 on Si (111) by high power impulse magnetron sputtering. • The films exhibit significantly larger grains than dc magnetron sputtered films • TiO 2 films with high refractive index are obtained without post-growth annealing

  13. Electrical and materials properties of AlN/ HfO{sub 2} high-k stack with a metal gate

    Energy Technology Data Exchange (ETDEWEB)

    Reid, Kimberly G. [Tokyo Electron U.S., 14338 FM 1826, Austin, TX 78737 (United States)], E-mail: kim@ireid.com; Dip, Anthony [Tokyo Electron U.S., 2400 Grove Blvd., Austin, TX 78747 (United States)], E-mail: anthony.dip@us.tel.com; Sasaki, Sadao [Tokyo Electron U.S. (United States)], E-mail: Sadao.sasaki@us.tel.com; Triyoso, Dina [Freescale Semiconductor Inc., 3501 Ed Bluestein Blvd, Austin, TX 78721 (United States)], E-mail: Dina.Triyoso@freescale.com; Samavedam, Sri [Freescale Semiconductor Inc., 3501 Ed Bluestein Blvd, Austin, TX 78721 (United States)], E-mail: Sri.Samavedam@freescale.com; Gilmer, David [SEMATECH 2706 Montopolis Drive, Austin, TX 78741 (United States)], E-mail: David.Gilmer@sematech.org; Gondran, Carolyn F.H. [Process Characterization Laboratory, ATDF/SEMATECH, 2706 Montopolis Drive, Austin, Texas 78741 (United States)], E-mail: Carolyn.Gondran@atdf.com

    2009-02-27

    In this study, aluminum nitride (AlN) was grown by molecular layer deposition on HfO{sub 2} that had been deposited on 200 mm Si (100) substrates. The AlN was grown on HfO{sub 2} using sequential exposures of trimethyl-aluminum and ammonia (NH{sub 3}) in a batch vertical furnace. Excellent thickness uniformity on test wafers from the top of the furnace to the bottom of the furnace (across the furnace load) was obtained. The equivalent oxide thickness was 16.5-18.8 A for the AlN/HfO{sub 2} stack on patterned device wafers with a molybdenum oxynitride metal gate with leakage current densities from low 10{sup -5} to mid 10{sup -6} A/cm{sup 2} at threshold voltage minus one volt. There was no change in the work function with the AlN cap on HfO{sub 2} with the MoN metal gate, even with a 1000 deg. C anneal.

  14. SAP-like ultrafine-grained Al composites dispersion strengthened with nanometric AlN

    International Nuclear Information System (INIS)

    Balog, M.; Krizik, P.; Yan, M.; Simancik, F.; Schaffer, G.B.; Qian, M.

    2013-01-01

    This paper reports the development of novel Sinter-Aluminum-Pulver (SAP)-like Al–AlN nanocomposites via replacing the native Al 2 O 3 thin films on fine Al powder with a large volume fraction of in situ formed nanometric AlN dispersoids. Fine gas-atomized Al powder (d 50 =1.3 µm) compacts were first partially nitrided at 590 °C in flowing nitrogen, controlled by a small addition of Sn (0.3–0.4 wt%), and subsequently consolidated by hot direct extrusion. The resulting Al–AlN composites consisted of submicrometric Al grains reinforced with nanometric AlN dispersoids together with some nanometric Al 2 O 3 dispersoids. An Al–13 vol% AlN nanocomposite fabricated this way achieved exceptional ultimate tensile strength of 227 MPa, yield strength of 195 MPa and Young's modulus of 66 GPa at 300 °C, superior to typical SAP materials and coarse grained Al–AlN composites. In addition, the Al–13 vol% AlN nanocomposite exhibited good thermal stability up to 500 °C. The strengthening mechanism is discussed

  15. Frequency response improvement of a two-port surface acoustic wave device based on epitaxial AlN thin film

    Science.gov (United States)

    Gao, Junning; Hao, Zhibiao; Luo, Yi; Li, Guoqiang

    2018-01-01

    This paper presents an exploration on improving the frequency response of the symmetrical two-port AlN surface acoustic wave (SAW) device, using epitaxial AlN thin film on (0001) sapphire as the piezoelectric substrate. The devices were fabricated by lift-off processes with Ti/Al composite electrodes as interleaved digital transducers (IDT). The impact of DL and the number of the IDT finger pairs on the frequency response was carefully investigated. The overall properties of the device are found to be greatly improved with DL elongation, indicated by the reduced pass band ripple and increased stop band rejection ratio. The rejection increases by 8.3 dB when DL elongates from 15.5λ to 55.5λ and 4.4 dB further accompanying another 50λ elongation. This is because larger DL repels the stray acoustic energy out of the propagation path and provides a cleaner traveling channel for functional SAW, and at the same time restrains electromagnetic feedthrough. It is also found that proper addition of the IDT finger pairs is beneficial for the device response, indicated by the ripple reduction and the insertion loss drop.

  16. Thermal stability of amorphous carbon films grown by pulsed laser deposition

    Science.gov (United States)

    Friedmann, T. A.; McCarty, K. F.; Barbour, J. C.; Siegal, M. P.; Dibble, Dean C.

    1996-03-01

    The thermal stability in vacuum of amorphous tetrahedrally coordinated carbon (a-tC) films grown on Si has been assessed by in situ Raman spectroscopy. Films were grown in vacuum on room-temperature substrates using laser fluences of 12, 22, and 45 J/cm2 and in a background gas of either hydrogen or nitrogen using a laser fluence of 45 J/cm2. The films grown in vacuum at high fluence (≳20J/cm2) show little change in the a-tC Raman spectra with temperature up to 800 °C. Above this temperature the films convert to glassy carbon (nanocrystalline graphite). Samples grown in vacuum at lower fluence or in a background gas (H2 or N2) at high fluence are not nearly as stable. For all samples, the Raman signal from the Si substrate (observed through the a-tC film) decreases in intensity with annealing temperature indicating that the transparency of the a-tC films is decreasing with temperature. These changes in transparency begin at much lower temperatures (˜200 °C) than the changes in the a-tC Raman band shape and indicate that subtle changes are occurring in the a-tC films at lower temperatures.

  17. Electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pagni, O. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Somhlahlo, N.N. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Weichsel, C. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)]. E-mail: andrew.leitch@nmmu.ac.za

    2006-04-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies.

  18. Electrical properties of ZnO thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Pagni, O.; Somhlahlo, N.N.; Weichsel, C.; Leitch, A.W.R.

    2006-01-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies

  19. Methods of optimization of reactive sputtering conditions of Al target during AlN films deposition

    Directory of Open Access Journals (Sweden)

    Chodun Rafal

    2015-12-01

    Full Text Available Encouraged by recent studies and considering the well-documented problems occurring during AlN synthesis, we have chosen two diagnostic methods which would enable us to fully control the process of synthesis and characterize the synthesized aluminum nitride films. In our experiment we have compared the results coming from OES measurements of plasma and circulating power characteristics of the power supply with basic features of the deposited layers. The dual magnetron system operating in AC mode was used in our studies. Processes of aluminum target sputtering were carried out in an atmosphere of a mixture of argon and nitrogen. The plasma emission spectra were measured with the use of a monochromator device. Analyses were made by comparing the positions and intensities of spectral lines of the plasma components. The results obtained allowed us to characterize the sputtering process under various conditions of gas mixture compositions as well as power distribution more precisely, which is reported in this work. The measured spectra were related to the deposition rate, the structure morphology of the films and chemical composition. Our work proved that the use of plasma OES and circulating power measurements make possible to control the process of sputtering and synthesis of deposited films in situ.

  20. Atomically flat platinum films grown on synthetic mica

    Science.gov (United States)

    Tanaka, Hiroyuki; Taniguchi, Masateru

    2018-04-01

    Atomically flat platinum thin films were heteroepitaxially grown on synthetic fluorophlogopite mica [KMg3(AlSi3O10)F2] by van der Waals epitaxy. Platinum films deposited on a fluorophlogopite mica substrate by inductively coupled plasma-assisted sputtering with oxygen introduction on a synthetic mica substrate resulted in the growth of twin single-crystalline epitaxial Pt(111) films.

  1. Effect of sapphire substrate nitridation on the elimination of rotation domains in ZnO epitaxial films

    International Nuclear Information System (INIS)

    Ying Minju; Du Xiaolong; Mei Zengxia; Zeng Zhaoquan; Zheng Hao; Wang Yong; Jia Jinfeng; Zhang Ze; Xue Qikun

    2004-01-01

    The rotation domain structures in ZnO films grown on sapphire substrates under different pre-treatment conditions have been investigated by in situ reflection high-energy electron diffraction and ex situ x-ray diffraction (XRD). It was found that by appropriate nitridation treatment, forming a thin AlN film on the substrate, the rotation domains in ZnO films could be completely suppressed, and a full width at half maximum of only 180 arcsec was observed in the (0 0 0 2) reflection of XRD rocking curves. The mechanisms for the elimination of rotation domains in the ZnO films are discussed

  2. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  3. Investigation of ZnTe thin films grown by Pulsed Laser Deposition method

    International Nuclear Information System (INIS)

    Kotlyarchuk, B.; Savchuk, V.

    2007-01-01

    This paper is devoted to optimization of the Pulsed Laser Deposition (PLD) growth condition of ZnTe films on various substrates and subsequent investigation of relevant parameters of growth process, structural, optical and electrical properties of grown films. Studies of the effect of growth parameters on the structural quality and properties of grown films were carried out. X-ray diffraction measurements showed that the ZnTe films, which have been deposited at optimal substrate temperatures, were characterized by a (111) preferred orientation with large average grain size. The optical transmission and reflectance in the energy range 1.5-5.5 eV for films grown at various substrate temperatures were measured. We calculated the variation in the absorption coefficient with the photon energy from the transmittance spectrum for samples grown at various substrate temperatures. Obtained data were analyzed and the value of the absorption coefficient, for allowed direct transitions, has been determined as a function of photon energy. We found that the undoped ZnTe films, which were grown by the PLD method, are typically p-type and possess resistivity in the range of 10 3 Ωcm at room temperature. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. The role of Si as surfactant and donor in molecular-beam epitaxy of AlN

    International Nuclear Information System (INIS)

    Lebedev, V.; Morales, F.M.; Romanus, H.; Krischok, S.; Ecke, G.; Cimalla, V.; Himmerlich, M.; Stauden, T.; Cengher, D.; Ambacher, O.

    2005-01-01

    The growth of Si-doped AlN(0001) thin films on Al 2 O 3 (0001) substrates by plasma-induced molecular-beam epitaxy is reported. We have found that Si positively affects the epitaxy being an effective surfactant for AlN growth with a remarkable impact on the crystal quality. It was proven that the characteristic surface reconstruction sequences frequently related to the Al adatoms are obviously Si induced on AlN(0001) surfaces. It was also observed that heavy doping conditions result in volume segregation of Si on the threading dislocation network and in the formation of an amorphous (AlO)(SiO)N cap layer caused by surface oxidation of the accumulated Al and segregated Si. The electron affinity was measured to be smaller than 0.5 eV on the clean AlN surface after removing of the cap layer using Ar + sputtering

  5. Cd doping of AlN via ion implantation studied with perturbed angular correlation

    CERN Document Server

    Kessler, Patrick; Miranda, Sérgio MC; Simon, R; Correia, João Guilherme; Johnston, Karl; Vianden, Reiner

    2012-01-01

    AlN with a wide bandgap of 6.2 eV is a promising candidate for ultraviolet light-emitting diodes and laser diodes. However, the production of the required p-type AlN is still challenging. As a possible dopant Cd was suggested among other Group II atoms (Be, Mg, and Zn). In this study the annealing condition of implanted Cd in AlN was investigated with the method of the perturbed angular correlation (PAC). Therefore radioactive $^{117}$Cd or $^{111m}$Cd ions were implanted into thin AlN films on sapphire substrate with an energy of 30 keV and fluences in the range of 10$^{11}$ ions/cm$^{2}$. After thorough annealing with a proximity cap of the same material most of the Cd-probes occupy substitutional lattice sites and almost all implantation damage can be annealed. This results in a distinct frequency in the PAC spectra which increases with temperature. In contrast to the formation of an indium nitrogen-vacancy complex observed with the probe $^{111}$In on substitutional Al-sites no defects are bound to substi...

  6. Texture of the nano-crystalline AlN thin films and the growth conditions in DC magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Shakil Khan

    2015-08-01

    Full Text Available DC reactive magnetron sputtering technique has been used for the preparation of AlN thin films. The deposition temperature and the flow ratio of N2/Ar were varied and subsequent dependency of the films crystallites orientation/texture has been addressed. In general, deposited films were found hexagonal polycrystalline with a (002 preferred orientation. The X-ray diffraction (XRD data revealed that the film crystallinity improves, with the increase of substrate temperature from 300 °C to 500 °C. The dropped in full width half maximum (FWHM of the XRD rocking curve value further confirmed it. However, increasing substrate temperature above 500 °C or reducing the nitrogen condition (from 60 to 30% in the environment induced the growth of crystallites with (102 and (103 orientations. The rise of rocking curve FWHM for the corresponding conditions depicted that the films texture quality deteriorated. A further confirmation of the variation in film texture/orentation with the growth conditions has been obtained from the variation in FWHM values of a dominant E1 (TO mode in the Fourier transform infrared (FTIR spectra and the E2 (high mode in Raman spectra. We have correlated the columnar structure in AFM surface analyses with the (002 or c-axis orientation as well. Spectroscopic ellipsometry of the samples have shown a higher refractive index at 500 °C growth temperature.

  7. Characterization of a smartphone size haptic rendering system based on thin-film AlN actuators on glass substrates

    Science.gov (United States)

    Bernard, F.; Casset, F.; Danel, J. S.; Chappaz, C.; Basrour, S.

    2016-08-01

    This paper presents for the first time the characterization of a smartphone-size haptic rendering system based on the friction modulation effect. According to previous work and finite element modeling, the homogeneous flexural modes are needed to get the haptic feedback effect. The device studied consists of a thin film AlN transducers deposited on an 110  ×  65 mm2 glass substrate. The transducer’s localization on the glass plate allows a transparent central area of 90  ×  49 mm2. Electrical and mechanical parameters of the system are extracted from measurement. From this extraction, the electrical impedance matching reduced the applied voltage to 17.5 V AC and the power consumption to 1.53 W at the resonance frequency of the vibrating system to reach the haptic rendering specification. Transient characterizations of the actuation highlight a delay under the dynamic tactile detection. The characterization of the AlN transducers used as sensors, including the noise rejection, the delay or the output charge amplitude allows detections with high accuracy of any variation due to external influences. Those specifications are the first step to a low-power-consumption feedback-looped system.

  8. Characterization of a smartphone size haptic rendering system based on thin-film AlN actuators on glass substrates

    International Nuclear Information System (INIS)

    Bernard, F; Basrour, S; Casset, F; Danel, J S; Chappaz, C

    2016-01-01

    This paper presents for the first time the characterization of a smartphone-size haptic rendering system based on the friction modulation effect. According to previous work and finite element modeling, the homogeneous flexural modes are needed to get the haptic feedback effect. The device studied consists of a thin film AlN transducers deposited on an 110  ×  65 mm 2 glass substrate. The transducer’s localization on the glass plate allows a transparent central area of 90  ×  49 mm 2 . Electrical and mechanical parameters of the system are extracted from measurement. From this extraction, the electrical impedance matching reduced the applied voltage to 17.5 V AC and the power consumption to 1.53 W at the resonance frequency of the vibrating system to reach the haptic rendering specification. Transient characterizations of the actuation highlight a delay under the dynamic tactile detection. The characterization of the AlN transducers used as sensors, including the noise rejection, the delay or the output charge amplitude allows detections with high accuracy of any variation due to external influences. Those specifications are the first step to a low-power-consumption feedback-looped system. (paper)

  9. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  10. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  11. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  12. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  13. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  14. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    Science.gov (United States)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  15. Oblique-angle sputtered AlN nanocolumnar layer as a buffer layer in GaN-based LED

    International Nuclear Information System (INIS)

    Chen, Lung-Chien; Tien, Ching-Ho; Liao, Wei-Chian; Luo, Yi-Min

    2011-01-01

    This work presents an aluminum nitride (AlN) nanocolumnar layer sputtered at various oblique angles and its application as a buffer layer for GaN-based light-emitting diodes (LEDs) that are fabricated on sapphire substrates. The OA-AlN nanocolumnar layer has a diameter of about 30-60 nm. The GaN-based LED structure is perpendicularly extended from the OA-AlN nanocolumnar layer. Then, the nanocolumnar structure is merged into p-GaN layer to form a mesa structure with a diameter of about 200-600 nm on the surface of the GaN-based LED. Moreover, optical characteristics of the LED were studied using photoluminescence, along with the blue-shifts observed as well. - Research highlights: → An AlN nanocolumnar buffer layer prepared by oblique-angle (OA) deposition. → GaN-based LED structures were grown on a sapphire substrate with an AlN nanocolumnar buffer layer. → The OA-AlN nanocolumnar layer has a diameter of about 30-60 nm.

  16. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hayashi, S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Ho, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Matney, K [Bede Scientific Inc., Englewood, CO 80112 (United States); Sandhu, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Goorsky, M [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2005-05-21

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure.

  17. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    International Nuclear Information System (INIS)

    Poust, B; Heying, B; Hayashi, S; Ho, R; Matney, K; Sandhu, R; Wojtowicz, M; Goorsky, M

    2005-01-01

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure

  18. Aluminum nitride and nanodiamond thin film microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Knoebber, Fabian; Bludau, Oliver; Roehlig, Claus-Christian; Williams, Oliver; Sah, Ram Ekwal; Kirste, Lutz; Cimalla, Volker; Lebedev, Vadim; Nebel, Christoph; Ambacher, Oliver [Fraunhofer-Institute for Applied Solid State Physics, Freiburg (Germany)

    2010-07-01

    In this work, aluminum nitride (AlN) and nanocrystalline diamond (NCD) thin film microstructures have been developed. Freestanding NCD membranes were coated with a piezoelectrical AlN layer in order to build tunable micro-lens arrays. For the evaluation of the single material quality, AlN and NCD thin films on silicon substrates were fabricated using RF magnetron sputtering and microwave chemical vapor deposition techniques, respectively. The crystal quality of AlN was investigated by X-ray diffraction. The piezoelectric constant d{sub 33} was determined by scanning laser vibrometry. The NCD thin films were optimized with respect to surface roughness, mechanical stability, intrinsic stress and transparency. To determine the mechanical properties of the materials, both, micromechanical resonator and membrane structures were fabricated and measured by magnetomotive resonant frequency spectroscopy and bulging experiments, respectively. Finally, the behavior of AlN/NCD heterostructures was modeled using the finite element method and the first structures were characterized by piezoelectrical measurements.

  19. Field-induced surface passivation of p-type silicon by using AlON films

    Energy Technology Data Exchange (ETDEWEB)

    Ghosh, S.N.; Parm, I.O.; Dhungel, S.K.; Jang, K.S.; Jeong, S.W.; Yoo, J.; Hwang, S.H.; Yi, J. [School of Information and Communication Engineering, Sungkyunkwan University, 300 Chunchun dong, Jangan-gu, Suwon-440746 (Korea)

    2008-02-15

    In the present work, we report on the evidence for a high negative charge density in aluminum oxynitride (AlON) coating on silicon. A comparative study was carried out on the composition and electrical properties of AlON and aluminum nitride (AlN). AlON films were deposited on p-type Si (1 0 0) substrate by RF magnetron sputtering using a mixture of argon and oxygen gases at substrate temperature of 300 C. The electrical properties of the AlON, AlN films were studied through capacitance-voltage (C-V) characteristics of metal-insulator-semiconductor (MIS) using the films as insulating layers. The flatband voltage shift V{sub FB} observed for AlON is around 4.5 V, which is high as compared to the AlN thin film. Heat treatment caused the V{sub FB} reduction to 3 V, but still the negative charge density was observed to be very high. In the AlN film, no fixed negative charge was observed at all. The XRD spectrum of AlON shows the major peaks of AlON (2 2 0) and AlN (0 0 2), located at 2{theta} value of 32.96 and 37.8 , respectively. The atomic percentage of Al, N in AlN film was found to be 42.5% and 57.5%, respectively. Atomic percentages of Al, N and O in EDS of AlON film are 20.21%, 27.31% and 52.48%, respectively. (author)

  20. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M. Agrawal

    2017-01-01

    Full Text Available The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V∼1and GaN is grown under N-rich growth regime (III/V<1. The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1 and metal rich growth regime (III/V≥1, respectively. AlGaN/GaN high electron mobility transistor (HEMT heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm−2.

  1. Nanocrystalline magnetite thin films grown by dual ion-beam sputtering

    International Nuclear Information System (INIS)

    Prieto, Pilar; Ruiz, Patricia; Ferrer, Isabel J.; Figuera, Juan de la; Marco, José F.

    2015-01-01

    Highlights: • We have grown tensile and compressive strained nanocrystalline magnetite thin films by dual ion beam sputtering. • The magnetic and thermoelectric properties can be controlled by the deposition conditions. • The magnetic anisotropy depends on the crystalline grain size. • The thermoelectric properties depend on the type of strain induced in the films. • In plane uniaxial magnetic anisotropy develops in magnetite thin films with grain sizes ⩽20 nm. - Abstract: We have explored the influence of an ion-assisted beam in the thermoelectric and magnetic properties of nanocrystalline magnetite thin films grown by ion-beam sputtering. The microstructure has been investigated by XRD. Tensile and compressive strained thin films have been obtained as a function of the parameters of the ion-assisted beam. The evolution of the in-plane magnetic anisotropy was attributed to crystalline grain size. In some films, magneto-optical Kerr effect measurements reveal the existence of uniaxial magnetic anisotropy induced by the deposition process related with a small grain size (⩽20 nm). Isotropic magnetic properties have observed in nanocrystalline magnetite thin film having larger grain sizes. The largest power factor of all the films prepared (0.47 μW/K 2 cm), obtained from a Seebeck coefficient of −80 μV/K and an electrical resistivity of 13 mΩ cm, is obtained in a nanocrystalline magnetite thin film with an expanded out-of-plane lattice and with a grain size ≈30 nm

  2. First-principles molecular dynamics investigation of thermal and mechanical stability of the TiN(001)/AlN and ZrN(001)/AlN heterostructures

    International Nuclear Information System (INIS)

    Ivashchenko, V.I.; Veprek, S.; Turchi, P.E.A.; Shevchenko, V.I.; Leszczynski, J.; Gorb, L.; Hill, F.

    2014-01-01

    First-principles quantum molecular dynamics investigations of TiN(001)/AlN and ZrN(001)/AlN heterostructures with one and two monolayers (1 ML and 2 ML) of AlN interfacial layers were carried out in the temperature range of 0–1400 K with subsequent static relaxation. It is shown that the epitaxially stabilized cubic B1-AlN interfacial layers are preserved in all TiN(001)/AlN heterostructures over the whole temperature range. In the ZrN(001)/AlN heterostructures, the B1-AlN(001) interfacial layer exists at 0 K, but it transforms into a distorted one at 10 K consisting of tetrahedral AlN 4 , octahedral AlN 6 , and AlN 5 units. The thermal stability of the interfaces was investigated by studying the phonon dynamic stability of the B1-AlN phase with different lattice parameters. The calculations showed that the B1-AlN interface should be unstable in ZrN(001)/AlN heterostructures and nanocomposites, and in those based on transition metal nitrides with lattice parameters larger than 4.4 Å. Electronic band structure calculations showed that energy gap forms around the Fermi energy for all interfaces. The formation of the interfacial AlN layer in TiN and ZrN crystals reduces their ideal tensile and shear strengths. Upon tensile load, decohesion occurs between Ti (Zr) and N atoms adjacent to the 1 ML AlN interfacial layer, whereas in the case of 2 ML AlN it occurs inside the TiN and ZrN slabs. The experimentally reported strength enhancement in the TiN/AlN and ZrN/AlN heterostructures is attributed to impeding effect of the interfacial layer on the plastic flow. - Highlights: • First-principles quantum molecular dynamics studies were conducted. • TiN- and ZrN-based heterostructures with one and two AlN interfacial layers. • Stability and structural transformation between 0 and 1400 K have been calculated. • Stress–strain relationships and ideal strengths determined. • Systems which may form stable superhard heterostructures are identified

  3. Morphology and photoresponse of crystalline antimony film grown on mica by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    Shafa Muhammad

    2016-09-01

    Full Text Available Antimony is a promising material for the fabrication of photodetectors. This study deals with the growth of a photosensitive thin film by the physical vapor deposition (PVD of antimony onto mica surface in a furnace tube. The geometry of the grown structures was studied via scanning electron microscopy (SEM, X-ray diffraction (XRD, energy-dispersive X-ray spectroscopy (EDX and elemental diffraction analysis. XRD peaks of the antimony film grown on mica mostly matched with JCPDF Card. The formation of rhombohedral crystal structures in the film was further confirmed by SEM micrographs and chemical composition analysis. The Hall measurements revealed good electrical conductivity of the film with bulk carrier concentration of the order of 1022 Ω·cm-3 and mobility of 9.034 cm2/Vs. The grown film was successfully tested for radiation detection. The photoresponse of the film was evaluated using its current-voltage characteristics. These investigations revealed that the photosensitivity of the antimony film was 20 times higher than that of crystalline germanium.

  4. Low temperature aluminum nitride thin films for sensory applications

    Energy Technology Data Exchange (ETDEWEB)

    Yarar, E.; Zamponi, C.; Piorra, A.; Quandt, E., E-mail: eq@tf.uni-kiel.de [Institute for Materials Science, Chair for Inorganic Functional Materials, Kiel University, D-24143 Kiel (Germany); Hrkac, V.; Kienle, L. [Institute for Materials Science, Chair for Synthesis and Real Structure, Kiel University, D-24143 Kiel (Germany)

    2016-07-15

    A low-temperature sputter deposition process for the synthesis of aluminum nitride (AlN) thin films that is attractive for applications with a limited temperature budget is presented. Influence of the reactive gas concentration, plasma treatment of the nucleation surface and film thickness on the microstructural, piezoelectric and dielectric properties of AlN is investigated. An improved crystal quality with respect to the increased film thickness was observed; where full width at half maximum (FWHM) of the AlN films decreased from 2.88 ± 0.16° down to 1.25 ± 0.07° and the effective longitudinal piezoelectric coefficient (d{sub 33,f}) increased from 2.30 ± 0.32 pm/V up to 5.57 ± 0.34 pm/V for film thicknesses in the range of 30 nm to 2 μm. Dielectric loss angle (tan δ) decreased from 0.626% ± 0.005% to 0.025% ± 0.011% for the same thickness range. The average relative permittivity (ε{sub r}) was calculated as 10.4 ± 0.05. An almost constant transversal piezoelectric coefficient (|e{sub 31,f}|) of 1.39 ± 0.01 C/m{sup 2} was measured for samples in the range of 0.5 μm to 2 μm. Transmission electron microscopy (TEM) investigations performed on thin (100 nm) and thick (1.6 μm) films revealed an (002) oriented AlN nucleation and growth starting directly from the AlN-Pt interface independent of the film thickness and exhibit comparable quality with the state-of-the-art AlN thin films sputtered at much higher substrate temperatures.

  5. Size-effect on stress behavior of the AlN/TiN film

    International Nuclear Information System (INIS)

    Chen, D.; Wang, Y.M.; Ma, X.L.

    2009-01-01

    The stress behavior of AlN/TiN superlattice film has been studied by means of a crystal-chemical atomic dynamics simulation based on first-principles calculations. The size-effects on stress behavior are demonstrated and discussed in detail. Stress behavior depends not only on AlN thickness but also on structural relaxation and strain distribution in the film. When the AlN thickness exceeds a critical one, the superlattice film is metastable. Stress behavior can be traced to the AlN/TiN interface structure and its variation with strain relaxation, which may reflect the main strain characteristics caused by AlN structural transformation in this film.

  6. Electrical characteristics of AlO sub x N sub y prepared by oxidation of sub-10-nm-thick AlN films for MOS gate dielectric applications

    CERN Document Server

    Jeon, S H; Kim, H S; Noh, D Y; Hwang, H S

    2000-01-01

    In this research, the feasibility of ultrathin AlO sub x N sub y prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO sub x N sub y , respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO sub 2. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO sub 2. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.

  7. Electrical characteristics of AlO{sub x}N{sub y} prepared by oxidation of sub-10-nm-thick AlN films for MOS gate dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Sang Hun; Jang, Hyeon Woo; Kim, Hyun Soo; Noh, Do Young; Hwang, Hyun Sang [Kwangju Institute of Science and Technology, Kwangju (Korea, Republic of)

    2000-12-01

    In this research, the feasibility of ultrathin AlO{sub x}N{sub y} prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO{sub x}N{sub y}, respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO{sub 2}. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO{sub 2}. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.

  8. Cu-doped AlN: A possible spinaligner at room-temperature grown by molecular beam epitaxy?

    Science.gov (United States)

    Ganz, P. R.; Schaadt, D. M.

    2011-12-01

    Cu-doped AlN was prepared by plasma assisted molecular beam epitaxy on C-plane sapphire substrates. The growth conditions were investigated for different Cu to Al flux ratios from 1.0% to 4.0%. The formation of Cu-Al alloys on the surface was observed for all doping level. In contrast to Cu-doped GaN, all samples showed diamagnetic behavior determined by SQUID measurements.

  9. Growth of group III nitride films by pulsed electron beam deposition

    International Nuclear Information System (INIS)

    Ohta, J.; Sakurada, K.; Shih, F.-Y.; Kobayashi, A.; Fujioka, H.

    2009-01-01

    We have grown group III nitride films on Al 2 O 3 (0 0 0 1), 6H-SiC (0 0 0 1), and ZnO (0001-bar) substrates by pulsed electron beam deposition (PED) for the first time and investigated their characteristics. We found that c-plane AlN and GaN grow epitaxially on these substrates. It has been revealed that the growth of GaN on atomically flat 6H-SiC substrates starts with the three-dimensional mode and eventually changes into the two-dimensional mode. The GaN films exhibited strong near-band-edge emission in their room temperature photoluminescence spectra. We also found that the use of PED allows us to reduce the epitaxial growth temperature for GaN down to 200 deg. C. - Graphical abstract: We have grown group III nitride films by pulsed electron beam deposition (PED) and found that the films of group III nitrides grow epitaxially on 6H-SiC and Al 2 O 3 substrates. We also found that the use of PED allows us to reduce the epitaxial growth temperature for GaN down to 200 deg. C.

  10. Ti{sub 2}Al(O,N) formation by solid-state reaction between substoichiometric TiN thin films and Al{sub 2}O{sub 3} (0001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Persson, P.O.A., E-mail: perpe@ifm.liu.se; Hoeglund, C.; Birch, J.; Hultman, L.

    2011-02-01

    Titanium nitride TiN{sub x} (0.1 {<=} x {<=} 1) thin films were deposited onto Al{sub 2}O{sub 3}(0001) substrates using reactive magnetron sputtering at substrate temperatures (T{sub s}) ranging from 800 to 1000 {sup o}C and N{sub 2} partial pressures (pN{sub 2}) between 13.3 and 133 mPa. It is found that Al and O from the substrates diffuse into the substoichiometric TiN{sub x} films during deposition. Solid-state reactions between the film and substrate result in the formation of Ti{sub 2}O and Ti{sub 3}Al domains at low N{sub 2} partial pressures, while for increasing pN{sub 2}, the Ti{sub 2}AlN MAX phase nucleates and grows together with TiN{sub x}. Depositions at increasingly stoichiometric conditions result in a decreasing incorporation of substrate species into the growing film. Eventually, a stoichiometric deposition gives a stable TiN(111) || Al{sub 2}O{sub 3}(0001) structure without the incorporation of substrate species. Growth at T{sub s} 1000 {sup o}C yields Ti{sub 2}AlN(0001), leading to a reduced incorporation of substrate species compared to films grown at 900 {sup o}C, which contain also Ti{sub 2}AlN(101-bar3) grains. Finally, the Ti{sub 2}AlN domains incorporate O, likely on the N site, such that a MAX phase oxynitride Ti{sub 2}Al(O,N) is formed. The results were obtained by a combination of structural methods, including X-ray diffraction and (scanning) transmission electron microscopy, together with spectroscopy methods, which comprise elastic recoil detection analysis, energy dispersive X-ray spectroscopy, and electron energy loss spectroscopy.

  11. Piezoelectric actuated micro-resonators based on the growth of diamond on aluminum nitride thin films

    International Nuclear Information System (INIS)

    Hees, J; Heidrich, N; Pletschen, W; Sah, R E; Wolfer, M; Lebedev, V; Nebel, C E; Ambacher, O; Williams, O A

    2013-01-01

    Unimorph heterostructures based on piezoelectric aluminum nitride (AlN) and diamond thin films are highly desirable for applications in micro- and nanoelectromechanical systems. In this paper, we present a new approach to combine thin conductive boron-doped as well as insulating nanocrystalline diamond (NCD) with sputtered AlN films without the need for any buffer layers between AlN and NCD or polishing steps. The zeta potentials of differently treated nanodiamond (ND) particles in aqueous colloids are adjusted to the zeta potential of AlN in water. Thereby, the nucleation density for the initial growth of diamond on AlN can be varied from very low (10 8 cm −2 ), in the case of hydrogen-treated ND seeding particles, to very high values of 10 11 cm −2 for oxidized ND particles. Our approach yielding high nucleation densities allows the growth of very thin NCD films on AlN with thicknesses as low as 40 nm for applications such as microelectromechanical beam resonators. Fabricated piezo-actuated micro-resonators exhibit enhanced mechanical properties due to the incorporation of boron-doped NCD films. Highly boron-doped NCD thin films which replace the metal top electrode offer Young’s moduli of more than 1000 GPa. (paper)

  12. Impact of AlN seeding layer growth rate in MOVPE growth of semi-polar gallium nitride structures on high index silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Noltemeyer, Martin; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Postfach 4120, 39016 Magdeburg (Germany)

    2011-03-15

    We present metal organic vapor phase epitaxy growth of semi-polar GaN structures on high index silicon surfaces. The crystallographic structure of GaN grown on Si(112), (115), and (117) substrates is investigated by X-ray analysis and scanning electron microscopy. X-ray diffraction was performed in Bragg Brentano geometry as well as pole figure measurements. The results demonstrate that the orientation of GaN crystallites on Si is significantly dependent on thickness of the AlN seeding layer and TMAl-flow rate. We observe that the crystallographic structures of GaN by applying thin AlN seeding layers grown with high TMAl-flow rate depend on Si surface direction while they are independent for thicker layers. By applying such seeding layer we obtain single crystalline semi-polar GaN on Si(112), while GaN structures grown with the same growth parameters on Si(117) show four components of GaN(0002). (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Photosensitivity of nanocrystalline ZnO films grown by PLD

    International Nuclear Information System (INIS)

    Ayouchi, R.; Bentes, L.; Casteleiro, C.; Conde, O.; Marques, C.P.; Alves, E.; Moutinho, A.M.C.; Marques, H.P.; Teodoro, O.; Schwarz, R.

    2009-01-01

    We have studied the properties of ZnO thin films grown by laser ablation of ZnO targets on (0 0 0 1) sapphire (Al 2 O 3 ), under substrate temperatures around 400 deg. C. The films were characterized by different methods including X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and atomic force microscopy (AFM). XPS analysis revealed that the films are oxygen deficient, and XRD analysis with θ-2θ scans and rocking curves indicate that the ZnO thin films are highly c-axis oriented. All the films are ultraviolet (UV) sensitive. Sensitivity is maximum for the films deposited at lower temperature. The films deposited at higher temperatures show crystallite sizes of typically 500 nm, a high dark current and minimum photoresponse. In all films we observe persistent photoconductivity decay. More densely packed crystallites and a faster decay in photocurrent is observed for films deposited at lower temperature

  14. Ti, Al and N adatom adsorption and diffusion on rocksalt cubic AlN (001) and (011) surfaces: Ab initio calculations

    Science.gov (United States)

    Mastail, C.; David, M.; Nita, F.; Michel, A.; Abadias, G.

    2017-11-01

    We use ab initio calculations to determine the preferred nucleation sites and migration pathways of Ti, Al and N adatoms on cubic NaCl-structure (B1) AlN surfaces, primary inputs towards a further thin film growth modelling of the TiAlN alloy system. The potential energy landscape is mapped out for both metallic species and nitrogen adatoms for two different AlN surface orientations, (001) and (110), using density functional theory. For all species, the adsorption energies on AlN(011) surface are larger than on AlN(001) surface. Ti and Al adatom adsorption energy landscapes determined at 0 K by ab initio show similar features, with stable binding sites being located in, or near, epitaxial surface positions, with Ti showing a stronger binding compared to Al. In direct contrast, N adatoms (Nad) adsorb preferentially close to N surface atoms (Nsurf), thus forming strong N2-molecule-like bonds on both AlN(001) and (011). Similar to N2 desorption mechanisms reported for other cubic transition metal nitride surfaces, in the present work we investigate Nad/Nsurf desorption on AlN(011) using a drag calculation method. We show that this process leaves a Nsurf vacancy accompanied with a spontaneous surface reconstruction, highlighting faceting formation during growth.

  15. Effects of GaN/AlGaN/Sputtered AlN nucleation layers on performance of GaN-based ultraviolet light-emitting diodes

    Science.gov (United States)

    Hu, Hongpo; Zhou, Shengjun; Liu, Xingtong; Gao, Yilin; Gui, Chengqun; Liu, Sheng

    2017-03-01

    We report on the demonstration of GaN-based ultraviolet light-emitting diodes (UV LEDs) emitting at 375 nm grown on patterned sapphire substrate (PSS) with in-situ low temperature GaN/AlGaN nucleation layers (NLs) and ex-situ sputtered AlN NL. The threading dislocation (TD) densities in GaN-based UV LEDs with GaN/AlGaN/sputtered AlN NLs were determined by high-resolution X-ray diffraction (XRD) and cross-sectional transmission electron microscopy (TEM), which revealed that the TD density in UV LED with AlGaN NL was the highest, whereas that in UV LED with sputtered AlN NL was the lowest. The light output power (LOP) of UV LED with AlGaN NL was 18.2% higher than that of UV LED with GaN NL owing to a decrease in the absorption of 375 nm UV light in the AlGaN NL with a larger bandgap. Using a sputtered AlN NL instead of the AlGaN NL, the LOP of UV LED was further enhanced by 11.3%, which is attributed to reduced TD density in InGaN/AlInGaN active region. In the sputtered AlN thickness range of 10-25 nm, the LOP of UV LED with 15-nm-thick sputtered AlN NL was the highest, revealing that optimum thickness of the sputtered AlN NL is around 15 nm.

  16. Fabrication and structural properties of AlN submicron periodic lateral polar structures and waveguides for UV-C applications

    Energy Technology Data Exchange (ETDEWEB)

    Alden, D. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Guo, W.; Kaess, F.; Bryan, I.; Reddy, P.; Hernandez-Balderrama, Luis H.; Franke, A.; Collazo, R.; Sitar, Z. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Kirste, R.; Mita, S. [Adroit Materials, Inc., 2054 Kildaire Farm Rd., Suite 205, Cary, North Carolina 27518 (United States); Troha, T.; Zgonik, M. [Faculty of Mathematics and Physics, University of Ljubljana, Jadranska 19, 1000 Ljubljana (Slovenia); Bagal, A.; Chang, C.-H. [Department of Mechanical and Aerospace Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Hoffmann, A. [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstr. 36, 10623 Berlin (Germany)

    2016-06-27

    Periodically poled AlN thin films with submicron domain widths were fabricated for nonlinear applications in the UV-VIS region. A procedure utilizing metalorganic chemical vapor deposition growth of AlN in combination with laser interference lithography was developed for making a nanoscale lateral polarity structure (LPS) with domain size down to 600 nm. The Al-polar and N-polar domains were identified by wet etching the periodic LPS in a potassium hydroxide solution and subsequent scanning electron microscopy (SEM) characterization. Fully coalesced and well-defined vertical interfaces between the adjacent domains were established by cross-sectional SEM. AlN LPSs were mechanically polished and surface roughness with a root mean square value of ∼10 nm over a 90 μm × 90 μm area was achieved. 3.8 μm wide and 650 nm thick AlN LPS waveguides were fabricated. The achieved domain sizes, surface roughness, and waveguides are suitable for second harmonic generation in the UVC spectrum.

  17. Influence of aluminum nitride interlayers on crystal orientation and piezoelectric property of aluminum nitride thin films prepared on titanium electrodes

    International Nuclear Information System (INIS)

    Kamohara, Toshihiro; Akiyama, Morito; Ueno, Naohiro; Nonaka, Kazuhiro; Kuwano, Noriyuki

    2007-01-01

    Highly c-axis-oriented aluminum nitride (AlN) thin films have been prepared on titanium (Ti) bottom electrodes by using AlN interlayers. The AlN interlayers were deposited between Ti electrodes and silicon (Si) substrates, such as AlN/Ti/AlN/Si. The crystallinity and crystal orientation of the AlN films and Ti electrodes strongly depended on the thickness of the AlN interlayers. Although the sputtering conditions were the same, the X-ray diffraction intensity of AlN (0002) and Ti (0002) planes drastically increased, and the full-width at half-maximum (FWHM) of the X-ray rocking curves decreased from 5.1 o to 2.6 o and from 3.3 o to 2.0 o , respectively. Furthermore, the piezoelectric constant d 33 of the AlN films was significantly improved from - 0.2 to - 4.5 pC/N

  18. High quality TmIG films with perpendicular magnetic anisotropy grown by sputtering

    Science.gov (United States)

    Wu, C. N.; Tseng, C. C.; Yeh, S. L.; Lin, K. Y.; Cheng, C. K.; Fanchiang, Y. T.; Hong, M.; Kwo, J.

    Ferrimagnetic thulium iron garnet (TmIG) films grown on gadolinium gallium garnet substrates recently showed stress-induced perpendicular magnetic anisotropy (PMA), attractive for realization of quantum anomalous Hall effect (QAHE) of topological insulator (TI) films via the proximity effect. Moreover, current induced magnetization switching of Pt/TmIG has been demonstrated for the development of room temperature (RT) spintronic devices. In this work, high quality TmIG films (about 25nm) were grown by sputtering at RT followed by post-annealing. We showed that the film composition is tunable by varying the growth parameters. The XRD results showed excellent crystallinity of stoichiometric TmIG films with an out-of-plane lattice constant of 1.2322nm, a narrow film rocking curve of 0.017 degree, and a film roughness of 0.2 nm. The stoichiometric films exhibited PMA and the saturation magnetization at RT was 109 emu/cm3 (RT bulk value 110 emu/cm3) with a coercive field of 2.7 Oe. In contrast, TmIG films of Fe deficiency showed in-plane magnetic anisotropy. The high quality sputtered TmIG films will be applied to heterostructures with TIs or metals with strong spin-orbit coupling for novel spintronics.

  19. Yttria and ceria doped zirconia thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saporiti, F.; Juarez, R. E., E-mail: cididi@fi.uba.ar [Grupo de Materiales Avanzados, Facultad de Ingenieria, Universidad de Buenos Aires (Argentina); Audebert, F. [Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET) (Argentina); Boudard, M. [Laboratoire des Materiaux et du Genie Physique (CNRS), Grenoble (France)

    2013-11-01

    The Yttria stabilized Zirconia (YSZ) is a standard electrolyte for solid oxide fuel cells (SOFCs), which are potential candidates for next generation portable and mobile power sources. YSZ electrolyte thin films having a cubic single phase allow reducing the SOFC operating temperature without diminishing the electrochemical power density. Films of 8 mol% Yttria stabilized Zirconia (8YSZ) and films with addition of 4 weight% Ceria (8YSZ + 4CeO{sub 2}) were grown by pulsed laser deposition (PLD) technique using 8YSZ and 8YSZ + 4CeO{sub 2} targets and a Nd-YAG laser (355 nm). Films have been deposited on Soda-Calcia-Silica glass and Si(100) substrates at room temperature. The morphology and structural characteristics of the samples have been studied by means of X-ray diffraction and scanning electron microscopy. Films of a cubic-YSZ single phase with thickness in the range of 1-3 Micro-Sign m were grown on different substrates (author)

  20. First-principles molecular dynamics investigation of thermal and mechanical stability of the TiN(001)/AlN and ZrN(001)/AlN heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Ivashchenko, V.I., E-mail: ivash@ipms.kiev.ua [Institute of Problems of Material Science, National Academy of Science of Ukraine, Krzhyzhanosky str. 3, 03142 Kyiv (Ukraine); Veprek, S., E-mail: stan.veprek@lrz.tum.de [Department of Chemistry, Technical University Munich, Lichtenbergstrasse 4, D-85747 Garching (Germany); Turchi, P.E.A. [Lawrence Livermore National Laboratory (L-352), P.O. Box 808, Livermore, CA 94551 (United States); Shevchenko, V.I. [Institute of Problems of Material Science, National Academy of Science of Ukraine, Krzhyzhanosky str. 3, 03142 Kyiv (Ukraine); Leszczynski, J. [Department of Chemistry and Biochemistry, Interdisciplinary Center for Nanotoxicity, Jackson State University, Jackson, MS 39217 (United States); Gorb, L. [Department of Chemistry and Biochemistry, Interdisciplinary Center for Nanotoxicity, Jackson State University, Jackson, MS 39217 (United States); U.S. Army ERDC, Vicksburg, MS 39180 (United States); Hill, F. [U.S. Army ERDC, Vicksburg, MS 39180 (United States)

    2014-08-01

    First-principles quantum molecular dynamics investigations of TiN(001)/AlN and ZrN(001)/AlN heterostructures with one and two monolayers (1 ML and 2 ML) of AlN interfacial layers were carried out in the temperature range of 0–1400 K with subsequent static relaxation. It is shown that the epitaxially stabilized cubic B1-AlN interfacial layers are preserved in all TiN(001)/AlN heterostructures over the whole temperature range. In the ZrN(001)/AlN heterostructures, the B1-AlN(001) interfacial layer exists at 0 K, but it transforms into a distorted one at 10 K consisting of tetrahedral AlN{sub 4}, octahedral AlN{sub 6}, and AlN{sub 5} units. The thermal stability of the interfaces was investigated by studying the phonon dynamic stability of the B1-AlN phase with different lattice parameters. The calculations showed that the B1-AlN interface should be unstable in ZrN(001)/AlN heterostructures and nanocomposites, and in those based on transition metal nitrides with lattice parameters larger than 4.4 Å. Electronic band structure calculations showed that energy gap forms around the Fermi energy for all interfaces. The formation of the interfacial AlN layer in TiN and ZrN crystals reduces their ideal tensile and shear strengths. Upon tensile load, decohesion occurs between Ti (Zr) and N atoms adjacent to the 1 ML AlN interfacial layer, whereas in the case of 2 ML AlN it occurs inside the TiN and ZrN slabs. The experimentally reported strength enhancement in the TiN/AlN and ZrN/AlN heterostructures is attributed to impeding effect of the interfacial layer on the plastic flow. - Highlights: • First-principles quantum molecular dynamics studies were conducted. • TiN- and ZrN-based heterostructures with one and two AlN interfacial layers. • Stability and structural transformation between 0 and 1400 K have been calculated. • Stress–strain relationships and ideal strengths determined. • Systems which may form stable superhard heterostructures are identified.

  1. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    Energy Technology Data Exchange (ETDEWEB)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che; Hassan, Haslan Abu; Abdullah, Mat Johar [Nano-Optoelectronics Research and Technology Laboratory School of Physics, Universiti Sains Malaysia, 11800 Penang, Malaysia and Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia); Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia)

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign of cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.

  2. Local electrical properties of thermally grown oxide films formed on duplex stainless steel surfaces

    Science.gov (United States)

    Guo, L. Q.; Yang, B. J.; He, J. Y.; Qiao, L. J.

    2018-06-01

    The local electrical properties of thermally grown oxide films formed on ferrite and austenite surfaces of duplex stainless steel at different temperatures were investigated by Current sensing atomic force microscopy, X-ray Photoelectron Spectroscopy (XPS) and Auger Electron Spectroscopy (AES). The current maps and XPS/AES analyses show that the oxide films covering austenite and ferrite surfaces formed at different temperatures exhibit different local electrical characteristics, thickness and composition. The dependence of electrical conductivity of oxide films covering austenite and ferrite surface on the formation temperature is attributed to the film thickness and semiconducting structures, which is intrinsically related to thermodynamics and kinetics process of film grown at different temperature. This is well elucidated by corresponding semiconductor band structures of oxide films formed on austenite and ferrite phases at different temperature.

  3. Alkaline-doped manganese perovskite thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Bibes, M.; Gorbenko, O.; Martinez, B.; Kaul, A.; Fontcuberta, J.

    2000-01-01

    We report on the preparation and characterization of La 1-x Na x MnO 3 thin films grown by MOCVD on various single-crystalline substrates. Under appropriate conditions epitaxial thin films have been obtained. The Curie temperatures of the films, which are very similar to those of bulk samples of similar composition, reflect the residual strain caused by the substrate. The anisotropic magnetoresistance AMR of the films has been analyzed in some detail, and it has been found that it has a two-fold symmetry at any temperature. Its temperature dependence mimics that of the electrical resistivity and magnetoresistance measured at similar fields, thus suggesting that the real structure of the material contributes to the measured AMR besides the intrinsic component

  4. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  5. Structure and magnetism of ultrathin Co and Fe films epitaxially grown on Pd/Cu(0 0 1)

    International Nuclear Information System (INIS)

    Lu, Y.F.; Przybylski, M.; Yan, L.; Barthel, J.; Meyerheim, H.L.; Kirschner, J.

    2005-01-01

    A contribution originating from the Co/Pd and Fe/Pd interfaces to the magneto-optical Kerr effect (MOKE) rotation is analyzed for Co and/or Fe films grown on a Pd-buffer-monolayer on Cu(0 0 1). A clear increase of the MOKE signal in comparison to the Co(Fe) films grown directly on Cu(0 0 1) is detected. An interpretation is supported by similar observations for Co films grown on Pd(1 1 0) and Pd(0 0 1). In particular, the sign reversal of the Kerr loops with increasing thickness of the Co(Fe) films is discussed. Magneto-optical effects are separated from the real magnetization and its dependence on the film thickness

  6. Evaluation of resonating Si cantilevers sputter-deposited with AlN piezoelectric thin films for mass sensing applications

    Science.gov (United States)

    Sökmen, Ü.; Stranz, A.; Waag, A.; Ababneh, A.; Seidel, H.; Schmid, U.; Peiner, E.

    2010-06-01

    We report on a micro-machined resonator for mass sensing applications which is based on a silicon cantilever excited with a sputter-deposited piezoelectric aluminium nitride (AlN) thin film actuator. An inductively coupled plasma (ICP) cryogenic dry etching process was applied for the micro-machining of the silicon substrate. A shift in resonance frequency was observed, which was proportional to a mass deposited in an e-beam evaporation process on top. We had a mass sensing limit of 5.2 ng. The measurements from the cantilevers of the two arrays revealed a quality factor of 155-298 and a mass sensitivity of 120.34 ng Hz-1 for the first array, and a quality factor of 130-137 and a mass sensitivity of 104.38 ng Hz-1 for the second array. Furthermore, we managed to fabricate silicon cantilevers, which can be improved for the detection in the picogram range due to a reduction of the geometrical dimensions.

  7. Enhanced c-axis orientation of aluminum nitride thin films by plasma-based pre-conditioning of sapphire substrates for SAW applications

    Science.gov (United States)

    Gillinger, M.; Shaposhnikov, K.; Knobloch, T.; Stöger-Pollach, M.; Artner, W.; Hradil, K.; Schneider, M.; Kaltenbacher, M.; Schmid, U.

    2018-03-01

    Aluminum nitride (AlN) on sapphire has been investigated with two different pretreatments prior to sputter deposition of the AlN layer to improve the orientation and homogeneity of the thin film. An inverse sputter etching of the substrate in argon atmosphere results in an improvement of the uniformity of the alignment of the AlN grains and hence, in enhanced electro-mechanical AlN film properties. This effect is demonstrated in the raw measurements of SAW test devices. Additionally, the impulse response of several devices shows that a poor AlN thin film layer quality leads to a higher signal damping during the transduction of energy in the inter-digital transducers. As a result, the triple-transit signal cannot be detected at the receiver.

  8. Ferromagnetic properties of Mn-doped AlN

    International Nuclear Information System (INIS)

    Li, H.; Bao, H.Q.; Song, B.; Wang, W.J.; Chen, X.L.; He, L.J.; Yuan, W.X.

    2008-01-01

    Mn-doped AlN polycrystalline powders with a wurtzite structure were synthesized by solid-state reactions. A red-orange band at 600 nm, due to Mn 3+ incorporated into the AlN lattice, is observed in the photoluminescence (PL) spectrum at room temperature (RT). Magnetic measurements show the samples possess hysteresis loops up to 300 K, indicating that the obtained powders are ferromagnetic at around RT. The Mn concentration-induced RT ferromagnetism is less than 1 at%. Our results confirm that the RT ferromagnetism can be realized in Mn-doped AlN

  9. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  10. Electronic structures of the F-terminated AlN nanoribbons

    Indian Academy of Sciences (India)

    Using the first-principles calculations, electronic properties for the F-terminated AlN nanoribbons with both zigzag and armchair edges are studied. The results show that both the zigzag and armchair AlN nanoribbons are semiconducting and nonmagnetic, and the indirect band gap of the zigzag AlN nanoribbons and the ...

  11. Thermoelectric properties of ZnSb films grown by MOCVD

    International Nuclear Information System (INIS)

    Venkatasubramanian, R.; Watko, E.; Colpitts, T.

    1997-04-01

    The thermoelectric properties of metallorganic chemical vapor deposited (MOCVD) ZnSb films are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the growth of thicker ZnSb films lead to improved carrier mobilities and lower free-carrier concentrations. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 to 170 C, with peak Seebeck coefficients as high as 470 microV/K at 220 C. The various growth conditions, including the use of intentional dopants, to improve the Seebeck coefficients at room temperature and above, are discussed. A short annealing of the ZnSb films at temperatures of ∼ 200 C resulted in reduced free-carrier levels and higher Seebeck coefficients at 300 K. Finally, ZT values based on preliminary thermal conductivity measurements using the 3-ω method are reported

  12. Crystalline thin films of transition metal hexacyanochromates grown under Langmuir monolayer

    International Nuclear Information System (INIS)

    Bagkar, Nitin; Choudhury, Sipra; Kim, Kyung-Hee; Chowdhury, Prasanta; Lee, Sung-Ik; Yakhmi, J.V.

    2006-01-01

    Crystalline films of cobalt, nickel and iron hexacyanochromates (analogues of Prussian blue) were grown at air-water interface using a surfactant monolayer as a template. These films were transferred on suitable substrates and characterized by X-ray diffraction (XRD), cyclic voltammetry and magnetization measurements. XRD patterns confirmed the formation of oriented crystals in {100} direction for all these films. Magnetization data on nickel and iron hexacyanochromate films indicated ferromagnetic behaviour below Curie temperatures of 72 and 21 K, respectively. The methodology adopted by us to grow crystalline films is useful in obtaining magnetic thin films of analogues of Prussian blue with interesting magnetic properties with respect to transition temperatures and nature of magnetic ordering

  13. Photoemission electronic states of epitaxially grown magnetite films

    International Nuclear Information System (INIS)

    Zalecki, R.; Kolodziejczyk, A.; Korecki, J.; Spiridis, N.; Zajac, M.; Kozlowski, A.; Kakol, Z.; Antolak, D.

    2007-01-01

    The valence band photoemission spectra of epitaxially grown 300 A single crystalline magnetite films were measured by the angle-resolved ultraviolet photoemission spectroscopy (ARUPS) at 300 K. The samples were grown either on MgO(0 0 1) (B termination) or on (0 0 1) Fe (iron-rich A termination), thus intentionally presenting different surface stoichiometry, i.e. also different surface electronic states. Four main features of the electron photoemission at about -1.0, -3.0, -5.5 and -10.0 eV below a chemical potential show systematic differences for two terminations; this difference depends on the electron outgoing angle. Our studies confirm sensitivity of angle resolved PES technique on subtleties of surface states

  14. Structural and optical properties of ZnO films grown on silicon and ...

    Indian Academy of Sciences (India)

    TECS

    Abstract. Photoluminescence (PL) properties of undoped ZnO thin films grown by rf magnetron sputtering on silicon .... voluted O1 s and (c) typical Zr 3d spectra of ZrO2/ZnO/Si film. .... strate doping concentration (NB) of ≈ 2⋅5 × 1015 cm–3 is.

  15. Lateral polarity control of III-nitride thin film and application in GaN Schottky barrier diode

    Science.gov (United States)

    Li, Junmei; Guo, Wei; Sheikhi, Moheb; Li, Hongwei; Bo, Baoxue; Ye, Jichun

    2018-05-01

    N-polar and III-polar GaN and AlN epitaxial thin films grown side by side on single sapphire substrate was reported. Surface morphology, wet etching susceptibility and bi-axial strain conditions were investigated and the polarity control scheme was utilized in the fabrication of Schottky barrier diode where ohmic contact and Schottky contact were deposited on N-polar domains and Ga-polar domains, respectively. The influence of N-polarity on on-state resistivity and I–V characteristic was discussed, demonstrating that lateral polarity structure of GaN and AlN can be widely used in new designs of optoelectronic and electronic devices. Project partially supported by the National Key Research and Development Program of China (No. 2016YFB0400802), the National Natural Science Foundation of China (No. 61704176), and the Open project of Zhejiang Key Laboratory for Advanced Microelectronic Intelligent Systems and Applications (No. ZJUAMIS1704).

  16. Ellipsometric study of GaN/AIN/Si(111) heterostructures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nabi, M. A. U.; Ashfaq, A.; Arshad, M. I.; Ali, A.; Mahmood, K.; Hasan, M. A.; Asghar, M.

    2013-01-01

    GaN and related structures attracted a great interest in the recent years for electronic and optoelectronic applications due to their promising properties. GaN is grown popularly on foreign substrates like sapphire and SiC. However, silicon due to its favourable properties attended the great attention of material scientists and researchers to utilize as substrate for heteroepitaxy of GaN based structures and devices. Silicon substrates are low cost, available in large diameters and have well characterized thermal and electrical properties. In this study, GaN/AlN/Si(111) heterostructures were grown by molecular beam epitaxy. We performed x-ray diffraction spectroscopy and spectroscopic ellipsometry on these samples to study their structural and optical properties. XRD measurements performed on these samples revealed the presence of high quality GaN films as well as the presence of AlN buffer layer with the following miller indices: GaN (002), GaN (004), GaN (006) and GaN (110) along with Si peak of phase (111). The ellipsometric data obtained were used to characterize the GaN/Si samples as a function of film thickness. Refractive index, extinction coefficient and dielectric constant were calculated by the measured data. (author)

  17. ALnS2:RE (A=K, Rb; Ln=La, Gd, Lu, Y): New optical materials family

    International Nuclear Information System (INIS)

    Jarý, V.; Havlák, L.; Bárta, J.; Mihóková, E.; Buryi, M.; Nikl, M.

    2016-01-01

    In the presented review paper, new potentially interesting material family, RE-doped ternary sulfides ALnS 2 (RE=Ce, Pr, Sm, Eu, Tb, Tm; A=Rb, K; Ln=La, Gd, Lu, Y) is discussed. Their synthesis is described and the structural and optical properties, characterized by methods of X-ray diffraction, time-resolved luminescence spectroscopy and electron paramagnetic resonance, are summarized and reviewed especially with respect to the influence of their composition. All samples discussed were synthesized in the form of transparent crystalline hexagonal platelets by chemical reaction under the flow of hydrogen sulfide. Their luminescence characteristics, including absorption, radioluminescence, photoluminescence excitation and emission spectra and decay kinetics, were measured and evaluated in a broad temperature (8–800 K) and concentration (0.002–20% of dopants) range. The application potential of mentioned compounds in the field of white LED solid state lightings or X-ray phosphors is thoroughly discussed. - Highlights: • RE-doped ALnS 2 (A=K, Rb; Ln=La, Gd, Lu, Y) were synthesized. • Their optical characteristics are summarized. • Concentration and temperature dependences of luminescence features investigated. • EPR technique is employed to explain Eu 2+ incorporation into KLuS 2 host. • The application potential in white LED and X-ray phosphors is discussed.

  18. Compatibility of AlN ceramics with molten lithium

    Energy Technology Data Exchange (ETDEWEB)

    Yoneoka, Toshiaki; Sakurai, Toshiharu; Sato, Toshihiko; Tanaka, Satoru [Tokyo Univ., Department of Quantum Engineering and Systems Science, Tokyo (Japan)

    2002-04-01

    AlN ceramics were a candidate for electrically insulating materials and facing materials against molten breeder in a nuclear fusion reactor. In the nuclear fusion reactor, interactions of various structural materials with solid and liquid breeder materials as well as coolant materials are important. Therefore, corrosion tests of AlN ceramics with molten lithium were performed. AlN specimens of six kinds, different in sintering additives and manufacturing method, were used. AlN specimens were immersed into molten lithium at 823 K. Duration for the compatibility tests was about 2.8 Ms (32 days). Specimens with sintering additive of Y{sub 2}O{sub 3} by about 5 mass% formed the network structure of oxide in the crystals of AlN. It was considered that the corrosion proceeded by reduction of the oxide network and the penetration of molten lithium through the reduced pass of this network. For specimens without sintering additive, Al{sub 2}O{sub 3} containing by about 1.3% in raw material was converted to fine oxynitride particles on grain boundary or dissolved in AlN crystals. After immersion into lithium, these specimens were found to be sound in shape but reduced in electrical resistivity. These degradation of the two types specimens were considered to be caused by the reduction of oxygen components. On the other hand, a specimen sintered using CaO as sintering additive was finally became appreciably high purity. This specimen showed good compatibility for molten lithium at least up to 823 K. It was concluded that the reduction of oxygen concentration in AlN materials was essential in order to improve the compatibility for molten lithium. (author)

  19. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  20. AFM imaging and fractal analysis of surface roughness of AlN epilayers on sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Dallaeva, Dinara, E-mail: dinara.dallaeva@yandex.ru [Brno University of Technology, Faculty of Electrical Engineering and Communication, Physics Department, Technická 8, 616 00 Brno (Czech Republic); Ţălu, Ştefan [Technical University of Cluj-Napoca, Faculty of Mechanical Engineering, Department of AET, Discipline of Descriptive Geometry and Engineering Graphics, 103-105 B-dul Muncii Street, Cluj-Napoca 400641, Cluj (Romania); Stach, Sebastian [University of Silesia, Faculty of Computer Science and Materials Science, Institute of Informatics, Department of Biomedical Computer Systems, ul. Będzińska 39, 41-205 Sosnowiec (Poland); Škarvada, Pavel; Tománek, Pavel; Grmela, Lubomír [Brno University of Technology, Faculty of Electrical Engineering and Communication, Physics Department, Technická 8, 616 00 Brno (Czech Republic)

    2014-09-01

    Graphical abstract: - Highlights: • We determined the complexity of 3D surface roughness of aluminum nitride layers. • We used atomic force microscopy and analyzed their fractal geometry. • We determined the fractal dimension of surface roughness of aluminum nitride layers. • We determined the dependence of layer morphology on substrate temperature. - Abstract: The paper deals with AFM imaging and characterization of 3D surface morphology of aluminum nitride (AlN) epilayers on sapphire substrates prepared by magnetron sputtering. Due to the effect of temperature changes on epilayer's surface during the fabrication, a surface morphology is studied by combination of atomic force microscopy (AFM) and fractal analysis methods. Both methods are useful tools that may assist manufacturers in developing and fabricating AlN thin films with optimal surface characteristics. Furthermore, they provide different yet complementary information to that offered by traditional surface statistical parameters. This combination is used for the first time for measurement on AlN epilayers on sapphire substrates, and provides the overall 3D morphology of the sample surfaces (by AFM imaging), and reveals fractal characteristics in the surface morphology (fractal analysis)

  1. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  2. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  4. Dispersion properties and low infrared optical losses in epitaxial AlN on sapphire substrate in the visible and infrared range

    Czech Academy of Sciences Publication Activity Database

    Soltani, A.; Stolz, A.; Charrier, J.; Mattalah, M.; Gerbedoen, J.-C.; Barkad, H.A.; Mortet, Vincent; Rousseau, M.; Bourzgui, N.; BenMoussa, A.; De Jaeger, J.-C.

    2014-01-01

    Roč. 115, č. 16 (2014), "163515-1"-"163515-6" ISSN 0021-8979 Institutional support: RVO:68378271 Keywords : III-V semiconductors * AlN films * surface scattering * refractive index * optical properties Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.183, year: 2014

  5. Europium and samarium doped calcium sulfide thin films grown by PLD

    International Nuclear Information System (INIS)

    Christoulakis, S.; Suchea, M; Katsarakis, N.; Koudoumas, E

    2007-01-01

    Europium and samarium doped calcium sulfide thin films (CaS:Eu,Sm) with different thickness were prepared by the pulsed laser deposition technique using sintered targets. A typical homemade deposition chamber and XeCl excimer laser (308 nm) were employed and the films were deposited in helium atmosphere onto silicon and corning glass substrates. Structural investigations carried out by X-ray diffraction and atomic force microscopy showed a strong influence of the deposition parameters on the film properties. The films grown had an amorphous or polycrystalline structure depending on growth temperature and the number of pulses used, the same parameters affecting the film roughness, the grain shape and dimensions, the film thickness and the optical transmittance. This work indicates that pulsed laser deposition can be a suitable technique for the preparation of CaS:Eu,Sm thin films, the film characteristics being controlled by the growth conditions

  6. Design and Optimization of AlN based RF MEMS Switches

    Science.gov (United States)

    Hasan Ziko, Mehadi; Koel, Ants

    2018-05-01

    Radio frequency microelectromechanical system (RF MEMS) switch technology might have potential to replace the semiconductor technology in future communication systems as well as communication satellites, wireless and mobile phones. This study is to explore the possibilities of RF MEMS switch design and optimization with aluminium nitride (AlN) thin film as the piezoelectric actuation material. Achieving low actuation voltage and high contact force with optimal geometry using the principle of piezoelectric effect is the main motivation for this research. Analytical and numerical modelling of single beam type RF MEMS switch used to analyse the design parameters and optimize them for the minimum actuation voltage and high contact force. An analytical model using isotropic AlN material properties used to obtain the optimal parameters. The optimized geometry of the device length, width and thickness are 2000 µm, 500 µm and 0.6 µm respectively obtained for the single beam RF MEMS switch. Low actuation voltage and high contact force with optimal geometry are less than 2 Vand 100 µN obtained by analytical analysis. Additionally, the single beam RF MEMS switch are optimized and validated by comparing the analytical and finite element modelling (FEM) analysis.

  7. QCM gas sensor characterization of ALD-grown very thin TiO2 films

    Science.gov (United States)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Szilágyi, I. M.

    2018-03-01

    The paper presents a technology for preparation and characterization of titanium dioxide (TiO2) thin films suitable for gas sensor applications. Applying atomic layer deposition (ALD), very thin TiO2 films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The TiO2 thin films were grown using Ti(iOPr)4 and water as precursors. The surface of the films was observed by scanning electron microscopy (SEM), coupled with energy dispersive X-ray analysis (EDX) used for a composition study. The research was focused on the gas-sensing properties of the films. Films of 10-nm thickness were deposited on quartz resonators with Au electrodes and the QCMs were used to build highly sensitive gas sensors, which were tested for detecting NO2. Although very thin, these ALD-grown TiO2 films were sensitive to NO2 already at room temperature and could register as low concentrations as 50 ppm, while the sorption was fully reversible, and the sensors could be fully recovered. With the technology presented, the manufacturing of gas sensors is simple, fast and cost-effective, and suitable for energy-effective portable equipment for real-time environmental monitoring of NO2.

  8. Ferromagnetism in Cr-doped passivated AlN nanowires

    KAUST Repository

    Kanoun, Mohammed; Goumri-Said, Souraya; Schwingenschlö gl, Udo

    2014-01-01

    We apply first principles calculations to predict the effect of Cr doping on the electronic and magnetic properties of passivated AlN nanowires. We compare the energetics of the possible dopant sites and demonstrate the favorable configuration ferromagnetic ordering. The charge density of the pristine passivated AlN nanowires is used to elucidate the bonding character. Spin density maps demonstrate an induced spin polarization for N atoms next to dopant atoms, though most of the magnetism is carried by the Cr atoms. Cr-doped AlN nanowires turn out to be interesting for spintronic devices. © 2014 the Partner Organisations.

  9. Magnetic tunnel junctions with AlN and AlNxOy barriers

    International Nuclear Information System (INIS)

    Schwickert, M. M.; Childress, J. R.; Fontana, R. E.; Kellock, A. J.; Rice, P. M.; Ho, M. K.; Thompson, T. J.; Gurney, B. A.

    2001-01-01

    Nonoxide tunnel barriers such as AlN are of interest for magnetic tunnel junctions to avoid the oxidation of the magnetic electrodes. We have investigated the fabrication and properties of thin AlN-based barriers for use in low resistance magnetic tunnel junctions. Electronic, magnetic and structural data of tunnel valves of the form Ta (100 Aa)/PtMn (300 Aa)/CoFe 20 (20 Aa - 25 Aa)/barrier/CoFe 20 (10 - 20 Aa)/NiFe 16 (35 - 40 Aa)/Ta (100 Aa) are presented, where the barrier consists of AlN, AlN x O y or AlN/AlO x with total thicknesses between 8 and 15 Aa. The tunnel junctions were sputter deposited and then lithographically patterned down to 2 x 2μm 2 devices. AlN was deposited by reactive sputtering from an Al target with 20% - 35% N 2 in the Ar sputter gas at room temperature, resulting in stoichiometric growth of AlN x (x=0.50±0.05), as determined by RBS. TEM analysis shows that the as-deposited AlN barrier is crystalline. For AlN barriers and AlN followed by natural O 2 oxidation, we obtain tunnel magnetoresistance >10% with specific junction resistance R j down to 60Ωμm 2 . [copyright] 2001 American Institute of Physics

  10. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  11. Friction and wear performance of diamond-like carbon films grown in various source gas plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A.; Nilufer, I.B.; Eryilmaz, O.L.; Beschliesser, M.; Fenske, G.R. [Argonne National Lab., IL (United States). Energy Technology Div.

    1999-11-01

    In this study, we investigated the effects of various source gases (methane, ethane, ethylene, and acetylene) on the friction and wear performance of diamond-like carbon (DLC) films prepared in a plasma-enhanced chemical vapor deposition (PECVD) system. Films were deposited on AISI H13 steel substrates and tested in a pin-on-disk machine against DLC-coated M50 balls in dry nitrogen. We found a close correlation between friction coefficient and source gas composition. Specifically, films grown in source gases with higher hydrogen-to-carbon ratios exhibited lower friction coefficients and a higher wear resistance than films grown in source gases with lower hydrogen-to-carbon (H/C) ratios. The lowest friction coefficient (0.014) was achieved with a film derived from methane with an H/C ratio of 4, whereas the coefficient of films derived from acetylene (H/C=1) was 0.15. Similar correlations were observed for wear rates. Specifically, films derived from gases with lower H/C values were worn out, and the substrate material was exposed, whereas films from methane and ethane remained intact and wore at rates that were almost two orders of magnitude lower than films obtained from acetylene. (orig.)

  12. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    Science.gov (United States)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  13. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  14. TEM characterization of catalyst- and mask-free grown GaN nanorods

    International Nuclear Information System (INIS)

    Schowalter, M; Aschenbrenner, T; Kruse, C; Hommel, D; Rosenauer, A

    2010-01-01

    Catalyst- and mask-free grown GaN nanorods have been investigated using transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM) and energy filtered transmission electron microscopy (EFTEM). The nanorods were grown on nitridated r-plane sapphire substrates in a molecular beam epitaxy reactor. We investigated samples directly after the nitridation and after the overgrowth of the structure with GaN. High resolution transmission electron microscopy (HRTEM) and EFTEM revealed that AlN islands have formed due to nitridation. After overgrowth, the AlN islands could not be observed any more, neither by EFTEM nor by Z-contrast imaging. Instead, a smooth layer consisting of AlGaN was found. The investigation of the overgrown sample revealed that an a-plane GaN layer and GaN nanorods on top of the a-plane GaN have formed. The nanorods reduced from top of the a-plane GaN towards the a-plane GaN/sapphire interface suggesting that the nanorods originate at the AlN islands found after nitridation. However, this could not be shown unambiguously. The number of threading dislocations in the nanorods was very low. The analysis of the epitaxial relationship to the a-plane GaN showed that the nanorods grew along the [000-1] direction, and the [1-100] direction of the rods was parallel to the [0001] direction of the a-plane GaN.

  15. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  16. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  17. Mechanical, Corrosion and Biological Properties of Room-Temperature Sputtered Aluminum Nitride Films with Dissimilar Nanostructure

    Directory of Open Access Journals (Sweden)

    Cristina Besleaga

    2017-11-01

    Full Text Available Aluminum Nitride (AlN has been long time being regarded as highly interesting material for developing sensing applications (including biosensors and implantable sensors. AlN, due to its appealing electronic properties, is envisaged lately to serve as a multi-functional biosensing platform. Although generally exploited for its intrinsic piezoelectricity, its surface morphology and mechanical performance (elastic modulus, hardness, wear, scratch and tensile resistance to delamination, adherence to the substrate, corrosion resistance and cytocompatibility are also essential features for high performance sustainable biosensor devices. However, information about AlN suitability for such applications is rather scarce or at best scattered and incomplete. Here, we aim to deliver a comprehensive evaluation of the morpho-structural, compositional, mechanical, electrochemical and biological properties of reactive radio-frequency magnetron sputtered AlN nanostructured thin films with various degrees of c-axis texturing, deposited at a low temperature (~50 °C on Si (100 substrates. The inter-conditionality elicited between the base pressure level attained in the reactor chamber and crystalline quality of AlN films is highlighted. The potential suitability of nanostructured AlN (in form of thin films for the realization of various type of sensors (with emphasis on bio-sensors is thoroughly probed, thus unveiling its advantages and limitations, as well as suggesting paths to safely exploit the remarkable prospects of this type of materials.

  18. Mechanical, Corrosion and Biological Properties of Room-Temperature Sputtered Aluminum Nitride Films with Dissimilar Nanostructure.

    Science.gov (United States)

    Besleaga, Cristina; Dumitru, Viorel; Trinca, Liliana Marinela; Popa, Adrian-Claudiu; Negrila, Constantin-Catalin; Kołodziejczyk, Łukasz; Luculescu, Catalin-Romeo; Ionescu, Gabriela-Cristina; Ripeanu, Razvan-George; Vladescu, Alina; Stan, George E

    2017-11-17

    Aluminum Nitride (AlN) has been long time being regarded as highly interesting material for developing sensing applications (including biosensors and implantable sensors). AlN, due to its appealing electronic properties, is envisaged lately to serve as a multi-functional biosensing platform. Although generally exploited for its intrinsic piezoelectricity, its surface morphology and mechanical performance (elastic modulus, hardness, wear, scratch and tensile resistance to delamination, adherence to the substrate), corrosion resistance and cytocompatibility are also essential features for high performance sustainable biosensor devices. However, information about AlN suitability for such applications is rather scarce or at best scattered and incomplete. Here, we aim to deliver a comprehensive evaluation of the morpho-structural, compositional, mechanical, electrochemical and biological properties of reactive radio-frequency magnetron sputtered AlN nanostructured thin films with various degrees of c -axis texturing, deposited at a low temperature (~50 °C) on Si (100) substrates. The inter-conditionality elicited between the base pressure level attained in the reactor chamber and crystalline quality of AlN films is highlighted. The potential suitability of nanostructured AlN (in form of thin films) for the realization of various type of sensors (with emphasis on bio-sensors) is thoroughly probed, thus unveiling its advantages and limitations, as well as suggesting paths to safely exploit the remarkable prospects of this type of materials.

  19. AlScN thin film based surface acoustic wave devices with enhanced microfluidic performance

    OpenAIRE

    Wang, Wenbo; Fu, Yong Qing; Chen, Jinju; Xuan, Weipeng; Chen, Jinkai; Mayrhofer, Paul; Duan, Pengfei; Bittner, Elmar; Luo, Jikui

    2016-01-01

    This paper reports the characterization of scandium aluminum nitride (Al1−x Sc x N, x  =  27%) films and discusses surface acoustic wave (SAW) devices based on them. Both AlScN and AlN films were deposited on silicon by sputtering and possessed columnar microstructures with (0 0 0 2) crystal orientation. The AlScN/Si SAW devices showed improved electromechanical coupling coefficients (K 2, ~2%) compared with pure AlN films (

  20. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  1. Effect of pyrolysis atmospheres on the morphology of polymer-derived silicon oxynitrocarbide ceramic films coated aluminum nitride surface and the thermal conductivity of silicone rubber composites

    Science.gov (United States)

    Chiu, Hsien T.; Sukachonmakul, Tanapon; Wang, Chen H.; Wattanakul, Karnthidaporn; Kuo, Ming T.; Wang, Yu H.

    2014-02-01

    Amorphous silicon oxycarbide (SiOC) and silicon oxynitrocarbide (SiONC) ceramic films coated aluminum nitride (AlN) were prepared by using preceramic-polysilazane (PSZ) with dip-coating method, followed by pyrolysis at 700 °C in different (air, Ar, N2 and NH3) atmospheres to converted PSZ into SiOCair and SiONC(Ar,N2andNH3) ceramic. The existence of amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface was characterized by FTIR, XRD and XPS. The interfacial adhesion between silicone rubber and AlN was significantly improved after the introduction of amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface. It can be observed from AFM that the pyrolysis of PSZ at different atmosphere strongly affected to films morphology on AlN surface as SiOCair and SiONCNH3 ceramic films were more flat and smooth than SiONCN2 and SiONCAr ceramic films. Besides, the enhancement of the thermal conductivity of silicone rubber composites was found to be related to the decrease in the surface roughness of SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface. This present work provided an alternative surface modification of thermally conductive fillers to improve the thermal conductivity of silicon rubber composites by coating with amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films.

  2. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  3. Effect of Ag film thickness on the optical and the electrical properties in CuAlO2/Ag/CuAlO2 multilayer films grown on glass substrates

    International Nuclear Information System (INIS)

    Oh, Dohyun; No, Young Soo; Kim, Su Youn; Cho, Woon Jo; Kwack, Kae Dal; Kim, Tae Whan

    2011-01-01

    Research highlights: The CuAlO 2 /Ag/CuAlO 2 multilayer films were grown on glass substrates using radio-frequency magnetron sputtering at room temperature. Effects of Ag film thickness on the optical and the electrical properties in CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates were investigated. X-ray diffraction patterns showed that the phase of the CuAlO 2 layer was amorphous. Atomic force microscopy images showed that Ag films with a thickness of a few nanometers had island structures. The morphology Ag films with a thickness of 8 nm was uniform. The morphology of the Ag films inserted in the CuAlO 2 films significantly affected the optical transmittance and the resistivity of the CuAlO 2 films deposited on glass substrates. The maximum transmittance of the CuAlO 2 /Ag/CuAlO 2 multilayer films with a thickness of 8 nm was 89.16%. The resistivity of the CuAlO 2 /Ag/CuAlO 2 multilayer films with an Ag film thickness of 18 nm was as small as about 2.8 x 10 -5 Ω cm. The resistivity of the CuAlO 2 /Ag/CuAlO 2 multilayer films was decreased as a result of the thermal annealing treatment. These results indicate that CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates hold promise for potential applications as TCO films in solar cells. - Abstract: Effects of Ag film thickness on the optical and the electrical properties in CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates were investigated. Atomic force microscopy images showed that Ag films with a thickness of a few nanometers had island structures. X-ray diffraction patterns showed that the phase of the CuAlO 2 layer was amorphous. The resistivity of the 40 nm-CuAlO 2 /18 nm-Ag/40 nm-CuAlO 2 multilayer films was 2.8 x 10 -5 Ω cm, and the transmittance of the multilayer films with an Ag film thickness of 8 nm was approximately 89.16%. These results indicate that CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates hold promise for potential applications as

  4. Annealing Effect on the Structural and Optical Properties of Sputter-Grown Bismuth Titanium Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    José E. Alfonso

    2014-04-01

    Full Text Available The aim of this work is to assess the evolution of the structural and optical properties of BixTiyOz films grown by rf magnetron sputtering upon post-deposition annealing treatments in order to obtain good quality films with large grain size, low defect density and high refractive index similar to that of single crystals. Films with thickness in the range of 220–250 nm have been successfully grown. After annealing treatment at 600 °C the films show excellent transparency and full crystallization. It is shown that to achieve larger crystallite sizes, up to 17 nm, it is better to carry the annealing under dry air than under oxygen atmosphere, probably because the nucleation rate is reduced. The refractive index of the films is similar under both atmospheres and it is very high (n =2.5 at 589 nm. However it is still slightly lower than that of the single crystal value due to the polycrystalline morphology of the thin films.

  5. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  6. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  7. Potential of AlN nanostructures as hydrogen storage materials.

    Science.gov (United States)

    Wang, Qian; Sun, Qiang; Jena, Puru; Kawazoe, Yoshiyuki

    2009-03-24

    The capability of AlN nanostructures (nanocages, nanocones, nanotubes, and nanowires) to store hydrogen has been studied using gradient-corrected density functional theory. In contrast to bulk AlN, which has the wurtzite structure and four-fold coordination, the Al sites in AlN nanostructures are unsaturated and have two- and three-fold coordination. Each Al atom is capable of binding one H(2) molecule in quasi-molecular form, leading to 4.7 wt % hydrogen, irrespective of the topology of the nanostructures. With the exception of AlN nanotubes, energetics does not support the adsorption of additional hydrogen. The binding energies of hydrogen to these unsaturated metal sites lie in the range of 0.1-0.2 eV/H(2) and are ideal for applications under ambient thermodynamic conditions. Furthermore, these materials do not suffer from the clustering problem that often plagues metal-coated carbon nanostructures.

  8. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  9. Photoluminescence properties of ZnO thin films grown by using the hydrothermal technique

    International Nuclear Information System (INIS)

    Sahoo, Trilochan; Jang, Leewoon; Jeon, Juwon; Kim, Myoung; Kim, Jinsoo; Lee, Inhwan; Kwak, Joonseop; Lee, Jaejin

    2010-01-01

    The photoluminescence properties of zinc-oxide thin films grown by using the hydrothermal technique have been investigated. Zinc-oxide thin films with a wurtzite symmetry and c-axis orientation were grown in aqueous solution at 90 .deg. C on sapphire substrates with a p-GaN buffer layer by using the hydrothermal technique. The low-temperature photoluminescence analysis revealed a sharp bound-exciton-related luminescence peak at 3.366 eV with a very narrow peak width. The temperature-dependent variations of the emission energy and of the integrated intensity were studied. The activation energy of the bound exciton complex was calculated to be 7.35 ± 0.5 meV from the temperature dependent quenching of the integral intensities.

  10. Structural and morphological properties of ITO thin films grown by magnetron sputtering

    Science.gov (United States)

    Ghorannevis, Z.; Akbarnejad, E.; Ghoranneviss, M.

    2015-10-01

    Physical properties of transparent and conducting indium tin oxide (ITO) thin films grown by radiofrequency (RF) magnetron sputtering are studied systematically by changing deposition time. The X-ray diffraction (XRD) data indicate polycrystalline thin films with grain orientations predominantly along the (2 2 2) and (4 0 0) directions. From atomic force microscopy (AFM) it is found that by increasing the deposition time, the roughness of the film increases. Scanning electron microscopy (SEM) images show a network of a high-porosity interconnected nanoparticles, which approximately have a pore size ranging between 20 and 30 nm. Optical measurements suggest an average transmission of 80 % for the ITO films. Sheet resistances are investigated using four-point probes, which imply that by increasing the film thickness the resistivities of the films decrease to 2.43 × 10-5 Ω cm.

  11. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  12. Physical properties of SnS thin films grown by hot wall deposition

    International Nuclear Information System (INIS)

    Gremenok, V.; Ivanov, V.; Bashkirov, S.; Unuchak, D.; Lazenka, V.; Bente, K.; Tashlykov, I.; Turovets, A.

    2010-01-01

    Full text : Recently, considerable effort has been invested to gain a better and deeper knowledge of structural and physical properties of metal chalcogenide semiconductors because of their potential application in electrical and photonic devices. Among them, tin sulphide (SnS) has attracted attention because of band gap of 1.3 eV and an absorption coefficient greater than 10 4 cm - 1. Additionally, by using tin sulfide compounds for photovoltaic devices, the production costs are decreased, because these materials are cheap and abundant in nature. For the sythesis of SnS thin films by hot wall deposition, SnS ingots were used as the source materials synthesized from high purity elements (99.999 percent). The thin films were grown onto glass at substrate temperatures between 220 and 380 degrees Celsium. The thickness of the films was in the range of 1.0 - 2.5 μm. The crystal structure and crystalline phases of the materials were studied by XRD using a Siemens D-5000 diffractometer with CuK α (λ = 1.5418 A) radiation. In order to consider instrumental error, the samples were coated by Si powder suspended in acetone. The composition and surface morphology of thin films were investigated by electron probe microanalysis (EPMA) using a CAMECA SX-100, a scanning electron microscope JEOL 6400 and an atomic force microscope (AFM, Model: NT 206), respectively. Depth profiling was performed by Auger electron spectroscopy (AES) using a Perkin Elmer Physical Electronics 590. The electrical resistivity was studied by van der Pauw four-probe technique using silver paste contact. The optical transmittance was carried out using a Varian Cary 50 UV - VIS spectrophotometer in the range 500 - 2000 nm. The as-grown films exhibited a composition with a Sn/S at. percent ratio of 1.06. The AES depth profiles revealed relatively uniform composition through the film thickness. The XRD analysis of the SnS films showed that they were monophase (JCPDS 39-0354), polycrystalline with

  13. Peeling off effects in vertically aligned Fe3C filled carbon nanotubes films grown by pyrolysis of ferrocene

    Science.gov (United States)

    Boi, Filippo S.; Medranda, Daniel; Ivaturi, Sameera; Wang, Jiayu; Guo, Jian; Lan, Mu; Wen, Jiqiu; Wang, Shanling; He, Yi; Mountjoy, Gavin; Willis, Maureen A. C.; Xiang, Gang

    2017-06-01

    We report the observation of an unusual self-peeling effect which allows the synthesis of free standing vertically aligned carbon nanotube films filled with large quantities of Fe3C and small quantities of γ-Fe crystals. We demonstrate that this effect depends on the interplay of three main factors: (1) the physical interactions between the chosen substrate surface and grown carbon nanotubes (CNTs), which is fixed by the composition of the used substrate (111 SiO2/Si or quartz), (2) the CNT-CNT Van der Waals interactions, and (3) the differential thermal contraction between the grown CNT film and the used substrate, which is fixed by the cooling rate differences between the grown film and the used quartz or Si/SiO2 substrates. The width and stability of these films are then further increased to cm-scale by addition of small quantities of toluene to the ferrocene precursor.

  14. The α-particle excited scintillation response of YAG:Ce thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Prusa, Petr; Nikl, Martin; Mares, Jiri A.; Nitsch, Karel; Beitlerova, Alena; Kucera, Miroslav

    2009-01-01

    Y 3 Al 5 O 12 :Ce (YAG:Ce) thin films were grown from PbO-,BaO-, and MoO 3 -based fluxes using the liquid phase epitaxy (LPE) method. Photoelectron yield, its time dependence within 0.5-10 μs shaping time, and energy resolution of these samples were measured under α-particle excitation. For comparison a sample of the Czochralski grown bulk YAG:Ce single crystal was measured as well. Photoelectron yield values of samples grown from the BaO-based flux were found superior to other LPE films and comparable with that of the bulk single crystal. The same is valid also for the time dependence of photoelectron yield. Obtained results are discussed taking into account the influence of the flux and technology used. Additionally, α particle energy deposition in very thin films is modelled and discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. As-grown enhancement of spinodal decomposition in spinel cobalt ferrite thin films by Dynamic Aurora pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Debnath, Nipa [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Physics, Jagannath University, Dhaka 1100 (Bangladesh); Kawaguchi, Takahiko; Kumasaka, Wataru [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Das, Harinarayan [Materials Science Division, Atomic Energy Centre, Dhaka 1000 (Bangladesh); Shinozaki, Kazuo [School of Materials and Chemical Technology, Tokyo Institute of Technology, Tokyo 152-8550 (Japan); Sakamoto, Naonori [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Suzuki, Hisao [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Wakiya, Naoki, E-mail: wakiya.naoki@shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan)

    2017-06-15

    Highlights: • As-grown enhancement of spinodal decomposition (SD) in Co{sub x}Fe{sub 3−x}O{sub 4} film is observed. • Magnetic-field-induced ion-impingement enhances SD without any post-annealing. • The enhancement of SD is independent of the lattice-mismatch-induced strain. • This approach can promote SD in any thin film without post-deposition annealing. - Abstract: Cobalt ferrite Co{sub x}Fe{sub 3−x}O{sub 4} thin films with composition within the miscibility gap were grown using Dynamic Aurora pulsed laser deposition. X-ray diffraction patterns reveal as-grown phase separation to Fe-rich and Co-rich phases with no post-deposition annealing. The interconnected surface microstructure of thin film shows that this phase separation occurs through spinodal decomposition enhanced by magnetic-field-induced ion-impingement. The lattice parameter variation of the thin films with the magnetic field indicates that the composition fluctuations can be enhanced further by increasing the magnetic field. Results show that spinodal decomposition enhancement by magnetic-field-induced ion-impingement is independent of the lattice-mismatch-induced strain. This approach can promote spinodal decomposition in any thin film with no post-deposition annealing process.

  16. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Energy Technology Data Exchange (ETDEWEB)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U., E-mail: usha.philipose@unt.edu [University of North Texas, Department of Physics (United States)

    2016-12-15

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between Sb{sub 2}S{sub 3} and In and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40–60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 10{sup 17} cm{sup −3} and 1000 cm{sup 2} V{sup −1} s{sup −1}, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  17. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Science.gov (United States)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U.

    2016-12-01

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between S b 2 S 3 and I n and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40-60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 1017 cm-3 and 1000 cm2 V-1 s-1, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  18. Solution-Grown Monocrystalline Hybrid Perovskite Films for Hole-Transporter-Free Solar Cells

    KAUST Repository

    Peng, Wei

    2016-03-02

    High-quality perovskite monocrystalline films are successfully grown through cavitation-triggered asymmetric crystallization. These films enable a simple cell structure, ITO/CH3NH3PbBr3/Au, with near 100% internal quantum efficiency, promising power conversion efficiencies (PCEs) >5%, and superior stability for prototype cells. Furthermore, the monocrystalline devices using a hole-transporter-free structure yield PCEs ≈6.5%, the highest among other similar-structured CH3NH3PbBr3 solar cells to date.

  19. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  20. Aluminum Nitride Micro-Channels Grown via Metal Organic Vapor Phase Epitaxy for MEMs Applications

    Energy Technology Data Exchange (ETDEWEB)

    Rodak, L.E.; Kuchibhatla, S.; Famouri, P.; Ting, L.; Korakakis, D.

    2008-01-01

    Aluminum nitride (AlN) is a promising material for a number of applications due to its temperature and chemical stability. Furthermore, AlN maintains its piezoelectric properties at higher temperatures than more commonly used materials, such as Lead Zirconate Titanate (PZT) [1, 2], making AlN attractive for high temperature micro and nanoelectromechanical (MEMs and NEMs) applications including, but not limited to, high temperature sensors and actuators, micro-channels for fuel cell applications, and micromechanical resonators. This work presents a novel AlN micro-channel fabrication technique using Metal Organic Vapor Phase Epitaxy (MOVPE). AlN easily nucleates on dielectric surfaces due to the large sticking coefficient and short diffusion length of the aluminum species resulting in a high quality polycrystalline growth on typical mask materials, such as silicon dioxide and silicon nitride [3,4]. The fabrication process introduced involves partially masking a substrate with a silicon dioxide striped pattern and then growing AlN via MOVPE simultaneously on the dielectric mask and exposed substrate. A buffered oxide etch is then used to remove the underlying silicon dioxide and leave a free standing AlN micro-channel. The width of the channel has been varied from 5 ìm to 110 ìm and the height of the air gap from 130 nm to 800 nm indicating the stability of the structure. Furthermore, this versatile process has been performed on (111) silicon, c-plane sapphire, and gallium nitride epilayers on sapphire substrates. Reflection High Energy Electron Diffraction (RHEED), Atomic Force Microscopy (AFM), and Raman measurements have been taken on channels grown on each substrate and indicate that the substrate is influencing the growth of the AlN micro-channels on the SiO2 sacrificial layer.

  1. Stoichiometry and characterization of aluminum oxynitride thin films grown by ion-beam-assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zabinski, J.S. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Hu, J.J. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States)], E-mail: Jianjun.Hu@WPAFB.AF.MIL; Bultman, J.E. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Pierce, N.A. [Propulsion Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Voevodin, A.A. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States)

    2008-07-31

    Oxides are inherently stable in air at elevated temperatures and may serve as wear resistant matrices for solid lubricants. Aluminum oxide is a particularly good candidate for a matrix because it has good diffusion barrier properties and modest hardness. Most thin film deposition techniques that are used to grow alumina require high temperatures to impart crystallinity. Crystalline films are about twice as hard as amorphous ones. Unfortunately, the mechanical properties of most engineering steels are degraded at temperatures above 250-350 deg. C. This work is focused on using energetic reactive ion bombardment during simultaneous pulsed laser deposition to enhance film crystallization at low temperatures. Alumina films were grown at several background gas pressures and temperatures, with and without Ar ion bombardment. The films were nearly stoichiometric except for depositions in vacuum. Using nitrogen ion bombardment, nitrogen was incorporated into the films and formed the Al-O-N matrix. Nitrogen concentration could be controlled through selection of gas pressure and ion energy. Crystalline Al-O-N films were grown at 330 deg. C with a negative bias voltage to the substrate, and showed improved hardness in comparison to amorphous films.

  2. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  3. Elastically strained and relaxed La0.67Ca0.33MnO3 films grown on lanthanum aluminate substrates with different orientations

    Science.gov (United States)

    Boikov, Yu. A.; Serenkov, I. T.; Sakharov, V. I.; Claeson, T.

    2016-12-01

    Structure of 40-nm thick La0.67Ca0.33MnO3 (LCMO) films grown by laser evaporation on (001) and (110) LaAlO3 (LAO) substrates has been investigated using the methods of medium-energy ion scattering and X-ray diffraction. The grown manganite layers are under lateral biaxial compressive mechanical stresses. When (110)LAO wafers are used as the substrates, stresses relax to a great extent; the relaxation is accompanied by the formation of defects in a (3-4)-nm thick manganite-film interlayer adjacent to the LCMO-(110)LAO interface. When studying the structure of the grown layers, their electro- and magnetotransport parameters have been measured. The electroresistance of the LCMO films grown on the substrates of both types reached a maximum at temperature T M of about 250 K. At temperatures close to T M magnetoresistance of the LCMO/(110)LAO films exceeds that of the LCMO/(001)LAO films by 20-30%; however, the situation is inverse at low temperatures ( T < 150 K). At T < T M , the magnetotransport in the grown manganite films significantly depends on the spin ordering in ferromagnetic domains, which increase with a decrease in temperature.

  4. High-temperature carrier density and mobility enhancements in AlGaN/GaN HEMT using AlN spacer layer

    Science.gov (United States)

    Ko, Tsung-Shine; Lin, Der-Yuh; Lin, Chia-Feng; Chang, Che-Wei; Zhang, Jin-Cheng; Tu, Shang-Ju

    2017-04-01

    In this paper, we experimentally studied the effect of AlN spacer layer on optical and electrical properties of AlGaN/GaN high electric mobility transistors (HEMTs) grown by metal organic chemical vapor deposition method. For AlGaN layer in HEMT structure, the Al composition of the sample was determined using x-ray diffraction and photoluminescence. Electrolyte electro-reflectance (EER) measurement not only confirmed the aluminum composition of AlGaN layer, but also determined the electric field strength on the AlGaN layer through the Franz-Keldysh oscillation phenomenon. This result indicated that the electric field on the AlGaN layer could be improved from 430 to 621 kV/cm when AlN spacer layer was inserted in HEMT structure, which increased the concentration of two dimensional electron gas (2DEG) and improve the mobility. The temperature dependent Hall results show that both the mobility and the carrier concentration of 2DEG would decrease abruptly causing HEMT loss of function due to phonon scattering and carrier thermal escape when temperature increases above a specific value. Meanwhile, our study also demonstrates using AlN spacer layer could be beneficial to allow the mobility and carrier density of 2DEG sustaining at high temperature region.

  5. Distinctions of the growth and structural-spectroscopic investigations of thin AlN films grown on the GaAs substrates

    Science.gov (United States)

    Seredin, P. V.; Kashkarov, V. M.; Arsentyev, I. N.; Bondarev, A. D.; Tarasov, I. S.

    2016-08-01

    Using X-ray diffraction analysis, atomic force microscopy, IR and UV spectroscopy, the properties of thin aluminium nitride films (4.0 for the wavelength band around 250 nm and an optical band-gap of 5 eV. It was shown that the morphology, surface composition and optical functional characteristics of AlN/GaAs heterophase systems can be controlled owing to the use of misoriented GaAs substrates as well choice of the technological parameters used for the film growth.

  6. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Karuppasamy, A., E-mail: karuppasamy@psnacet.edu.in

    2015-12-30

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO{sub 3} (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO{sub 3}) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O{sub 2} atmosphere. Ti:WO{sub 3} thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10{sup −3}–5.0 × 10{sup −3} mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm{sup 2}) and tungsten (3 W/cm{sup 2}) were kept constant. Ti:WO{sub 3} films deposited at an oxygen pressure of 5 × 10{sup −3} mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm{sup 2}/C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm{sup 2}, Qa: 17.72 mC/cm{sup 2}), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO{sub 3} films.

  7. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    International Nuclear Information System (INIS)

    Karuppasamy, A.

    2015-01-01

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO 3 (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO 3 ) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O 2 atmosphere. Ti:WO 3 thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10 −3 –5.0 × 10 −3 mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm 2 ) and tungsten (3 W/cm 2 ) were kept constant. Ti:WO 3 films deposited at an oxygen pressure of 5 × 10 −3 mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm 2 /C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm 2 , Qa: 17.72 mC/cm 2 ), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO 3 films.

  8. Chemical and structural properties of polymorphous silicon thin films grown from dichlorosilane

    Energy Technology Data Exchange (ETDEWEB)

    Álvarez-Macías, C.; Monroy, B.M.; Huerta, L.; Canseco-Martínez, M.A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico); Picquart, M. [Departamento de Física, Universidad Autónoma Metropolitana, Iztapalapa, A.P. 55-534, 09340 México, D.F. (Mexico); Santoyo-Salazar, J. [Departamento de Física, CINVESTAV-IPN, A.P. 14-740, C.P. 07000 México, D.F. (Mexico); Sánchez, M.F. García [Unidad Profesional Interdisciplinaria en Ingeniería y Tecnologías Avanzadas, Instituto Politécnico Nacional, Av. I.P.N. 2580, Gustavo A. Madero, 07340 México .D.F. (Mexico); Santana, G., E-mail: gsantana@iim.unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico)

    2013-11-15

    We have examined the effects of hydrogen dilution (R{sub H}) and deposition pressure on the morphological, structural and chemical properties of polymorphous silicon thin films (pm-Si:H), using dichlorosilane as silicon precursor in the plasma enhanced chemical vapor deposition (PECVD) process. The use of silicon chlorinated precursors enhances the crystallization process in as grown pm-Si:H samples, obtaining crystalline fractions from Raman spectra in the range of 65–95%. Atomic Force Microscopy results show the morphological differences obtained when the chlorine chemistry dominates the growth process and when the plasma–surface interactions become more prominent. Augmenting R{sub H} causes a considerable reduction in both roughness and topography, demonstrating an enhancement of ion bombardment and attack of the growing surface. X-ray Photoelectron Spectroscopy results show that, after ambient exposure, there is low concentration of oxygen inside the films grown at low R{sub H}, present in the form of Si-O, which can be considered as structural defects. Instead, oxidation increases with deposition pressure and dilution, along with film porosity, generating a secondary SiO{sub x} phase. For higher pressure and dilution, the amount of chlorine incorporated to the film decreases congruently with HCl chlorine extraction processes involving atomic hydrogen interactions with the surface. In all cases, weak silicon hydride (Si-H) bonds were not detected by infrared spectroscopy, while bonding configurations associated to the silicon nanocrystal surface were clearly observed. Since these films are generally used in photovoltaic devices, analyzing their chemical and structural properties such as oxygen incorporation to the films, along with chlorine and hydrogen, is fundamental in order to understand and optimize their electrical and optical properties.

  9. Characterization of homoepitaxial and heteroepitaxial ZnO films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z.Q. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan)]. E-mail: chenzq@taka.jaeri.go.jp; Yamamoto, S. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Kawasuso, A. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Xu, Y. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Sekiguchi, T. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan)

    2005-05-15

    Homo- and heteroepitaxial ZnO films were grown on ZnO (0001) and Al{sub 2}O{sub 3} (1-bar 1-bar 2-bar -bar 0) substrates by using pulsed laser deposition. The X-ray diffraction and Raman measurements for these films show good correspondence with the bulk ZnO substrate, which confirms successful growth of c-axis oriented ZnO layer. Strong UV emission was also observed in these films, indicating good optical quality. However, the surface roughness differs very much for the homo- and heteroepitaxial film, that is, much less for the homoepitaxial layer. Positron annihilation measurements reveal a higher vacancy concentration in the homoepitaxial layer.

  10. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  11. Characterization of interference thin films grown on stainless steel surface by alternate pulse current in a sulphochromic solution

    Directory of Open Access Journals (Sweden)

    Rosa Maria Rabelo Junqueira

    2008-12-01

    Full Text Available The aim of this work was to characterize thin interference films grown on the surface of AISI 304 stainless steel for decorative purposes. Films were grown in a sulphochromic solution at room temperature by an alternating pulse current method. The morphology and chemical state of the elements in the films were investigated by field emission scanning electron microscopy (FESEM, atomic force microscopy (AFM, glow discharge optical emission spectrometry (GDOES, and infrared Fourier transform spectroscopy (FTIR. Depth-sensing indentation (DSI experiments and wear abrasion tests were employed to assess the mechanical resistance of the films. The coloration process resulted in porous thin films which increased the surface roughness of the substrate. The interference films mainly consisted of hydrated chromium oxide containing iron. Increasing film thickness produced different colors and affected the mechanical properties of the coating-substrate system. Thicker films, such as those producing gold and green colors, were softer but more abrasion resistant.

  12. The effect of ammonia flow in the AlN spacer on the electrical properties of InAlN/AlN/GaN HEMT structures

    International Nuclear Information System (INIS)

    Gamarra, Piero; Lacam, Cedric; Magis, Michelle; Tordjman, Maurice; Di Forte Poisson, Marie-Antoinette

    2012-01-01

    During the past few years it has been reported that a thin AlN spacer of few nanometers needs to be inserted in InAlN/GaN high electron mobility transistors (HEMTs) to obtain high 2DEG carrier mobility. This work presents a systematic study of the effects of varying the ammonia flow in the AlN spacer of InAlN/AlN/GaN HEMTs grown by low pressure metalorganic vapour phase epitaxy (LP-MOVPE). The strain state, the surface roughness and the growth rate of AlN were found to be dependent on the V/III ratio. In addition the ammonia flow in the interlayer has a strong impact on the structural properties of the subsequent InAlN barrier layer and on the electrical properties of the structure. A sheet resistance as low as 327 Ω/□ with a sheet carrier density of 1.5 x 10 13 cm -2 has been obtained at room temperature. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. The effect of ammonia flow in the AlN spacer on the electrical properties of InAlN/AlN/GaN HEMT structures

    Energy Technology Data Exchange (ETDEWEB)

    Gamarra, Piero; Lacam, Cedric; Magis, Michelle; Tordjman, Maurice; Di Forte Poisson, Marie-Antoinette [III-V Lab., Marcussis (France)

    2012-01-15

    During the past few years it has been reported that a thin AlN spacer of few nanometers needs to be inserted in InAlN/GaN high electron mobility transistors (HEMTs) to obtain high 2DEG carrier mobility. This work presents a systematic study of the effects of varying the ammonia flow in the AlN spacer of InAlN/AlN/GaN HEMTs grown by low pressure metalorganic vapour phase epitaxy (LP-MOVPE). The strain state, the surface roughness and the growth rate of AlN were found to be dependent on the V/III ratio. In addition the ammonia flow in the interlayer has a strong impact on the structural properties of the subsequent InAlN barrier layer and on the electrical properties of the structure.istance as low as 327 {omega}/{open_square} with a sheet carrier density of 1.5 x 10{sup 13} cm{sup -2} has been obtained at room temperature. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Thickness dependence of Hall mobility of HWE grown PbTe films

    International Nuclear Information System (INIS)

    Vaya, P.R.; Majhi, J.; Gopalam, B.S.V.; Dattatreyan, C.

    1985-01-01

    Thin epitaxial n-PbTe films of various thicknesses are grown on KCl substrates by hot wall epitaxy (HWE) technique. The X-ray, SEM and TEM studies of these films revealed their single crystalline nature. The Hall mobility (μ/sub H/) of these films is measured by Van der Pauw technique and compared with the numerically calculated values of PbTe. It is observed that μ/sub H/ very strongly depends on thickness for thin films but becomes independent of film thickness beyond 5 μm approaching its bulk value. The constant value of Hall coefficient in the temperature range 77 to 300 K show the extrinsic nature of these films. It is also noticed that the rate of increase of mobility with decreasing temperature becomes higher with film thickness. The diffused scattering mobility due to the size effect is calculated and compared with experimental data. A large discrepancy observed between these two is explained on the basis of the residual mobility contribution. The residual mobility is attributed to overall scattering due to grain boundaries, dislocations, defects, cleavage steps, and other surface effects. (author)

  15. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  16. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    Science.gov (United States)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of

  17. Electronic structures, elastic properties, and minimum thermal conductivities of cermet M{sub 3}AlN

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Jin [Faculty of Materials and Energy, Southwest University, Chongqing 400715 (China); Key Laboratory of Liquid–Solid Structural Evolution and Processing of Materials, Ministry of Education, Shandong University, Jinan 250061 (China); Chen, ZhiQian, E-mail: chen_zq@swu.edu.cn [Faculty of Materials and Energy, Southwest University, Chongqing 400715 (China); Li, ChunMei; Li, Feng; Nie, ChaoYin [Faculty of Materials and Energy, Southwest University, Chongqing 400715 (China)

    2014-08-15

    The electronic structures and elastic anisotropies of cubic Ti{sub 3}AlN, Zr{sub 3}AlN, and Hf{sub 3}AlN are investigated by pseudopotential plane-wave method based on density functional theory. At the Fermi level, the electronic structures of these compounds are successive with no energy gap between conduct and valence bands, and exhibit metallicity in ground states. In valence band of each partial density of states, the different orbital electrons indicate interaction of corresponding atoms. In addition, the anisotropy of Hf{sub 3}AlN is found to be significantly different from that of Ti{sub 3}AlN and Zr{sub 3}AlN, which involve the differences in the bonding strength. It is notable that Hf{sub 3}AlN is a desired thermal barrier material with the lowest thermal conductivity at high temperature among the three compounds. - Graphical abstract: 1.Young's moduli of anti-perovskite Ti{sub 3}AlN, Zr{sub 3}AlN, and Hf{sub 3}AlN in full space. 2.Electron density differences on crystal planes (1 0 0), (2 0 0), and (1 1 0) of anti-perovskite Zr{sub 3}AlN. - Highlights: • We calculated three anti-perovskite cermets with first-principles theory. • We illustrated 3D Young modulus and found the anomalous anisotropy. • We explained the anomaly and calculated the minimum thermal conductivities.

  18. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  19. Correlations between optical properties, microstructure, and processing conditions of Aluminum nitride thin films fabricated by pulsed laser deposition

    International Nuclear Information System (INIS)

    Baek, Jonghoon; Ma, James; Becker, Michael F.; Keto, John W.; Kovar, Desiderio

    2007-01-01

    Aluminum nitride (AlN) films were deposited using pulsed laser deposition (PLD) onto sapphire (0001) substrates with varying processing conditions (temperature, pressure, and laser fluence). We have studied the dependence of optical properties, structural properties and their correlations for these AlN films. The optical transmission spectra of the produced films were measured, and a numerical procedure was applied to accurately determine the optical constants for films of non-uniform thickness. The microstructure and texture of the films were studied using various X-ray diffraction techniques. The real part of the refractive index was found to not vary significantly with processing parameters, but absorption was found to be strongly dependent on the deposition temperature and the nitrogen pressure in the deposition chamber. We report that low optical absorption, textured polycrystalline AlN films can be produced by PLD on sapphire substrates at both low and high laser fluence using a background nitrogen pressure of 6.0 x 10 -2 Pa (4.5 x 10 -4 Torr) of 99.9% purity

  20. Improvement of thermoelectric properties of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films grown on graphene substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Choi, Ji Woon; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kim, Jin-Sang [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-06-15

    A study of substrate effect on the thermoelectric (TE) properties of Bi{sub 2}Te{sub 3} (BT) and Sb{sub 2}Te{sub 3} (ST) thin films grown by plasma-enhanced chemical vapor deposition (PECVD) was performed. Graphene substrates which have small lattice mismatch with BT and ST were used for the preparation of highly oriented BT and ST thin films. Carrier mobility of the epitaxial BT and ST films grown on the graphene substrates increased as the deposition temperature increased, which was not observed in that of SiO{sub 2}/Si substrates. Seebeck coefficients of the as-grown BT and ST films were observed to be maintained even though carrier concentration increased in the epitaxial BT and ST films on graphene substrate. Although Seebeck coefficient was not improved, power factor of the as-grown BT and ST films was considerably enhanced due to the increase of electrical conductivity resulting from the high carrier mobility and moderate carrier concentration in the epitaxial BT and ST films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  2. On the use of response surface methodology to predict and interpret the preferred c-axis orientation of sputtered AlN thin films

    International Nuclear Information System (INIS)

    Adamczyk, J.; Horny, N.; Tricoteaux, A.; Jouan, P.-Y.; Zadam, M.

    2008-01-01

    This paper deals with experimental design applied to response surface methodology (RSM) in order to determine the influence of the discharge conditions on preferred c-axis orientation of sputtered AlN thin films. The thin films have been deposited by DC reactive magnetron sputtering on Si (1 0 0) substrates. The preferred orientation was evaluated using a conventional Bragg-Brentano X-ray diffractometer (θ-2θ) with the CuKα radiation. We have first determined the experimental domain for 3 parameters: sputtering pressure (2-6 mTorr), discharge current (312-438 mA) and nitrogen percentage (17-33%). For the setup of the experimental design we have used a three factors Doehlert matrix which allows the use of the statistical response surface methodology (RSM) in a spherical domain. A four dimensional surface response, which represents the (0 0 0 2) peak height as a function of sputtering pressure, discharge current and nitrogen percentage, was obtained. It has been found that the main interaction affecting the preferential c-axis orientation was the pressure-nitrogen percentage interaction. It has been proved that a Box-Cox transformation is a very useful method to interpret and discuss the experimental results and leads to predictions in good agreement with experiments

  3. On the use of response surface methodology to predict and interpret the preferred c-axis orientation of sputtered AlN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Adamczyk, J.; Horny, N.; Tricoteaux, A. [IUT de Valenciennes, Departement Mesures Physiques, UVHC, Z.I. du Champ de l' Abbesse, 59600 Maubeuge (France); Jouan, P.-Y. [IUT de Valenciennes, Departement Mesures Physiques, UVHC, Z.I. du Champ de l' Abbesse, 59600 Maubeuge (France)], E-mail: pierre-yves.jouan@univ-valenciennes.fr; Zadam, M. [Electronic Department, Badji Mokhtar University, BP12 Annaba (Algeria)

    2008-01-15

    This paper deals with experimental design applied to response surface methodology (RSM) in order to determine the influence of the discharge conditions on preferred c-axis orientation of sputtered AlN thin films. The thin films have been deposited by DC reactive magnetron sputtering on Si (1 0 0) substrates. The preferred orientation was evaluated using a conventional Bragg-Brentano X-ray diffractometer ({theta}-2{theta}) with the CuK{alpha} radiation. We have first determined the experimental domain for 3 parameters: sputtering pressure (2-6 mTorr), discharge current (312-438 mA) and nitrogen percentage (17-33%). For the setup of the experimental design we have used a three factors Doehlert matrix which allows the use of the statistical response surface methodology (RSM) in a spherical domain. A four dimensional surface response, which represents the (0 0 0 2) peak height as a function of sputtering pressure, discharge current and nitrogen percentage, was obtained. It has been found that the main interaction affecting the preferential c-axis orientation was the pressure-nitrogen percentage interaction. It has been proved that a Box-Cox transformation is a very useful method to interpret and discuss the experimental results and leads to predictions in good agreement with experiments.

  4. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  5. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  6. Ultra-Smooth ZnS Films Grown on Silicon via Pulsed Laser Deposition

    Science.gov (United States)

    Reidy, Christopher; Tate, Janet

    2011-10-01

    Ultra-smooth, high quality ZnS films were grown on (100) and (111) oriented Si wafers via pulsed laser deposition with a KrF excimer laser in UHV (10-9 Torr). The resultant films were examined with optical spectroscopy, electron diffraction, and electron probe microanalysis. The films have an rms roughness of ˜1.5 nm, and the film stoichiometry is approximately Zn:S :: 1:0.87. Additionally, each film exhibits an optical interference pattern which is not a function of probing location on the sample, indicating excellent film thickness uniformity. Motivation for high-quality ZnS films comes from a proposed experiment to measure carrier amplification via impact ionization at the boundary between a wide-gap and a narrow-gap semiconductor. If excited charge carriers in a sufficiently wide-gap harvester can be extracted into a narrow-gap host material, impact ionization may occur. We seek near-perfect interfaces between ZnS, with a direct gap between 3.3 and 3.7 eV, and Si, with an indirect gap of 1.1 eV.

  7. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  8. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  9. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  10. Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S. [Department of Physics, University School of Sciences, Gujarat University, Ahmedabad-380 009 (India)

    2016-05-23

    Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3}, while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.

  11. Adsorption properties of AlN on Si(111) surface: A density functional study

    Science.gov (United States)

    Yuan, Yinmei; Zuo, Ran; Mao, Keke; Tang, Binlong; Zhang, Zhou; Liu, Jun; Zhong, Tingting

    2018-04-01

    In the process of preparing GaN on Si substrate by MOCVD, an AlN buffer layer is very important. In this study, we conducted density functional theory calculations on the adsorption of AlN molecule on Si(111)-(2 × 2) surface, with the AlN molecule located horizontally or vertically above Si(111) surface at different adsorption sites. The calculations revealed that the lowest adsorption energy was at the N-top-Al-bridge site in the horizontal configuration, with the narrowest band gap, indicating that it was the most preferential adsorption growth status of AlN. In the vertical configurations, N adatom was more reactive and convenient to form bonds with the topmost Si atoms than Al adatom. When the N-end of the AlN molecule was located downward, the hollow site was the preferred adsorption site; when the Al-end was located downward, the bridge site was the most energetically favorable. Moreover, we investigated some electronic properties such as partial density of states, electron density difference, Mulliken populations, etc., revealing the microscale mechanism for AlN adsorption on Si(111) surface and providing theoretical support for adjusting the processing parameters during AlN or GaN production.

  12. First-principles study on stability, and growth strategies of small AlnZr (n=1-9) clusters

    Science.gov (United States)

    Li, Zhi; Zhou, Zhonghao; Wang, Hongbin; Li, Shengli; Zhao, Zhen

    2016-09-01

    The geometries, relative stability as well as growth strategies of the AlnZr (n=1-9) clusters are investigated with spin polarized density functional theory: BLYP. The results reveal that the AlnZr clusters are more likely to form the dense accumulation structures than the AlN (N=1-10) clusters. The average binding energies of AlnZr are higher than those of AlN clusters. The AlnZr (n=3, 5, and 7) clusters are more stable than others by the differences of the total binding energies. Mülliken population analysis for the AlnZr clusters shows that the electron's adsorption ability of Zr is slightly lower than that of Al except for AlZr cluster. Local peaks of the HOMO-LUMO gap curve are found at n=3, 5, and 7. The reaction energies of AlnZr are higher, which means that AlnZr clusters are easier to react with Al clusters. Zr atom preferential reacts with Al2 cluster. Local peaks of the magnetic dipole moments are found at n=2, 5, and 8.

  13. The properties of TiN ultra-thin films grown on SiO{sub 2} substrate by reactive high power impulse magnetron sputtering under various growth angles

    Energy Technology Data Exchange (ETDEWEB)

    Shayestehaminzadeh, S., E-mail: ses30@hi.is [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Tryggvason, T.K. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Karlsson, L. [School of Engineering and Science, Jacobs University Bremen, Campus Ring 1, 28759 Bremen (Germany); Olafsson, S. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Gudmundsson, J.T. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); University of Michigan-Shanghai Jiao Tong University, University Joint Institute, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 200240 (China)

    2013-12-02

    Thin TiN films were grown on SiO{sub 2} by reactive high power impulse magnetron sputtering (HiPIMS) and conventional dc magnetron sputtering (dcMS) while varying the angle between the target and the substrate surface from 0° (on-axis growth) to 90° (off-axis growth). Surface morphology and structural characterization were carried out using X-ray diffraction and reflection methods and the film properties were compared. The dcMS process shows higher growth rate than the HiPIMS process for on-axis grown films but the dcMS growth rate drops drastically for off-axis growth while the HiPIMS growth rate decreases slowly with increased angle between target and substrate for off-axis growth and becomes comparable to the dcMS growth rate. The dcMS grown films exhibit angle dependence in the density and surface roughness while the HiPIMS process creates denser and smoother films that are less angle dependent in all aspects. It was observed that the HiPIMS grown films remain poly-crystalline for all angles of rotation while the dcMS grown films are somewhat amorphous after 60°. The [111] and [200] grain sizes are comparable to the total film thickness in the HiPIMS grown films for all angles of rotation. In the case of dcMS, the [111], [200] and [220] grain sizes are roughly of the same size and much smaller than the total thickness for all growth angles except at 60° and higher. - Highlights: • TiN films were grown on SiO{sub 2} by HiPIMS and dcMS under various growth angles. • Influence of growth angle α = 0–90° on deposition rate and film quality was studied. • The HiPIMS process produces denser and smoother films for all growth angles. • At α = 0°, the growth rate of HiPIMS is 25% of dcMS while it is 50% at 90°. • The HiPIMS grown films remain poly-crystalline for all growth angles.

  14. Effect of plasma immersion on crystallinity of V2O5 film grown by dc reactive sputtering at room temperature

    International Nuclear Information System (INIS)

    Choi, Sun Hee; Kim, Joosun; Yoon, Young Soo

    2005-01-01

    Vanadium oxide thin films were grown at room temperature by direct current reactive sputtering. To investigate the effect of plasma immersion on the crystallinity of as-grown film, we immersed samples in plasma during the deposition process. X-ray diffraction (XRD) measurements show that as-deposited thin films immersed in plasma are crystalline, whereas those not immersed in the plasma are amorphous. Images taken with scanning electron microscopy show that the surface of films exposed to plasma have a different morphology to the surface of films not exposed to plasma. The Li-intercalation feature of as-deposited films immersed in plasma shows the typical behavior of crystalline vanadium oxide; such behavior is unsuitable for the cathode of thin film batteries (TFBs). These results indicate that direct current plasma promotes the growth of crystalline vanadium oxide films

  15. Biocompatibility of GaSb thin films grown by RF magnetron sputtering

    Science.gov (United States)

    Nishimoto, Naoki; Fujihara, Junko; Yoshino, Katsumi

    2017-07-01

    GaSb may be suitable for biological applications, such as cellular sensors and bio-medical instrumentation because of its low toxicity compared with As (III) compounds and its band gap energy. Therefore, the biocompatibility and the film properties under physiological conditions were investigated for GaSb thin films with or without a surface coating. GaSb thin films were grown on quartz substrates by RF magnetron sputtering, and then coated with (3-mercaptopropyl) trimethoxysilane (MPT). The electrical properties, surface morphology, and crystal structure of the GaSb thin film were unaffected by the MPT coating. The cell viability assay suggested that MPT-coated GaSb thin films are biocompatible. Bare GaSb was particularly unstable in pH9 buffer. Ga elution was prevented by the MPT coating, although the Ga concentration in the pH 9 buffer was higher than that in the other solutions. The surface morphology and crystal structure were not changed by exposure to the solutions, except for the pH 9 buffer, and the thin film properties of MPT-coated GaSb exposed to distilled water and H2O2 in saline were maintained. These results indicate that MPT-coated GaSb thin films are biocompatible and could be used for temporary biomedical devices.

  16. Hydrothermally synthesized PZT film grown in highly concentrated KOH solution with large electromechanical coupling coefficient for resonator

    Science.gov (United States)

    Feng, Guo-Hua; Lee, Kuan-Yi

    2017-12-01

    This paper presents a study of lead zirconate titanate (PZT) films hydrothermally grown on a dome-shaped titanium diaphragm. Few articles in the literature address the implementation of hydrothermal PZT films on curved-diaphragm substrates for resonators. In this study, a 50-μm-thick titanium sheet is embossed using balls of designed dimensions to shape a dome-shaped cavity array. Through single-process hydrothermal synthesis, PZT films are grown on both sides of the processed titanium diaphragm with good adhesion and uniformity. The hydrothermal synthesis process involves a high concentration of potassium hydroxide solution and excess amounts of lead acetate and zirconium oxychloride octahydrate. Varied deposition times and temperatures of PZT films are investigated. The grown films are characterized by X-ray diffraction and scanning electron microscopy. The 10-μm-thick PZT dome-shaped resonators with 60- and 20-μm-thick supporting layers are implemented and further tested. Results for both resonators indicate that large electromechanical coupling coefficients and a series resonance of 95 MHz from 14 MHz can be attained. The device is connected to a complementary metal-oxide-semiconductor integrated circuit for analysis of oscillator applications. The oscillator reaches a Q value of 6300 in air. The resonator exhibits a better sensing stability when loaded with water when compared with air.

  17. AlN nanoparticle-reinforced nanocrystalline Al matrix composites: Fabrication and mechanical properties

    International Nuclear Information System (INIS)

    Liu, Y.Q.; Cong, H.T.; Wang, W.; Sun, C.H.; Cheng, H.M.

    2009-01-01

    To improve the specific strength and stiffness of Al-based composites, AlN/Al nanoparticles were in-situ synthesized by arc plasma evaporation of Al in nitrogen atmosphere and consolidated by hot-pressing to fabricate AlN nanoparticle-reinforced nanocrystalline Al composites (0-39 vol.% AlN). Microstructure characterization shows that AlN nanoparticles homogeneously distribute in the matrix of Al nanocrystalline, which forms atomically bonded interfaces of AlN/Al. The hardness and the elastic modulus of the nanocomposite have been improved dramatically, up to 3.48 GPa and 142 GPa, respectively. Such improvement is believed to result from the grain refinement strengthening and the interface strengthening (load transfer) between the Al matrix and AlN nanoparticles

  18. Properties of CoSb{sub 3} films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Christen, H M; Mandrus, D G; Norton, D P; Boatner, L A; Sales, B C

    1997-07-01

    Polycrystalline CoSb{sub 3} films were grown on a variety of electrically insulating substrates by pulsed laser ablation from a stoichiometric hot-pressed target. These films are fully crystallized in the skutterudite structure, and the grains exhibit a strongly preferred alignment of the cubic [310]-axis perpendicular to the substrate surface. The film quality is studied for different single-crystal substrates and as a function of growth temperature and background gas. Hall measurements show that the films are p-type semiconducting with a room-temperature carrier density of 3 x 10{sup 20} holes/cm{sup 3}. The Hall mobility is found to be 50 to 60 cm{sup 2}/Vs, which is high for such a heavily-doped material. The Seebeck coefficient and the resistivity are measured as a function of temperature and are compared to bulk measurements.

  19. Understanding AlN Obtaining Through Computational Thermodynamics Combined with Experimental Investigation

    Science.gov (United States)

    Florea, R. M.

    2017-06-01

    Basic material concept, technology and some results of studies on aluminum matrix composite with dispersive aluminum nitride reinforcement was shown. Studied composites were manufactured by „in situ” technique. Aluminum nitride (AlN) has attracted large interest recently, because of its high thermal conductivity, good dielectric properties, high flexural strength, thermal expansion coefficient matches that of Si and its non-toxic nature, as a suitable material for hybrid integrated circuit substrates. AlMg alloys are the best matrix for AlN obtaining. Al2O3-AlMg, AlN-Al2O3, and AlN-AlMg binary diagrams were thermodynamically modelled. The obtained Gibbs free energies of components, solution parameters and stoichiometric phases were used to build a thermodynamic database of AlN- Al2O3-AlMg system. Obtaining of AlN with Liquid-phase of AlMg as matrix has been studied and compared with the thermodynamic results. The secondary phase microstructure has a significant effect on the final thermal conductivity of the obtained AlN. Thermodynamic modelling of AlN-Al2O3-AlMg system provided an important basis for understanding the obtaining behavior and interpreting the experimental results.

  20. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  1. Understanding the growth of micro and nano-crystalline AlN by thermal plasma process

    Science.gov (United States)

    Kanhe, Nilesh S.; Nawale, Ashok B.; Gawade, Rupesh L.; Puranik, Vedavati G.; Bhoraskar, Sudha V.; Das, Asoka K.; Mathe, Vikas L.

    2012-01-01

    We report the studies related to the growth of crystalline AlN in a DC thermal plasma reactor, operated by a transferred arc plasma torch. The reactor is capable of producing the nanoparticles of Al and AlN depending on the composition of the reacting gas. Al and AlN micro crystals are formed at the anode placed on the graphite and nano crystalline Al and AlN gets deposited on the inner surface of the plasma reactor. X-ray diffraction, Raman spectroscopy analysis, single crystal X-ray diffraction and TGA-DTA techniques are used to infer the purity of post process crystals as a hexagonal AlN. The average particle size using SEM was found to be around 30 μm. The morphology of nanoparticles of Al and AlN, nucleated by gas phase condensation in a homogeneous medium were studied by transmission electron microscopy analysis. The particle ranged in size between 15 and 80 nm in diameter. The possible growth mechanism of crystalline AlN at the anode has been explained on the basis of non-equilibrium processes in the core of the plasma and steep temperature gradient near its periphery. The gas phase species of AlN and various constituent were computed using Murphy code based on minimization of free energy. The process provides 50% yield of microcrystalline AlN and remaining of Al at anode and that of nanocrystalline h-AlN and c-Al collected from the walls of the chamber is about 33% and 67%, respectively.

  2. Characterization of carbon nanotubes grown on Fe70Pd30 film

    International Nuclear Information System (INIS)

    Khan, Zishan H.; Islam, S.S.; Kung, S.C.; Perng, T.P.; Khan, Samina; Tripathi, K.N.; Agarwal, Monika; Zulfequar, M.; Husain, M.

    2006-01-01

    Carbon nanotubes have been synthesized by a LPCVD on nanocrystalline Fe-Pd film. CNTs are grown for 30min and 1h respectively. From the SEM images, the diameter of these nanotubes varies from 40-80nm and the length is several micro-meter approximately. TEM observations suggest that the CNTs are multi-walled and the structure changes from ordinary geometry of CNTs to bamboo shaped. We have observed sharp G and D bands in the Raman spectra of these carbon nanotubes. Higher D-band is observed for the carbon nanotubes grown for longer time (1h), showing that these nanotubes contain more amorphous carbon. The field emission measurements for these CNTs are also performed. For CNTs grown for longer time (1h), a superior turn-on field of 4.88V/μm (when the current density achieves 10μA/cm 2 ) is obtained and a current density of 29.36mA/cm 2 can be generated at 9.59V/μm

  3. Substrates effect on Zn1-xMnxO thin films grown by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Elanchezhiyan, J.; Bhuvana, K.P.; Gopalakrishnan, N.; Balasubramanian, T.

    2008-01-01

    In this paper, we have presented the surface effect of the substrates on Mn doped ZnO (Zn 1-x Mn x O) thin films grown on Si(1 0 0) and sapphire [i.e. Al 2 O 3 (0 0 0 1)] by RF magnetron sputtering. These grown films have been characterized by X-ray diffraction (XRD), photoluminescence (PL) and vibrating sample magnetometer (VSM) to know its structural, optical and magnetic properties. All these properties have been found to be strongly influenced by the substrate surface on which the films have been deposited. The XRD results show that the Mn doped ZnO films deposited on Si(1 0 0) exhibit a polycrystalline nature whereas the films on sapphire substrate have only (0 0 2) preferential orientations indicating that the films are single crystalline. The studies of room temperature PL spectra reveal that the Zn 1-x Mn x O/Si(1 0 0) system is under severe compressive strain while the strain is almost relaxed in Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system. It has been observed from VSM studies that Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system shows ferromagnetic nature while the paramagnetic behaviour observed in Zn 1-x Mn x O/Si(1 0 0) system

  4. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  5. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  6. Photoluminescence properties of ZnO films grown on InP by thermally oxidizing metallic Zn films

    CERN Document Server

    Chen, S J; Zhang, J Y; Lu, Y M; Shen, D Z; Fan, X W

    2003-01-01

    Photoluminescence (PL) properties of ZnO films grown on (001) InP substrates by thermal oxidization of metallic Zn films, in which oxygen vacancies and interstitial Zn ions are compensated by P ions diffusing from (001) InP substrates, are investigated. X-ray diffraction spectra indicate that P ions have diffused into the Zn films and chemically combined with Zn ions to form Zn sub 3 P sub 2. Intense free exciton emission dominates the PL spectra of ZnO films with very weak deep-level emission. Low-temperature PL spectra at 79 K are dominated by neutral-donor bound exciton emission at 3.299 eV (I sub 4) with a linewidth of 17.3 meV and neutral-acceptor bound exciton emission at 3.264 eV. The free exciton emission increases with increasing temperature and eventually dominates the emission spectrum for temperature higher than 170 K. Furthermore, the visible emission around 2.3 eV correlated with oxygen deficiencies and interstitial Zn defects was quenched to a remarkable degree by P diffusing from InP substrate...

  7. Photoluminescence properties of ZnO films grown on InP by thermally oxidizing metallic Zn films

    International Nuclear Information System (INIS)

    Chen, S J; Liu, Y C; Zhang, J Y; Lu, Y M; Shen, D Z; Fan, X W

    2003-01-01

    Photoluminescence (PL) properties of ZnO films grown on (001) InP substrates by thermal oxidization of metallic Zn films, in which oxygen vacancies and interstitial Zn ions are compensated by P ions diffusing from (001) InP substrates, are investigated. X-ray diffraction spectra indicate that P ions have diffused into the Zn films and chemically combined with Zn ions to form Zn 3 P 2 . Intense free exciton emission dominates the PL spectra of ZnO films with very weak deep-level emission. Low-temperature PL spectra at 79 K are dominated by neutral-donor bound exciton emission at 3.299 eV (I 4 ) with a linewidth of 17.3 meV and neutral-acceptor bound exciton emission at 3.264 eV. The free exciton emission increases with increasing temperature and eventually dominates the emission spectrum for temperature higher than 170 K. Furthermore, the visible emission around 2.3 eV correlated with oxygen deficiencies and interstitial Zn defects was quenched to a remarkable degree by P diffusing from InP substrates

  8. Optical and structural properties of ZnO nanorods grown on graphene oxide and reduced graphene oxide film by hydrothermal method

    Energy Technology Data Exchange (ETDEWEB)

    Alver, U., E-mail: alver@ksu.edu.tr [Department of Physics, Kahramanmaras Sutcu Imam University, K. Maras 46100 (Turkey); Zhou, W.; Belay, A.B. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States); Krueger, R. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Davis, K.O.; Hickman, N.S. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States)

    2012-01-15

    ZnO nanorods were grown on graphene oxide (GO) and reduced graphene oxide (RGO) films with seed layers by using simple hydrothermal method. The GO films were deposited by spray coating and then annealed at 400 Degree-Sign C in argon atmosphere to obtain RGO films. The optical and structural properties of the ZnO nanorods were systematically studied by scanning electron microscopy (SEM), X-ray diffraction (XRD) and ultraviolet-visible spectroscopy. The XRD patterns and SEM images show that without a seed layer, no ZnO nanorod deposition occurs on GO or RGO films. Transmittance of ZnO nanorods grown on RGO films was measured to be approximately 83% at 550 nm. Furthermore, while transmittance of RGO films increases with ZnO nanorod deposition, transmittance of GO decreases.

  9. Hall effect thruster with an AlN chamber

    International Nuclear Information System (INIS)

    Barral, S.; Jayet, Y.; Mazouffre, S.; Veron, E.; Echegut, P.; Dudeck, M.

    2005-01-01

    The plasma discharge of a Hall-effect thruster (SPT) is strongly depending of the plasma-insulated wall interactions. These interactions are mainly related to the energy deposition, potential sheath effect and electron secondary emission rate (e.s.e.). In usual SPT, the annular channel is made of BN-SiO 2 . The SPT100-ML (laboratory model will be tested with an AlN chamber in the French test facility Pivoine in the laboratoire d'Aerothermique (Orleans-France). The different parameters such as discharge current, thrust, plasma oscillations and wall temperature will studied for several operating conditions. The results will be compared with a fluid model developed in IPPT (Warsaw-Poland) taking into account electron emission from the internal and external walls and using previous experimental measurements of e.s.e. for AlN from ONERA (Toulouse-France). The surface state of AlN will be analysed before and after experiments by an Environmental Scanning Electron Microscope and by a Strength Electron Microscope. (author)

  10. Highly stable hydrogenated gallium-doped zinc oxide thin films grown by DC magnetron sputtering using H2/Ar gas

    International Nuclear Information System (INIS)

    Takeda, Satoshi; Fukawa, Makoto

    2004-01-01

    The effects of water partial pressure (P H 2 O ) on electrical and optical properties of Ga-doped ZnO films grown by DC magnetron sputtering were investigated. With increasing P H 2 O , the resistivity (ρ) of the films grown in pure Ar gas (Ar-films) significantly increased due to the decrease in both free carrier density and Hall mobility. The transmittance in the wavelength region of 300-400 nm for the films also increased with increasing P H 2 O . However, no significant P H 2 O dependence of the electrical and optical properties was observed for the films grown in H 2 /Ar gas mixture (H 2 /Ar-films). Secondary ion mass spectrometry (SIMS) and X-ray diffraction (XRD) analysis revealed that hydrogen concentration in the Ar-films increased with increasing P H 2 O and grain size of the films decreases with increasing the hydrogen concentration. These results indicate that the origin of the incorporated hydrogen is attributed to the residual water vapor in the coating chamber, and that the variation of ρ and transmittance along with P H 2 O of the films resulted from the change in the grain size. On the contrary, the hydrogen concentration in H 2 /Ar-films was almost constant irrespective of P H 2 O and the degree of change in the grain size of the films versus P H 2 O was much smaller than that of Ar-films. These facts indicate that the hydrogen primarily comes from H 2 gas and the adsorption species due to H 2 gas preferentially adsorb to the growing film surface over residual water vapor. Consequently, the effects of P H 2 O on the crystal growth are reduced

  11. Surfactant effects of indium on cracking in AlN/GaN distributed Bragg reflectors grown via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Miller, C. M.; Korakakis, D.

    2011-01-01

    Aluminum Nitride (AlN) and Gallium Nitride (GaN) superlattice structures are often characterized by a network of cracks resulting from the large lattice mismatch and difference in thermal expansion coefficients, especially as the thickness of the layers increases. This work investigates the influence of indium as a surfactant on strain and cracking in AlN/GaN DBRs grown via Metal Organic Vapor Phase Epitaxy (MOVPE). DBRs with peak reflectivities ranging from 465 nm to 540 nm were grown and indium was introduced during the growth of the AlN layer. Image processing techniques were used to quantify the crack length per square millimeter and it was observed that indium has a significant effect on the crack formation and reduced the total crack length in these structures by a factor of two.

  12. Adsorption properties of Mg-Al layered double hydroxides thin films grown by laser based techniques

    Energy Technology Data Exchange (ETDEWEB)

    Matei, A., E-mail: andreeapurice@nipne.ro [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Birjega, R.; Vlad, A.; Filipescu, M.; Nedelcea, A.; Luculescu, C. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Zavoianu, R.; Pavel, O.D. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania); Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Laser techniques MAPLE and PLD can successfully be used to produce LDHs thin films. Black-Right-Pointing-Pointer Hydration treatments of the PLD and MAPLE deposited films lead to the LDH reconstruction effect. Black-Right-Pointing-Pointer The Ni retention from aqueous solution occurs in the films via a dissolution-reconstruction mechanism. Black-Right-Pointing-Pointer The films are suitable for applications in remediation of contaminated drinking water or waste waters. - Abstract: Powdered layered double hydroxides (LDHs) have been widely studied due to their applications as catalysts, anionic exchangers or host materials for inorganic and/or organic molecules. Assembling nano-sized LDHs onto flat solid substrates forming thin films is an expanding area of research due to the prospects of novel applications as sensors, corrosion-resistant coatings, components in optical and magnetic devices. Continuous and adherent thin films were grown by laser techniques (pulsed laser deposition - PLD and matrix assisted pulsed laser evaporation - MAPLE) starting from targets of Mg-Al LDHs. The capacity of the grown thin films to retain a metal (Ni) from contaminated water has been also explored. The thin films were immersed in an Ni(NO{sub 3}){sub 2} aqueous solutions with Ni concentrations of 10{sup -3}% (w/w) (1 g/L) and 10{sup -4}% (w/w) (0.1 g/L), respectively. X-ray diffraction (XRD), atomic force microscopy (AFM), scanning electron microscopy (SEM) combined with energy dispersive X-ray analysis (EDX) were the techniques used to characterize the prepared materials.

  13. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    Science.gov (United States)

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  14. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik

    2017-04-28

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  15. AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition.

    Science.gov (United States)

    Tzou, An-Jye; Chu, Kuo-Hsiung; Lin, I-Feng; Østreng, Erik; Fang, Yung-Sheng; Wu, Xiao-Peng; Wu, Bo-Wei; Shen, Chang-Hong; Shieh, Jia-Ming; Yeh, Wen-Kuan; Chang, Chun-Yen; Kuo, Hao-Chung

    2017-12-01

    We report a low current collapse GaN-based high electron mobility transistor (HEMT) with an excellent thermal stability at 150 °C. The AlN was grown by N 2 -based plasma enhanced atomic layer deposition (PEALD) and shown a refractive index of 1.94 at 633 nm of wavelength. Prior to deposit AlN on III-nitrides, the H 2 /NH 3 plasma pre-treatment led to remove the native gallium oxide. The X-ray photoelectron spectroscopy (XPS) spectroscopy confirmed that the native oxide can be effectively decomposed by hydrogen plasma. Following the in situ ALD-AlN passivation, the surface traps can be eliminated and corresponding to a 22.1% of current collapse with quiescent drain bias (V DSQ ) at 40 V. Furthermore, the high temperature measurement exhibited a shift-free threshold voltage (V th ), corresponding to a 40.2% of current collapse at 150 °C. The thermal stable HEMT enabled a breakdown voltage (BV) to 687 V at high temperature, promising a good thermal reliability under high power operation.

  16. AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Tzou, An-Jye; Chu, Kuo-Hsiung; Lin, I.-Feng; Østreng, Erik; Fang, Yung-Sheng; Wu, Xiao-Peng; Wu, Bo-Wei; Shen, Chang-Hong; Shieh, Jia-Ming; Yeh, Wen-Kuan; Chang, Chun-Yen; Kuo, Hao-Chung

    2017-04-01

    We report a low current collapse GaN-based high electron mobility transistor (HEMT) with an excellent thermal stability at 150 °C. The AlN was grown by N2-based plasma enhanced atomic layer deposition (PEALD) and shown a refractive index of 1.94 at 633 nm of wavelength. Prior to deposit AlN on III-nitrides, the H2/NH3 plasma pre-treatment led to remove the native gallium oxide. The X-ray photoelectron spectroscopy (XPS) spectroscopy confirmed that the native oxide can be effectively decomposed by hydrogen plasma. Following the in situ ALD-AlN passivation, the surface traps can be eliminated and corresponding to a 22.1% of current collapse with quiescent drain bias ( V DSQ) at 40 V. Furthermore, the high temperature measurement exhibited a shift-free threshold voltage ( V th), corresponding to a 40.2% of current collapse at 150 °C. The thermal stable HEMT enabled a breakdown voltage (BV) to 687 V at high temperature, promising a good thermal reliability under high power operation.

  17. Enhancing the piezoelectric properties of flexible hybrid AlN materials using semi-crystalline parylene

    Science.gov (United States)

    Jackson, Nathan; Mathewson, Alan

    2017-04-01

    Flexible piezoelectric materials are desired for numerous applications including biomedical, wearable, and flexible electronics. However, most flexible piezoelectric materials are not compatible with CMOS fabrication technology, which is desired for most MEMS applications. This paper reports on the development of a hybrid flexible piezoelectric material consisting of aluminium nitride (AlN) and a semi-crystalline polymer substrate. Various types of semi-crystalline parylene and polyimide materials were investigated as the polymer substrate. The crystallinity and surfaces of the polymer substrates were modified by micro-roughening and annealing in order to determine the effects on the AlN quality. The AlN crystallinity and piezoelectric properties decreased when the polymer surfaces were treated with O2 plasma. However, increasing the crystallinity of the parylene substrate prior to deposition of AlN caused enhanced c-axis (002) AlN crystallinity and piezoelectric response of the AlN. Piezoelectric properties of 200 °C annealed parylene-N substrate resulted in an AlN d 33 value of 4.87 pm V-1 compared to 2.17 pm V-1 for AlN on polyimide and 4.0 pm V-1 for unannealed AlN/parylene-N. The electrical response measurements to an applied force demonstrated that the parylene/AlN hybrid material had higher V pp (0.918 V) than commercial flexible piezoelectric material (PVDF) (V pp 0.36 V). The results in this paper demonstrate that the piezoelectric properties of a flexible AlN hybrid material can be enhanced by increasing the crystallinity of the polymer substrate, and the enhanced properties can function better than previous flexible piezoelectrics.

  18. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  19. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  20. Some studies on successive ionic layer adsorption and reaction (SILAR) grown indium sulphide thin films

    International Nuclear Information System (INIS)

    Pathan, H.M.; Lokhande, C.D.; Kulkarni, S.S.; Amalnerkar, D.P.; Seth, T.; Han, Sung-Hwan

    2005-01-01

    Indium sulphide (In 2 S 3 ) thin films were grown on amorphous glass substrate by the successive ionic layer adsorption and reaction (SILAR) method. X-ray diffraction, optical absorption, scanning electron microscopy (SEM) and Rutherford back scattering (RBS) were applied to study the structural, optical, surface morphological and compositional properties of the indium sulphide thin films. Utilization of triethanolamine and hydrazine hydrate complexed indium sulphate and sodium sulphide as precursors resulted in nanocrystalline In 2 S 3 thin film. The optical band gap was found to be 2.7 eV. The film appeared to be smooth and homogeneous from SEM study

  1. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  2. Influence of laser pulse frequency on the microstructure of aluminum nitride thin films synthesized by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Antonova, K., E-mail: krasa@issp.bas.bg [Institute of Solid State Physics, Bulgarian Academy of Sciences, Tzarigradsko Chaussee 72, Sofia 1784 (Bulgaria); Duta, L. [National Institute for Lasers, Plasma, and Radiation Physics, 409 Atomistilor Street, 077125 Magurele (Romania); Szekeres, A. [Institute of Solid State Physics, Bulgarian Academy of Sciences, Tzarigradsko Chaussee 72, Sofia 1784 (Bulgaria); Stan, G.E. [National Institute of Materials Physics, 105 bis Atomistilor Street, 077125 Magurele (Romania); Mihailescu, I.N. [National Institute for Lasers, Plasma, and Radiation Physics, 409 Atomistilor Street, 077125 Magurele (Romania); Anastasescu, M.; Stroescu, H.; Gartner, M. [Institute of Physical Chemistry, “Ilie Murgulescu”, Romanian Academy, 202 Splaiul Independentei, 060021 Bucharest (Romania)

    2017-02-01

    Highlights: • Study of pulsed laser deposited AlN films at different laser pulse frequencies. • Higher laser pulse frequency promotes nanocrystallites formation at temperature 450 °C. • AFM and GIXRD detect randomly oriented wurtzite AlN structures. • Characterization of the nanocrystallites’ orientation by FTIR reflectance spectra. • Berreman effect is registered in p-polarised radiation at large incidence angles. - Abstract: Aluminum Nitride (AlN) thin films were synthesized on Si (100) wafers at 450 °C by pulsed laser deposition. A polycrystalline AlN target was multipulsed irradiated in a nitrogen ambient, at different laser pulse repetition rate. Grazing Incidence X-Ray Diffraction and Atomic Force Microscopy analyses evidenced nanocrystallites with a hexagonal lattice in the amorphous AlN matrix. The thickness and optical constants of the layers were determined by infrared spectroscopic ellipsometry. The optical properties were studied by Fourier Transform Infrared reflectance spectroscopy in polarised oblique incidence radiation. Berreman effect was observed around the longitudinal phonon modes of the crystalline AlN component. Angular dependence of the A{sub 1}LO mode frequency was analysed and connected to the orientation of the particles’ optical axis to the substrate surface normal. The role of the laser pulse frequency on the layers’ properties is discussed on this basis.

  3. On compensation in Si-doped AlN

    Science.gov (United States)

    Harris, Joshua S.; Baker, Jonathon N.; Gaddy, Benjamin E.; Bryan, Isaac; Bryan, Zachary; Mirrielees, Kelsey J.; Reddy, Pramod; Collazo, Ramón; Sitar, Zlatko; Irving, Douglas L.

    2018-04-01

    Controllable n-type doping over wide ranges of carrier concentrations in AlN, or Al-rich AlGaN, is critical to realizing next-generation applications in high-power electronics and deep UV light sources. Silicon is not a hydrogenic donor in AlN as it is in GaN; despite this, the carrier concentration should be controllable, albeit less efficiently, by increasing the donor concentration during growth. At low doping levels, an increase in the Si content leads to a commensurate increase in free electrons. Problematically, this trend does not persist to higher doping levels. In fact, a further increase in the Si concentration leads to a decrease in free electron concentration; this is commonly referred to as the compensation knee. While the nature of this decrease has been attributed to a variety of compensating defects, the mechanism and identity of the predominant defects associated with the knee have not been conclusively determined. Density functional theory calculations using hybrid exchange-correlation functionals have identified VAl+n SiAl complexes as central to mechanistically understanding compensation in the high Si limit in AlN, while secondary impurities and vacancies tend to dominate compensation in the low Si limit. The formation energies and optical signatures of these defects in AlN are calculated and utilized in a grand canonical charge balance solver to identify carrier concentrations as a function of Si content. The results were found to qualitatively reproduce the experimentally observed compensation knee. Furthermore, these calculations predict a shift in the optical emissions present in the high and low doping limits, which is confirmed with detailed photoluminescence measurements.

  4. Persistent photocurrent and deep level traps in PLD-grown In-Ga-Zn-O thin films studied by thermally stimulated current spectroscopy

    Science.gov (United States)

    Wang, Buguo; Anders, Jason; Leedy, Kevin; Schuette, Michael; Look, David

    2018-02-01

    InGaZnO (IGZO) is a promising semiconductor material for thin-film transistors (TFTs) used in DC and RF switching applications, especially since it can be grown at low temperatures on a wide variety of substrates. Enhancement-mode TFTs based on IGZO thin films grown by pulsed laser deposition (PLD) have been recently fabricated and these transistors show excellent performance; however, compositional variations and defects can adversely affect film quality, especially in regard to electrical properties. In this study, we use thermally stimulated current (TSC) spectroscopy to characterize the electrical properties and the deep traps in PLD-grown IGZO thin films. It was found that the as-grown sample has a DC activation energy of 0.62 eV, and two major traps with activation energies at 0.16-0.26 eV and at 0.90 eV. However, a strong persistent photocurrent (PPC) sometimes exists in the as-grown sample, so we carry out post-growth annealing in an attempt to mitigate the effect. It was found that annealing in argon increases the conduction, produces more PPC and also makes more traps observable. Annealing in air makes the film more resistive, and removes PPC and all traps but one. This work demonstrates that current-based trap emission, such as that associated with the TSC, can effectively reveal electronic defects in highlyresistive semiconductor materials, especially those are not amenable to capacitance-based techniques, such as deeplevel transient spectroscopy (DLTS).

  5. The impact of electrode materials on 1/f noise in piezoelectric AlN contour mode resonators

    Science.gov (United States)

    Kim, Hoe Joon; Jung, Soon In; Segovia-Fernandez, Jeronimo; Piazza, Gianluca

    2018-05-01

    This paper presents a detailed analysis on the impact of electrode materials and dimensions on flicker frequency (1/f) noise in piezoelectric aluminum nitride (AlN) contour mode resonators (CMRs). Flicker frequency noise is a fundamental noise mechanism present in any vibrating mechanical structure, whose sources are not generally well understood. 1 GHz AlN CMRs with three different top electrode materials (Al, Au, and Pt) along with various electrode lengths and widths are fabricated to control the overall damping acting on the device. Specifically, the use of different electrode materials allows control of thermoelastic damping (TED), which is the dominant damping mechanism for high frequency AlN CMRs and largely depends on the thermal properties (i.e. thermal diffusivities and expansion coefficients) of the metal electrode rather than the piezoelectric film. We have measured Q and 1/f noise of 68 resonators and the results show that 1/f noise decreases with increasing Q, with a power law dependence that is about 1/Q4. Interestingly, the noise level also depends on the type of electrode materials. Devices with Pt top electrode demonstrate the best noise performance. Our results help unveiling some of the sources of 1/f noise in these resonators, and indicate that a careful selection of the electrode material and dimensions could reduce 1/f noise not only in AlN-CMRs, but also in various classes of resonators, and thus enable ultra-low noise mechanical resonators for sensing and radio frequency applications.

  6. Electron-diffraction and spectroscopical characterisation of ultrathin ZnS films grown by molecular beam epitaxy on GaP(0 0 1)

    International Nuclear Information System (INIS)

    Zhang, L.; Szargan, R.; Chasse, T.

    2004-01-01

    ZnS films were grown by molecular beam epitaxy employing a single compound effusion cell on GaP(0 0 1) substrate at different temperatures, and characterised by means of low energy electron diffraction, X-ray and ultra-violet photoelectron spectroscopy, angle-resolved ultra-violet photoelectron spectroscopy and X-ray emission spectroscopy. The GaP(0 0 1) substrate exhibits a (4x2) reconstruction after Ar ion sputtering and annealing at 370 deg. C. Crystal quality of the ZnS films depends on both film thickness and growth temperature. Thinner films grown at higher temperatures and thicker films grown at lower temperatures have better crystal quality. The layer-by-layer growth mode of the ZnS films at lower (25, 80 and 100 deg. C) temperatures changes to layer-by-layer-plus-island mode at higher temperatures (120, 150 and 180 deg. C). A chemical reaction takes place and is confined to the interface. The valence band offset of the ZnS-GaP heterojunction was determined to be 0.8±0.1 eV. Sulphur L 2,3 emission spectra of ZnS powder raw material and the epitaxial ZnS films display the same features, regardless of the existence of the Ga-S bonding in the film samples

  7. Synthesis and characterization of straight and stacked-sheet AlN nanowires with high purity

    International Nuclear Information System (INIS)

    Lei, M.; Yang, H.; Li, P.G.; Tang, W.H.

    2008-01-01

    Large-scale AlN nanowires with hexagonal crystal structure were synthesized by the direct nitridation method at high temperatures. The experimental results indicate that these single-crystalline AlN nanowires have high purity and consist of straight and stacked-sheet nanowires. It is found that straight AlN nanowire grows along [1, 1, -2, 0] direction, whereas the stacked-sheet nanowire with hexagonal cross section is along [0 0 0 1] direction. It is thought that vapor-solid (VS) mechanism should be responsible for the growth of AlN nanowires

  8. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  9. Investigation of AgInS2 thin films grown by coevaporation

    Science.gov (United States)

    Arredondo, C. A.; Clavijo, J.; Gordillo, G.

    2009-05-01

    AgInS2 thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS2 phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS2 films present p-type conductivity, a high absorption coefficient (greater than 104 cm-1) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  10. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  11. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  12. Sb-related defects in Sb-doped ZnO thin film grown by pulsed laser deposition

    Science.gov (United States)

    Luo, Caiqin; Ho, Lok-Ping; Azad, Fahad; Anwand, Wolfgang; Butterling, Maik; Wagner, Andreas; Kuznetsov, Andrej; Zhu, Hai; Su, Shichen; Ling, Francis Chi-Chung

    2018-04-01

    Sb-doped ZnO films were fabricated on c-plane sapphire using the pulsed laser deposition method and characterized by Hall effect measurement, X-ray photoelectron spectroscopy, X-ray diffraction, photoluminescence, and positron annihilation spectroscopy. Systematic studies on the growth conditions with different Sb composition, oxygen pressure, and post-growth annealing were conducted. If the Sb doping concentration is lower than the threshold ˜8 × 1020 cm-3, the as-grown films grown with an appropriate oxygen pressure could be n˜4 × 1020 cm-3. The shallow donor was attributed to the SbZn related defect. Annealing these samples led to the formation of the SbZn-2VZn shallow acceptor which subsequently compensated for the free carrier. For samples with Sb concentration exceeding the threshold, the yielded as-grown samples were highly resistive. X-ray diffraction results showed that the Sb dopant occupied the O site rather than the Zn site as the Sb doping exceeded the threshold, whereas the SbO related deep acceptor was responsible for the high resistivity of the samples.

  13. Surface plasmon resonances of Ag-Au alloy nanoparticle films grown by sequential pulsed laser deposition at different compositions and temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Verma, Shweta, E-mail: shwetaverma@rrcat.gov.in; Rao, B. T.; Detty, A. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Ganesan, V.; Phase, D. M. [UGC-DAE Consortium for Scientific Research, Indore 452 001 (India); Rai, S. K. [Indus Synchrotons Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Bose, A.; Joshi, S. C. [Proton Linac and Superconducting Cavities Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2015-04-07

    We studied localized surface plasmon resonances (LSPR) at different compositions, substrate temperatures, and mass thicknesses of Ag-Au alloy nanoparticle films grown by sequential pulsed laser deposition. The LSPRs were pronounced at all compositions of the films grown at high substrate temperature of about 300 °C as compared to those grown at room temperature. The alloy formation and composition of the films were determined using X-ray photoelectron and energy dispersive spectroscopy. Films' mass thickness and compositional uniformity along the thickness were determined using X-ray reflectometry and secondary ion mass spectroscopy. Atomic force microscopic analysis revealed the formation of densely packed nanoparticles of increasing size with the number of laser ablation pulses. The LSPR wavelength red shifted with increasing either Au percentage or film mass thickness and corresponding LSPR tuning was obtained in the range of 450 to 690 nm. The alloy dielectric functions obtained from three different models were compared and the optical responses of the nanoparticle films were calculated from modified Yamaguchi effective medium theory. The tuning of LSPR was found to be due to combined effect of change in intrinsic and extrinsic parameters mainly the composition, morphology, particle-particle, and particle-substrate interactions.

  14. Coating of Si3N4 fine particles with AlN by fluidized bed-CVD; Ryudoso CVD ho ni yoru Si3N4 biryushi no AlN hifuku

    Energy Technology Data Exchange (ETDEWEB)

    Chiba, S.; Oyama, Y. [Hokkaido National Industrial Research Institute, Sapporo (Japan); Harima, K.; Kondo, K.; Shinohara, K. [Hokkaido University, Sapporo (Japan)

    1996-03-10

    Agglomerates of 100-250 {mu}m consisting of Si3N4 primary particles of 0.76 {mu}m were made with a rotary vibrating sieve. Si3N4 fine particles were coated with AlN by gas phase reaction with AlCl3 and NH3 in some fluidized beds of the agglomerates. The cross sectional distribution of AlN in the agglomerate was measured by EPMA analysis. As a result, uniform deposition of AlN was obtained at a relatively low reaction temperature and low gas velocity. 4 refs., 3 figs.

  15. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  16. A Novel Fully Depleted Air AlN Silicon-on-Insulator Metal-Oxide-Semiconductor Field Effect Transistor

    International Nuclear Information System (INIS)

    Yuan, Yang; Yong, Gao; Peng-Liang, Gong

    2008-01-01

    A novel fully depleted air AlN silicon-on-insulator (SOI) metal-oxide-semiconductor field effect transistor (MOS-FET) is presented, which can eliminate the self-heating effect and solve the problem that the off-state current of SOI MOSFETs increases and the threshold voltage characteristics become worse when employing a high thermal conductivity material as a buried layer. The simulation results reveal that the lattice temperature in normal SOI devices is 75 K higher than the atmosphere temperature, while the lattice temperature is just 4K higher than the atmosphere temperature resulting in less severe self-heating effect in air AlN SOI MOSFETs and AlN SOI MOSFETs. The on-state current of air AlN SOI MOSFETs is similar to the AlN SOI structure, and improves 12.3% more than that of normal SOI MOSFETs. The off-state current of AlN SOI is 6.7 times of normal SOI MOSFETs, while the counterpart of air AlN SOI MOSFETs is lower than that of SOI MOSFETs by two orders of magnitude. The threshold voltage change of air AlN SOI MOSFETs with different drain voltage is much less than that of AlN SOI devices, when the drain voltage is biased at 0.8 V, this difference is 28mV, so the threshold voltage change induced by employing high thermal conductivity material is cured. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  17. Electrical resistivity of CuAlMo thin films grown at room temperature by dc magnetron sputtering

    OpenAIRE

    Birkett, Martin; Penlington, Roger

    2016-01-01

    We report on the thickness dependence of electrical resistivity of CuAlMo films grown by dc magnetron sputtering on glass substrates at room temperature. The electrical resistance of the films was monitored in situ during their growth in the thickness range 10–1000 nm. By theoretically modelling the evolution of resistivity during growth we were able to gain an insight into the dominant electrical conduction mechanisms with increasing film thickness. For thicknesses in the range 10–25 nm the ...

  18. Band alignment of HfO{sub 2}/AlN heterojunction investigated by X-ray photoelectron spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ye, Gang [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Wang, Hong, E-mail: ewanghong@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); CNRS-International-NTU-THALES Research Alliances/UMI 3288, 50 Nanyang Drive, Singapore 637553 (Singapore); Ji, Rong [Data Storage Institute, Agency for Science Technology and Research (A-STAR), Singapore 117608 (Singapore)

    2016-04-18

    The band alignment between AlN and Atomic-Layer-Deposited (ALD) HfO{sub 2} was determined by X-ray photoelectron spectroscopy (XPS). The shift of Al 2p core-levels to lower binding energies with the decrease of take-off angles θ indicated upward band bending occurred at the AlN surface. Based on the angle-resolved XPS measurements combined with numerical calculations, valence band discontinuity ΔE{sub V} of 0.4 ± 0.2 eV at HfO{sub 2}/AlN interface was determined by taking AlN surface band bending into account. By taking the band gap of HfO{sub 2} and AlN as 5.8 eV and 6.2 eV, respectively, a type-II band line-up was found between HfO{sub 2} and AlN.

  19. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  20. Structural, morphological and mechanical properties of niobium nitride thin films grown by ion and electron beams emanated from plasma

    Science.gov (United States)

    Siddiqui, Jamil; Hussain, Tousif; Ahmad, Riaz; Umar, Zeeshan A.; Abdus Samad, Ubair

    2016-05-01

    The influence of variation in plasma deposition parameters on the structural, morphological and mechanical characteristics of the niobium nitride films grown by plasma-emanated ion and electron beams are investigated. Crystallographic investigation made by X-ray diffractometer shows that the film synthesized at 10 cm axial distance with 15 plasma focus shots (PFS) exhibits better crystallinity when compared to the other deposition conditions. Morphological analysis made by scanning electron microscope reveals a definite granular pattern composed of homogeneously distributed nano-spheroids grown as clustered particles for the film synthesized at 10 cm axial distance for 15 PFS. Roughness analysis demonstrates higher rms roughness for the films synthesized at shorter axial distance and by greater number of PFS. Maximum niobium atomic percentage (35.8) and maximum average hardness (19.4 ± 0.4 GPa) characterized by energy-dispersive spectroscopy and nano-hardness analyzer respectively are observed for film synthesized at 10 cm axial distance with 15 PFS.

  1. Structural and magnetic properties of SmCo-based magnetic films grown by electron-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, P., E-mail: psdrdo@gmail.com [Defence Metallurgical Research Laboratory, Hyderabad 500058 (India); Vinod, V.T.P.; Černík, Miroslav [Institute for Nanomaterials, Advanced Technologies and Innovation, Department of Natural Sciences, Technical University of Liberec, Studentská 1402/2, Liberec 1, 461 17 (Czech Republic); Vishnuraj, R.; Arout Chelvane, J.; Kamat, S.V. [Defence Metallurgical Research Laboratory, Hyderabad 500058 (India); Hsu, Jen-Hwa, E-mail: jhhsu@phys.ntu.edu.tw [Department of Physics, National Taiwan University, Taipei 106, Taiwan (China)

    2015-07-01

    Sub-micron thick Sm–Co films (200 and 300 nm) with selective phase composition are grown on Si (100) substrates by electron-beam evaporation using Sm-lean alloy targets such as Sm{sub 4}Co{sub 96} and Sm{sub 8}Co{sub 92}. The structural and magnetic properties of Sm–Co films are characterized by x-ray diffraction (XRD), field-emission scanning electron microscopy (FESEM) and super-conducting quantum interference device (SQUID) magnetometer. The Sm–Co films obtained with the Sm{sub 4}Co{sub 96} target exhibit Sm{sub 2}Co{sub 17} as a prominent phase; while the films produced with the Sm{sub 8}Co{sub 92} target show Sm{sub 2}Co{sub 7} as a major phase. Both the Sm–Co films reveal granular morphology; however, the estimated grain size values are slightly lower in the case of Sm{sub 2}Co{sub 7} films, irrespective of their thicknesses. Coercivity (H{sub c}) values of 1.48 and 0.9 kOe are achieved for the as-grown 200-nm thick Sm{sub 2}Co{sub 17} and Sm{sub 2}Co{sub 7}-films. Temperature-dependent magnetization studies confirm that the demagnetization behaviors of these films are consistent with respect to the identified phase composition. Upon rapid thermal annealing, maximum H{sub c} value of 8.4 kOe is achieved for the 200 nm thick Sm{sub 2}Co{sub 17}-films. As far as e-beam evaporated Sm–Co films are concerned, this H{sub c} value is one of the best values reported so far. - Highlights: • Electron-beam evaporation was exploited to grow sub-μm thick Sm–Co films. • Sm{sub 2}Co{sub 7} and Sm{sub 2}Co{sub 17} magnetic phases were crystallized using Sm-lean alloy targets. • Both 200 and 300-nm thick Sm–Co films revealed distinct granular morphology. • Sm–Co films of lower thickness exhibited high H{sub c} and low M{sub s} and vice-versa. • Coercivity value of 8.4 kOe achieved for the 200-nm thick Sm{sub 2}Co{sub 17}-films after RTA.

  2. Oxidation behaviour of a Ti2AlN MAX-phase coating

    International Nuclear Information System (INIS)

    Wang Qimin; Kim, Kwangho; Garkas, W; Renteria, A Flores; Leyens, C; Sun Chao

    2011-01-01

    In this paper, we reported the oxidation behaviour of Ti 2 AlN coatings on a -TiAl substrate. The coatings composed mainly of Ti 2 AlN MAX phase were obtained by magnetron sputtering and subsequent vacuum annealing. Isothermal oxidation tests at 700-900 deg. C were performed in air. The results indicated that the oxidation resistance of the -TiAl alloy can be improved by depositing a Ti 2 AlN layer on the alloy surface, especially at high temperatures. An Al-rich oxide scale formed on the coating surfaces during oxidation. This scale acts as diffusion barrier blocking the ingress of oxidation, and effectively protects the coated alloys from further oxidation attack.

  3. Magnetic and structural properties of Co2FeAl thin films grown on Si substrate

    International Nuclear Information System (INIS)

    Belmeguenai, Mohamed; Tuzcuoglu, Hanife; Gabor, Mihai; Petrisor, Traian; Tiusan, Coriolan; Berling, Dominique; Zighem, Fatih; Mourad Chérif, Salim

    2015-01-01

    The correlation between magnetic and structural properties of Co 2 FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO 2 substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm 2 . - Highlights: • Various Co 2 FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness

  4. The impact of electrode materials on 1/f noise in piezoelectric AlN contour mode resonators

    Directory of Open Access Journals (Sweden)

    Hoe Joon Kim

    2018-05-01

    Full Text Available This paper presents a detailed analysis on the impact of electrode materials and dimensions on flicker frequency (1/f noise in piezoelectric aluminum nitride (AlN contour mode resonators (CMRs. Flicker frequency noise is a fundamental noise mechanism present in any vibrating mechanical structure, whose sources are not generally well understood. 1 GHz AlN CMRs with three different top electrode materials (Al, Au, and Pt along with various electrode lengths and widths are fabricated to control the overall damping acting on the device. Specifically, the use of different electrode materials allows control of thermoelastic damping (TED, which is the dominant damping mechanism for high frequency AlN CMRs and largely depends on the thermal properties (i.e. thermal diffusivities and expansion coefficients of the metal electrode rather than the piezoelectric film. We have measured Q and 1/f noise of 68 resonators and the results show that 1/f noise decreases with increasing Q, with a power law dependence that is about 1/Q4. Interestingly, the noise level also depends on the type of electrode materials. Devices with Pt top electrode demonstrate the best noise performance. Our results help unveiling some of the sources of 1/f noise in these resonators, and indicate that a careful selection of the electrode material and dimensions could reduce 1/f noise not only in AlN-CMRs, but also in various classes of resonators, and thus enable ultra-low noise mechanical resonators for sensing and radio frequency applications.

  5. Dielectric properties of thin C r2O3 films grown on elemental and oxide metallic substrates

    Science.gov (United States)

    Mahmood, Ather; Street, Michael; Echtenkamp, Will; Kwan, Chun Pui; Bird, Jonathan P.; Binek, Christian

    2018-04-01

    In an attempt to optimize leakage characteristics of α-C r2O3 thin films, its dielectric properties were investigated at local and macroscopic scale. The films were grown on Pd(111), Pt(111), and V2O3 (0001), supported on A l2O3 substrate. The local conductivity was measured by conductive atomic force microscopy mapping of C r2O3 surfaces, which revealed the nature of defects that formed conducting paths with the bottom Pd or Pt layer. A strong correlation was found between these electrical defects and the grain boundaries revealed in the corresponding topographic scans. In comparison, the C r2O3 film on V2O3 exhibited no leakage paths at similar tip bias value. Electrical resistance measurements through e-beam patterned top electrodes confirmed the resistivity mismatch between the films grown on different electrodes. The x-ray analysis attributes this difference to the twin free C r2O3 growth on V2O3 seeding.

  6. Structural, morphological and electronic properties of pulsed laser grown Eu2O3 thin films

    Science.gov (United States)

    Kumar, Sandeep; Prakash, Ram; Choudhary, R. J.; Phase, D. M.

    2018-05-01

    Herein, we report the growth, structural, morphological and electronic properties of Europium sesquioxide (Eu2O3) thin films on Si [1 0 0] substrate using pulsed laser deposition technique. The films were deposited at ˜750 °C substrate temperature while the oxygen partial pressure (OPP) was varied (vacuum,˜1 mTorr, ˜10 mTorr and ˜300 mTorr). X-ray diffraction results confirm the single phase cubic structure of the film grown at ˜300 mTorr. The XRD results are also supported by the Raman's spectroscopy results. Eu-3d XPS core level spectra confirms the dominant contributions from the "3+" states of Eu in the film.

  7. Local heteroepitaxy as an adhesion mechanism in aluminium coatings cold gas sprayed on AlN substrates

    International Nuclear Information System (INIS)

    Wüstefeld, Christina; Rafaja, David; Motylenko, Mykhaylo; Ullrich, Christiane; Drehmann, Rico; Grund, Thomas; Lampke, Thomas; Wielage, Bernhard

    2017-01-01

    Cold gas sprayed Al coatings deposited onto wurtzitic AlN substrates show excellent adhesion. As a possible adhesion mechanism, the local heteroepitaxy between Al and AlN was considered and verified experimentally in Al coatings, which were deposited using magnetron sputtering or cold gas spraying on single-crystalline and polycrystalline AlN substrates. Analysis of the local orientation relationships at the Al/AlN interfaces revealed that preferentially such lattice planes of Al align parallel with the upright lattice planes of AlN, which possess similar interplanar distances. The matching lattice planes in the Al coatings grew as continuations of the lattice planes in the AlN substrates. In all samples under study, the parallel alignment of the lattice planes {220}_A_l and {110}_A_l_N was found. Additional orientation relationships between Al and AlN arose if parallel lattice planes with similar interplanar spacing could be found in both counterparts via rotation of the lattice planes {220}_A_l around their normal direction. Still, the oriented growth of Al on AlN is only possible if Al atoms in the deposited coatings are mobile enough to rearrange along the AlN surface. Whereas the mobility of Al atoms in a magnetron sputtering process is expected to be sufficiently high, the intrinsic mobility of Al atoms in the cold gas sprayed particles is anticipated to be low. However, the auxiliary microstructure analyses have shown that local recrystallization and partial melting are two phenomena, which can facilitate the rearrangement of Al atoms within the cold gas sprayed coating.

  8. Nonradiative recombination in GaN quantum dots formed in the AlN matrix

    International Nuclear Information System (INIS)

    Aleksandrov, I. A.; Zhuravlev, K. S.; Mansurov, V. G.

    2009-01-01

    The mechanisms of temperature quenching of steady-state photoluminescence are studied for structures with hexagonal GaN quantum dots embedded in the AlN matrix. The structures are grown by molecular beam epitaxy. The study is conducted for structures with differently sized quantum dots, for which the peak of the photoluminescence band is in the range from 2.5 to 4.0 eV. It is found that the activation energy of thermal quenching of photoluminescence varies from 27 to 110 meV, as the quantum-dot height is decreased from 5 to 2 nm. A model is suggested to interpret the results. According to the model, the photo-luminescence signal is quenched because of the transfer of charge carriers from energy levels in the quantum dots to defect levels in the matrix.

  9. Synthesis of aluminum nitride films by plasma immersion ion implantation-deposition using hybrid gas-metal cathodic arc gun

    International Nuclear Information System (INIS)

    Shen Liru; Fu, Ricky K.Y.; Chu, Paul K.

    2004-01-01

    Aluminum nitride (AlN) is of interest in the industry because of its excellent electronic, optical, acoustic, thermal, and mechanical properties. In this work, aluminum nitride films are deposited on silicon wafers (100) by metal plasma immersion ion implantation and deposition (PIIID) using a modified hybrid gas-metal cathodic arc plasma source and with no intentional heating to the substrate. The mixed metal and gaseous plasma is generated by feeding the gas into the arc discharge region. The deposition rate is found to mainly depend on the Al ion flux from the cathodic arc source and is only slightly affected by the N 2 flow rate. The AlN films fabricated by this method exhibit a cubic crystalline microstructure with stable and low internal stress. The surface of the AlN films is quite smooth with the surface roughness on the order of 1/2 nm as determined by atomic force microscopy, homogeneous, and continuous, and the dense granular microstructures give rise to good adhesion with the substrate. The N to Al ratio increases with the bias voltage applied to the substrates. A fairly large amount of O originating from the residual vacuum is found in the samples with low N:Al ratios, but a high bias reduces the oxygen concentration. The compositions, microstructures and crystal states of the deposited films are quite stable and remain unchanged after annealing at 800 deg. C for 1 h. Our hybrid gas-metal source cathodic arc source delivers better AlN thin films than conventional PIIID employing dual plasmas

  10. AlScN thin film based surface acoustic wave devices with enhanced microfluidic performance

    International Nuclear Information System (INIS)

    Wang, W B; Xuan, W P; Chen, J K; Wang, X Z; Luo, J K; Fu, Y Q; Chen, J J; Duan, P F; Mayrhofer, P; Bittner, A; Schmid, U

    2016-01-01

    This paper reports the characterization of scandium aluminum nitride (Al 1−xS c xN , x   =  27%) films and discusses surface acoustic wave (SAW) devices based on them. Both AlScN and AlN films were deposited on silicon by sputtering and possessed columnar microstructures with (0 0 0 2) crystal orientation. The AlScN/Si SAW devices showed improved electromechanical coupling coefficients ( K 2 , ∼2%) compared with pure AlN films (<0.5%). The performance of the two types of devices was also investigated and compared, using acoustofluidics as an example. The AlScN/Si SAW devices achieved much lower threshold powers for the acoustic streaming and pumping of liquid droplets, and the acoustic streaming and pumping velocities were 2  ×  and 3  ×  those of the AlN/Si SAW devices, respectively. Mechanical characterization showed that the Young’s modulus and hardness of the AlN film decreased significantly when Sc was doped, and this was responsible for the decreased acoustic velocity and resonant frequency, and the increased temperature coefficient of frequency, of the AlScN SAW devices. (paper)

  11. The structure and composition of lithium fluoride films grown by off-axis pulsed laser ablation

    International Nuclear Information System (INIS)

    Henley, S.J.; Ashfold, M.N.R.; Pearce, S.R.J.

    2003-01-01

    Alkali halide coatings have been reported to act as effective dipole layers to lower the surface work function and induce a negative electron affinity of diamond surfaces. Here, the results of the analysis of films grown on silicon and quartz substrates by 193 nm pulsed laser ablation from a commercially available sintered disk of LiF are reported. The morphology, composition and crystallinity of films grown are examined and suitable deposition parameters for optimising the growth are suggested. The ablation was shown to be very efficient at removing a large amount of material from the target, even at relatively low fluence. The morphology of the films produced was poor, however, with a high density of asperities categorised as either particulates produced by exfoliation, or as droplets produced by hydrodynamic sputtering. An improved morphology with smaller droplets and fewer particulates could be produced by mounting the substrate at an angle of 65 deg. to the axis of the ablation plume and using a fluence close to the measured ablation threshold of 1.2±0.1 J/cm 2 . The elemental composition of the films was shown to be indistinguishable from that of bulk LiF, despite evidence for significant recondensation of Li back onto the target. Films containing crystal grains oriented with the direction normal to the substrate surface were observed at substrate temperatures in excess of 300 deg. C. An improved extent of orientation was observed on the quartz substrates

  12. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  13. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  14. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  15. Arsenic doped p-type zinc oxide films grown by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Fan, J. C.; Zhu, C. Y.; Fung, S.; To, C. K.; Yang, B.; Beling, C. D.; Ling, C. C.; Zhong, Y. C.; Wong, K. S.; Xie, Z.; Brauer, G.; Skorupa, W.; Anwand, W.

    2009-01-01

    As-doped ZnO films were grown by the radio frequency magnetron sputtering method. As the substrate temperature during growth was raised above ∼400 deg. C, the films changed from n type to p type. Hole concentration and mobility of ∼6x10 17 cm -3 and ∼6 cm 2 V -1 s -1 were achieved. The ZnO films were studied by secondary ion mass spectroscopy, x-ray photoelectron spectroscopy (XPS), low temperature photoluminescence (PL), and positron annihilation spectroscopy (PAS). The results were consistent with the As Zn -2V Zn shallow acceptor model proposed by Limpijumnong et al. [Phys. Rev. Lett. 92, 155504 (2004)]. The results of the XPS, PL, PAS, and thermal studies lead us to suggest a comprehensive picture of the As-related shallow acceptor formation.

  16. Arsenic doped p-type zinc oxide films grown by radio frequency magnetron sputtering

    Science.gov (United States)

    Fan, J. C.; Zhu, C. Y.; Fung, S.; Zhong, Y. C.; Wong, K. S.; Xie, Z.; Brauer, G.; Anwand, W.; Skorupa, W.; To, C. K.; Yang, B.; Beling, C. D.; Ling, C. C.

    2009-10-01

    As-doped ZnO films were grown by the radio frequency magnetron sputtering method. As the substrate temperature during growth was raised above ˜400 °C, the films changed from n type to p type. Hole concentration and mobility of ˜6×1017 cm-3 and ˜6 cm2 V-1 s-1 were achieved. The ZnO films were studied by secondary ion mass spectroscopy, x-ray photoelectron spectroscopy (XPS), low temperature photoluminescence (PL), and positron annihilation spectroscopy (PAS). The results were consistent with the AsZn-2VZn shallow acceptor model proposed by Limpijumnong et al. [Phys. Rev. Lett. 92, 155504 (2004)]. The results of the XPS, PL, PAS, and thermal studies lead us to suggest a comprehensive picture of the As-related shallow acceptor formation.

  17. Reduction of Defects on Microstructure Aluminium Nitride Using High Temperature Annealing Heat Treatment

    Science.gov (United States)

    Tanasta, Z.; Muhamad, P.; Kuwano, N.; Norfazrina, H. M. Y.; Unuh, M. H.

    2018-03-01

    Aluminium Nitride (AlN) is a ceramic 111-nitride material that is used widely as components in functional devices. Besides good thermal conductivity, it also has a high band gap in emitting light which is 6 eV. AlN thin film is grown on the sapphire substrate (0001). However, lattice mismatch between both materials has caused defects to exist along the microstructure of AlN thin films. The defects have affected the properties of Aluminium Nitride. Annealing heat treatment has been proved by the previous researcher to be the best method to improve the microstructure of Aluminium Nitride thin films. Hence, this method is applied at four different temperatures for two hour. The changes of Aluminium Nitride microstructures before and after annealing is observed using Transmission Electron Microscope. It is observed that inversion domains start to occur at temperature of 1500 °C. Convergent Beam Electron Diffraction pattern simulation has confirmed the defects as inversion domain. Therefore, this paper is about to extract the matters occurred during the process of producing high quality Aluminium Nitride thin films and the ways to overcome this problem.

  18. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  19. Preparation and characterization of epitaxially grown unsupported yttria-stabilized zirconia (YSZ) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Götsch, Thomas; Mayr, Lukas [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Stöger-Pollach, Michael [University Service Center for Transmission Electron Microscopy (USTEM), Vienna University of Technology, A-1040 Vienna (Austria); Klötzer, Bernhard [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Penner, Simon, E-mail: simon.penner@uibk.ac.at [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria)

    2015-03-15

    Highlights: • Preparation of unsupported yttrium-stabilized zirconia films. • Control of ordering and epitaxy by temperature of deposition template. • Adjustment of film defectivity by deposition and post-oxidation temperature. • Reproducibility of target stoichiometry in the deposited films. • Lateral and vertical chemical homogeneity. - Abstract: Epitaxially grown, chemically homogeneous yttria-stabilized zirconia thin films (“YSZ”, 8 mol% Y{sub 2}O{sub 3}) are prepared by direct-current sputtering onto a single-crystalline NaCl(0 0 1) template at substrate temperatures ≥493 K, resulting in unsupported YSZ films after floating off NaCl in water. A combined methodological approach by dedicated (surface science) analytical characterization tools (transmission electron microscopy and diffraction, atomic force microscopy, angle-resolved X-ray photoelectron spectroscopy) reveals that the film grows mainly in a [0 0 1] zone axis and no Y-enrichment in surface or bulk regions takes place. In fact, the Y-content of the sputter target is preserved in the thin films. Analysis of the plasmon region in EEL spectra indicates a defective nature of the as-deposited films, which can be suppressed by post-deposition oxidation at 1073 K. This, however, induces considerable sintering, as deduced from surface morphology measurements by AFM. In due course, the so-prepared unsupported YSZ films might act as well-defined model systems also for technological applications.

  20. Low-relaxation spin waves in laser-molecular-beam epitaxy grown nanosized yttrium iron garnet films

    Energy Technology Data Exchange (ETDEWEB)

    Lutsev, L. V., E-mail: l-lutsev@mail.ru; Korovin, A. M.; Bursian, V. E.; Gastev, S. V.; Fedorov, V. V.; Suturin, S. M.; Sokolov, N. S. [Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2016-05-02

    Synthesis of nanosized yttrium iron garnet (Y{sub 3}Fe{sub 5}O{sub 12}, YIG) films followed by the study of ferromagnetic resonance (FMR) and spin wave propagation in these films is reported. The YIG films were grown on gadolinium gallium garnet substrates by laser molecular beam epitaxy. It has been shown that spin waves propagating in YIG deposited at 700 °C have low damping. At the frequency of 3.29 GHz, the spin-wave damping parameter is less than 3.6 × 10{sup −5}. Magnetic inhomogeneities of the YIG films give the main contribution to the FMR linewidth. The contribution of the relaxation processes to the FMR linewidth is as low as 1.2%.

  1. α Fe2O3 films grown by the spin-on sol-gel deposition method

    International Nuclear Information System (INIS)

    Avila G, A.; Carbajal F, G.; Tiburcio S, A.; Barrera C, E.; Andrade I, E.

    2003-01-01

    α-Fe 2 O 3 polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  2. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  3. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  4. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  5. Electrical and piezoelectric properties of BiFeO3 thin films grown on SrxCa1−xRuO3-buffered SrTiO3 substrates

    KAUST Repository

    Yao, Yingbang

    2012-06-01

    (001)-oriented BiFeO 3 (BFO) thin films were grown on Sr xCa 1-xRuO 3- (SCRO; x = 1, 0.67, 0.33, 0) buffered SrTiO 3 (001) substrates using pulsed laser deposition. The microstructural, electrical, ferroelectric, and piezoelectric properties of the thin films were considerably affected by the buffer layers. The interface between the BFO films and the SCRO-buffer layer was found to play a dominant role in determining the electrical and piezoelectric behaviors of the films. We found that films grown on SrRuO 3-buffer layers exhibited minimal electrical leakage while films grown on Sr 0.33Ca 0.67RuO 3-buffer layers had the largest piezoelectric response. The origin of this difference is discussed. © 2012 American Institute of Physics.

  6. Effects of AlN on the densification and mechanical properties of pressureless-sintered SiC ceramics

    Directory of Open Access Journals (Sweden)

    Qisong Li

    2016-02-01

    Full Text Available In the present work, SiC ceramics was fabricated with AlN using B4C and C as sintering aids by a solid-state pressureless-sintered method. The effects of AlN contents on the densification, mechanical properties, phase compositions, and microstructure evolutions of as-obtained SiC ceramics were thoroughly investigated. AlN was found to promote further densification of the SiC ceramics due to its evaporation over 1800 °C, transportation, and solidification in the pores resulted from SiC grain coarsening. The highest relative density of 99.65% was achieved for SiC sample with 15.0 wt% AlN by the pressureless-sintered method at 2130 °C for 1 h in Ar atmosphere. Furthermore, the fracture mechanism for SiC ceramics containing AlN tended to transfer from single transgranular fracture mode to both transgranular fracture and intergranular fracture modes when the sample with 30.0 wt% AlN sintered at 1900 °C for 1 h in Ar. Also, SiC ceramics with 30.0 wt% AlN exhibited the highest fracture toughness of 5.23 MPa m1/2 when sintered at 1900 °C.

  7. An AlN cantilever for a wake-up switch triggered by air pressure change

    International Nuclear Information System (INIS)

    Kaiho, Y; Itoh, T; Maeda, R; Takahashi, H; Matsumoto, K; Shimoyama, I; Tomimatsu, Y; Kobayashi, T

    2013-01-01

    This research reports an AlN cantilever with an air chamber for a wake-up switch triggered by air pressure change. The proposed sensor is designed to fulfil both high sensitivity and low power consumption. By combining an air chamber to the one side of the AlN cantilever surface, the barometric pressure change generates a piezoelectric voltage. Thus, a wake-up switch triggered by air pressure change can be achieved using an AlN cantilever. The size of the fabricated AlN cantilever was 2000 μm × 1000 μm × 2 μm. The sensitivity to static differential pressure was 11.5 mV/Pa at the range of −20 Pa to 20 Pa. We evaluated the response of the sensor, which was composed of the AlN cantilever and the chamber of 60 ml in volume, when air pressure change was applied. The output voltage increased with increasing the applied air pressure change. It was observed that the maximum output voltage of 50 mV was generated when the air pressure change was 13 Pa

  8. Photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films grown by plasma-assisted dual source reactive evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, M., E-mail: alizadeh_kozerash@yahoo.com; Ganesh, V.; Pandikumar, A.; Goh, B.T.; Azianty, S.; Huang, N.M.; Rahman, S.A., E-mail: saadah@um.edu.my

    2016-06-15

    In this work the dependence of photoelectrochemical (PEC) behavior of Al{sub x}In{sub 1−x}N (0.48 ≤x ≤ 0.66) thin films grown by plasma-assisted dual source reactive evaporation, on the plasma dynamics and the alloys properties was studied. The influence of nitrogen flow rate on the compositional, morphological, structural and optical properties of the as-prepared films were investigated using X-ray photoelectron spectroscopy (XPS), Field emission scanning electron microscopy (FESEM), micro Raman spectroscopy and UV–vis spectroscopy. The PEC study of the as-grown Al{sub x}In{sub 1−x}N thin films targeted for water splitting application were performed in the presence of simulated solar irradiation of AM 1.5G (100 mW/cm{sup 2}). The PEC results revealed that the photocurrent for the Al{sub x}In{sub 1−x}N thin film grown at nitrogen flow rate of 80 sccm is ∼10-fold higher than the dark current. From the Mott–Schottky (MS) plots it was deduced that by increasing N{sub 2} flow rate up to 80 sccm, the flat band potential shifts toward more negative values. The good photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films showed that this material could be a potential candidate for PEC water splitting. - Highlights: • Al{sub x}In{sub 1−x}N films were grown by Plasma-aided dual source reactive evaporation. • Effect of nitrogen flow rate on the films properties was investigated. • The band gap of the films decreased from 2.33 to 1.92 eV. • A good photoelectrochemical behavior of the Al{sub x}In{sub 1−x}N thin films was shown. • The photocurrent for the Al{sub 0.55}In{sub 0.45}N films is ∼10-fold higher than dark current.

  9. Use of ion beam techniques to characterize thin plasma grown GaAs and GaAlAs oxide films

    International Nuclear Information System (INIS)

    Kauffman, R.L.; Feldman, L.C.; Chang, R.P.H.

    1978-01-01

    Thin plasma grown films of GaAs oxides and GaAlAs oxides have been analyzed using the combined techniques of Rutherford backscattering, ion-induced X-rays, and nuclear resonance profiling. The stoichiometries of the films have been quantitatively determined and can be combined with other Auger profiling results to characterize the films. The ion-induced X-ray technique has been checked against other measurements to determine its accuracy. For uniform films such as these the X-ray measurements can provide accurate quantitative results. (Auth.)

  10. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko; Haggren, Tuomas; Lipsanen, Harri [Department of Micro- and Nanosciences, Micronova, Aalto University, P.O. Box 13500, FI-00076 (Finland); Naureen, Shagufta; Shahid, Naeem [Research School of Physics & Engineering, Department of Electronic Materials Engineering, Australian National University, Canberra ACT 2601 (Australia); Jiang, Hua; Kauppinen, Esko [Department of Applied Physics and Nanomicroscopy Center, Aalto University, P.O. Box 15100, FI-00076 (Finland); Srinivasan, Anand [School of Information and Communication Technology, KTH Royal Institute of Technology, Electrum 229, S-164 40 Kista (Sweden)

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  11. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Veer Dhaka

    2016-01-01

    Full Text Available Low temperature (∼200 °C grown atomic layer deposition (ALD films of AlN, TiN, Al2O3, GaN, and TiO2 were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP nanowires (NWs, and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL at low temperatures (15K, and the best passivation was achieved with a few monolayer thick (2Å film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL was achieved with a capping of 2nm thick Al2O3. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al2O3 layer increased the carrier decay time from 251 ps (as-etched nanopillars to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al2O3 provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  12. Co{sub 2}FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, M., E-mail: belmeguenai.mohamed@univ-paris13.fr; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P. [LSPM (CNRS-UPR 3407), 99 avenue Jean-Baptiste Clément, Université Paris 13, 93430 Villetaneuse (France); Gabor, M. S., E-mail: mihai.gabor@phys.utcluj.ro; Petrisor, T. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Tiusan, C. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F–54506 Vandoeuvre (France)

    2014-01-28

    10 nm and 50 nm Co{sub 2}FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T{sub a}), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T{sub a}, while the uniaxial anisotropy field is nearly unaffected by T{sub a} within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T{sub a}. Finally, the FMR linewidth decreases when increasing T{sub a}, due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10{sup −3} and 1.3×10{sup −3} for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  13. Valence and conduction band offsets of β-Ga2O3/AlN heterojunction

    Science.gov (United States)

    Sun, Haiding; Torres Castanedo, C. G.; Liu, Kaikai; Li, Kuang-Hui; Guo, Wenzhe; Lin, Ronghui; Liu, Xinwei; Li, Jingtao; Li, Xiaohang

    2017-10-01

    Both β-Ga2O3 and wurtzite AlN have wide bandgaps of 4.5-4.9 and 6.1 eV, respectively. We calculated the in-plane lattice mismatch between the (-201) plane of β-Ga2O3 and the (0002) plane of AlN, which was found to be 2.4%. This is the smallest mismatch between β-Ga2O3 and binary III-nitrides which is beneficial for the formation of a high quality β-Ga2O3/AlN heterojunction. However, the valence and conduction band offsets (VBO and CBO) at the β-Ga2O3/AlN heterojunction have not yet been identified. In this study, a very thin (less than 2 nm) β-Ga2O3 layer was deposited on an AlN/sapphire template to form the heterojunction by pulsed laser deposition. High-resolution X-ray photoelectron spectroscopy revealed the core-level (CL) binding energies of Ga 3d and Al 2p with respect to the valence band maximum in individual β-Ga2O3 and AlN layers, respectively. The separation between Ga 3d and Al 2p CLs at the β-Ga2O3/AlN interface was also measured. Eventually, the VBO was found to be -0.55 ± 0.05 eV. Consequently, a staggered-gap (type II) heterojunction with a CBO of -1.75 ± 0.05 eV was determined. The identification of the band alignment of the β-Ga2O3/AlN heterojunction could facilitate the design of optical and electronic devices based on these and related alloys.

  14. Microstructural and magnetic properties of L10 FePt-C (0 0 1) textured nanocomposite films grown on different intermediate layers

    International Nuclear Information System (INIS)

    Chen, J S; Chow, G M; Lim, B C; Hu, J F; Ding, Y F; Ju, G

    2008-01-01

    The FePt : C films with different volume fractions of carbon and different thicknesses were epitaxially grown on a CrRu(2 0 0) underlayer with Pt and MgO intermediate layers. The magnetic properties and microstructure of these FePt : C films were investigated. The FePt : C films grown on the Pt intermediate layer consisted of a continuous layer of FePt, with overlying granular FePt grains, while the FePt : C films grown on the MgO intermediate layer consisted of granular FePt : C layers with overlying granular grains. The formation of the overlying granular FePt grains was attributed to carbon diffusion to the surface which resulted in the second nucleation of FePt. The different interface energies and surface energies of FePt on Pt and MgO intermediate layers caused the formation of an initial continuous FePt layer on the Pt intermediate layer and initial granular FePt layers on the MgO intermediate layer. The coupling between the continuous FePt layer or the granular FePt layer and the overlying granular FePt grains resulted in simultaneous magnetization reversal and thus strong exchange coupling in FePt : C films.

  15. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  16. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  17. Magnetic and structural properties of Co{sub 2}FeAl thin films grown on Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, Mohamed, E-mail: belmeguenai.mohamed@univ-paris13.fr [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Tuzcuoglu, Hanife [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Gabor, Mihai; Petrisor, Traian [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Tiusan, Coriolan [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F-54506 Vandoeuvre (France); Berling, Dominique [IS2M (CNRS-LRC 7228), 15 rue Jean Starcky, Université de Haute-Alsace, BP 2488, 68057 Mulhouse-Cedex (France); Zighem, Fatih; Mourad Chérif, Salim [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France)

    2015-01-01

    The correlation between magnetic and structural properties of Co{sub 2}FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO{sub 2} substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm{sup 2}. - Highlights: • Various Co{sub 2}FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness.

  18. Oxidation behaviour of a Ti{sub 2}AlN MAX-phase coating

    Energy Technology Data Exchange (ETDEWEB)

    Wang Qimin; Kim, Kwangho [National Core Research Center for Hybrid Materials Solution, Pusan National University, Busan 609-735 (Korea, Republic of); Garkas, W; Renteria, A Flores [Chair of Physical Metallurgy and Materials Technology, Technical University of Brandenburg at Cottbus, 03046 Cottbus (Germany); Leyens, C [Institute of Materials Science, Technical University of Dresden, Helmholtzstrasse 7, 01069 Dresden (Germany); Sun Chao, E-mail: qmwang@pusan.ac.kr, E-mail: kwhokim@pusan.ac.kr [Division of Surface Engineering of Materials, Institute of Metal Research, Chinese Academy of Sciences, Shenyang 110016 (China)

    2011-10-29

    In this paper, we reported the oxidation behaviour of Ti{sub 2}AlN coatings on a -TiAl substrate. The coatings composed mainly of Ti{sub 2}AlN MAX phase were obtained by magnetron sputtering and subsequent vacuum annealing. Isothermal oxidation tests at 700-900 deg. C were performed in air. The results indicated that the oxidation resistance of the -TiAl alloy can be improved by depositing a Ti{sub 2}AlN layer on the alloy surface, especially at high temperatures. An Al-rich oxide scale formed on the coating surfaces during oxidation. This scale acts as diffusion barrier blocking the ingress of oxidation, and effectively protects the coated alloys from further oxidation attack.

  19. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  20. Structural, microstructural and transport properties study of lanthanum lithium titanium perovskite thin films grown by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Maqueda, O.; Sauvage, F.; Laffont, L.; Martinez-Sarrion, M.L.; Mestres, L.; Baudrin, E.

    2008-01-01

    Lanthanum lithium titanate thin films were grown by Pulsed Laser Deposition. La 0.57 Li 0.29 TiO 3 dense films with smooth surfaces were obtained after optimization of the growth parameters. Such films deposited at 700 deg. C under 15 Pa are nano-crystalline with domains corresponding to the cubic and tetragonal modifications of this phase. In relation to the measured conductivities/activation energy and to previous works, we clearly underlined that the films of practical interest, prepared at relatively low temperature, are predominantly formed from the tetragonal ordered phase

  1. Structural and optical properties of pentacene films grown on differently oriented ZnO surfaces

    International Nuclear Information System (INIS)

    El Helou, M; Lietke, E; Helzel, J; Heimbrodt, W; Witte, G

    2012-01-01

    Pentacene films have been grown on two polar zinc oxide surfaces, i.e., ZnO(0001) and ZnO(0 0 0 1-bar ), as well as on the mixed-terminated ZnO(1 0 1-bar 0) and are characterized by means of atomic force microscopy (AFM), x-ray diffraction (XRD), and thermal desorption spectroscopy (TDS). In all cases, pentacene aggregates in an upright orientation without any evidence for the formation of an interface stabilized wetting layer. Additional films deposited on a highly-defective, oxygen-depleted ZnO(0 0 0 1-bar ) reveal no altered growth mode. Nearly identical optical absorption spectra have been measured for all films, thus corroborating a weak molecule-substrate interaction. Upon cooling, however, a slightly different relaxation behavior could be resolved for pentacene films on polar ZnO surfaces compared to pentacene on the mixed-terminated ZnO(1 0 1-bar 0) surface.

  2. Investigation of AgInS{sub 2} thin films grown by coevaporation

    Energy Technology Data Exchange (ETDEWEB)

    Arredondo, C A; Gordillo, G [Departamento de Fisica, Universidad Nacional de Colombia, Bogota (Colombia); J, Clavijo, E-mail: caarredondoo@unal.edu.c, E-mail: ggordillog@unal.edu.c [Departamento de Quimica, Universidad Nacional de Colombia, Bogota, Cr.30 N0 45-03 (Colombia)

    2009-05-01

    AgInS{sub 2} thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS{sub 2} phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS{sub 2} films present p-type conductivity, a high absorption coefficient (greater than 10{sub 4} cm{sub -1}) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  3. Multiple delta doping of single crystal cubic boron nitride films heteroepitaxially grown on (001)diamonds

    Science.gov (United States)

    Yin, H.; Ziemann, P.

    2014-06-01

    Phase pure cubic boron nitride (c-BN) films have been epitaxially grown on (001) diamond substrates at 900 °C. The n-type doping of c-BN epitaxial films relies on the sequential growth of nominally undoped (p-) and Si doped (n-) layers with well-controlled thickness (down to several nanometer range) in the concept of multiple delta doping. The existence of nominally undoped c-BN overgrowth separates the Si doped layers, preventing Si dopant segregation that was observed for continuously doped epitaxial c-BN films. This strategy allows doping of c-BN films can be scaled up to multiple numbers of doped layers through atomic level control of the interface in the future electronic devices. Enhanced electronic transport properties with higher hall mobility (102 cm2/V s) have been demonstrated at room temperature as compared to the normally continuously Si doped c-BN films.

  4. Bonding structure and morphology of chromium oxide films grown by pulsed-DC reactive magnetron sputter deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gago, R., E-mail: rgago@icmm.csic.es [Instituto de Ciencia de Materiales de Madrid, Consejo Superior de Investigaciones Científicas, E-28049 Madrid (Spain); Vinnichenko, M. [Fraunhofer-Institut für Keramische Technologien und Systeme IKTS, D-01277 Dresden (Germany); Hübner, R. [Helmholtz-Zentrum Dresden – Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany); Redondo-Cubero, A. [Departamento de Física Aplicada and Centro de Microanálisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain)

    2016-07-05

    Chromium oxide (CrO{sub x}) thin films were grown by pulsed-DC reactive magnetron sputter deposition in an Ar/O{sub 2} discharge as a function of the O{sub 2} fraction in the gas mixture (ƒ) and for substrate temperatures, T{sub s}, up to 450 °C. The samples were analysed by Rutherford backscattering spectrometry (RBS), spectroscopic ellipsometry (SE), atomic force microscopy (AFM), scanning (SEM) and transmission (TEM) electron microscopy, X-ray diffraction (XRD), and X-ray absorption near-edge structure (XANES). On unheated substrates, by increasing ƒ the growth rate is higher and the O/Cr ratio (x) rises from ∼2 up to ∼2.5. Inversely, by increasing T{sub s} the atomic incorporation rate drops and x falls to ∼1.8. XRD shows that samples grown on unheated substrates are amorphous and that nanocrystalline Cr{sub 2}O{sub 3} (x = 1.5) is formed by increasing T{sub s}. In amorphous CrO{sub x}, XANES reveals the presence of multiple Cr environments that indicate the growth of mixed-valence oxides, with progressive promotion of hexavalent states with ƒ. XANES data also confirms the formation of single-phase nanocrystalline Cr{sub 2}O{sub 3} at elevated T{sub s}. These structural changes also reflect on the optical and morphological properties of the films. - Highlights: • XANES of CrO{sub x} thin films grown by pulsed-DC reactive magnetron sputtering. • Identification of mixed-valence amorphous CrO{sub x} oxides on unheated substrates. • Promotion of amorphous chromic acid (Cr{sup VI}) by increasing O{sub 2} partial pressure. • Production of single-phase Cr{sub 2}O{sub 3} films by increasing substrate temperature. • Correlation of bonding structure with morphological and optical properties.

  5. Valence and conduction band offsets of β-Ga2O3/AlN heterojunction

    KAUST Repository

    Sun, Haiding

    2017-10-16

    Both β-Ga2O3 and wurtzite AlN have wide bandgaps of 4.5–4.9 and 6.1 eV, respectively. We calculated the in-plane lattice mismatch between the (−201) plane of β-Ga2O3 and the (0002) plane of AlN, which was found to be 2.4%. This is the smallest mismatch between β-Ga2O3 and binary III-nitrides which is beneficial for the formation of a high quality β-Ga2O3/AlN heterojunction. However, the valence and conduction band offsets (VBO and CBO) at the β-Ga2O3/AlN heterojunction have not yet been identified. In this study, a very thin (less than 2 nm) β-Ga2O3 layer was deposited on an AlN/sapphire template to form the heterojunction by pulsed laser deposition. High-resolution X-ray photoelectron spectroscopy revealed the core-level (CL) binding energies of Ga 3d and Al 2p with respect to the valence band maximum in individual β-Ga2O3 and AlN layers, respectively. The separation between Ga 3d and Al 2p CLs at the β-Ga2O3/AlN interface was also measured. Eventually, the VBO was found to be −0.55 ± 0.05 eV. Consequently, a staggered-gap (type II) heterojunction with a CBO of −1.75 ± 0.05 eV was determined. The identification of the band alignment of the β-Ga2O3/AlN heterojunction could facilitate the design of optical and electronic devices based on these and related alloys.

  6. Ion implantation of Cd and Ag into AlN and GaN

    CERN Document Server

    Miranda, Sérgio M C; Correia, João Guilherme; Vianden, Reiner; Johnston, Karl; Alves, Eduardo; Lorenz, Katharina

    2012-01-01

    GaN and AlN thin films were implanted with cadmium (Cd) or silver (Ag), to fluences ranging from 1×1013 to 1.7 × 1015 at/cm$^{2}$. The implanted samples were annealed at 950 ºC under flowing nitrogen. While implantation damage could be fully removed for the lowest fluences, for higher fluences the crystal quality was only partially recovered. For the high fluence samples the lattice site location of the ions was studied by Rutherford Backscattering/ channelling (RBS/C). Cd ions are found to be incorporated in substitutional cation sites (Al or Ga) while Ag is slightly displaced from this position. To further investigate the incorporation sites, Perturbed Angular Correlation (PAC) measurements were performed and the electric field gradients at the site of the probe nuclei were determined.

  7. Factors that determine the presence of particles in YBCO films grown by PLD

    International Nuclear Information System (INIS)

    Barrales-Guadarrama, V R; Rodríguez-Rodríguez, E M; Barrales-Guadarrama, R; Reyes Ayala, N

    2017-01-01

    The method of growing thin films PLD, is widely used in applications and possesses great potential in thin YBa 2 Cu 3 O 7-δ films production with outstanding physical properties. However, it is limited in nano and micro technology due to the presence of particles on the surface of the films. This article describes some causes that create these particles. YBa 2 Cu 3 O 7-δ films have been grown on electrolytic copper used as a variable model the distance target-substrate. The effects are studied through Scanning Electronic Microscopy. It is observed particles with a large variety of shapes and distributions. The results show that ranging the target-substrate distance, the superficial morphology is modified. An evidence of it, is that the evaporation of d B-S = 7 cm, is more coherent that d B-S = 3 cm. Therefore, exist a relation between the morphology and the parameters of growing. Also affect, the structural change that exists among the substrate and the film formation, the substrate preparation and it must not be monocrystalline, these factors define a kinetic and a mechanism of growing that promotes a heterogeneous nucleation. (paper)

  8. Investigation of in-pile grown corrosion films on zirconium-based alloys

    International Nuclear Information System (INIS)

    Gebhardt, O.; Hermann, A.; Bart, G.; Blank, H.; Ray, I.L.F.

    1996-01-01

    In-pile grown corrosion films on different fuel rod claddings (standard Zircaloy-4, extra low tin Zircaloy (ELS), and Zr2.5Nb) have been studied using a variety of experimental techniques. The aim of the investigations was to find out common features and differences between the corrosion layers grown on zirconium alloys having different composition. Methods applied were scanning and transmission electron microscopy (SEM, TEM), electrochemical impedance spectroscopy (EIS), and electrochemical anodization. The morphological differences have been observed between the specimens that could explain the irradiation enhancement of corrosion of Zircaloy-4. The features of the compact oxide close to the oxide/metal interface have been characterized by electrochemical methods. The relationship between the thickness of this protective oxide and the overall oxide thickness has been investigated by EIS. It was found that this relation is dependent on the location of the oxide along the fuel rod and on the corrosion rate

  9. Characterization for rbs of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide

    International Nuclear Information System (INIS)

    Pedrero, E.; Vigil, E.; Zumeta, I.

    1999-01-01

    The depth of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide was characterized using Rutherford Backscattering Spectrometry. Film depths are compared in function of bath and suspension parameters

  10. Tantalum films with well-controlled roughness grown by oblique incidence deposition

    Science.gov (United States)

    Rechendorff, K.; Hovgaard, M. B.; Chevallier, J.; Foss, M.; Besenbacher, F.

    2005-08-01

    We have investigated how tantalum films with well-controlled surface roughness can be grown by e-gun evaporation with oblique angle of incidence between the evaporation flux and the surface normal. Due to a more pronounced shadowing effect the root-mean-square roughness increases from about 2 to 33 nm as grazing incidence is approached. The exponent, characterizing the scaling of the root-mean-square roughness with length scale (α), varies from 0.75 to 0.93, and a clear correlation is found between the angle of incidence and root-mean-square roughness.

  11. Preparation and structural properties of YBCO films grown on GaN/c-sapphire hexagonal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chromik, S., E-mail: stefan.chromik@savba.sk [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Gierlowski, P. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Spankova, M.; Dobrocka, E.; Vavra, I.; Strbik, V.; Lalinsky, T.; Sojkova, M. [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Liday, J.; Vogrincic, P. [Department of Microelectronics, Slovak Technical University, Ilkovicova 3, 81219 Bratislava (Slovakia); Espinos, J.P. [Instituto de Ciencia de Materiales de Sevilla, Avda Americo Vespucio 49, 41092 Sevilla (Spain)

    2010-07-01

    Epitaxial YBCO thin films have been grown on hexagonal GaN/c-sapphire substrates using DC magnetron sputtering and pulsed laser deposition. An MgO buffer layer has been inserted between the substrate and the YBCO film as a diffusion barrier. X-ray diffraction analysis indicates a c-axis oriented growth of the YBCO films. {Phi}-scan shows surprisingly twelve maxima. Transmission electron microscopy analyses confirm an epitaxial growth of the YBCO blocks with a superposition of three a-b YBCO planes rotated by 120 deg. to each other. Auger electron spectroscopy and X-ray photoelectron spectroscopy reveal no surface contamination with Ga even if a maximum substrate temperature of 700 deg. C is applied.

  12. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    Science.gov (United States)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  13. DFT study on the adsorption behavior and electronic response of AlN nanotube and nanocage toward toxic halothane gas

    Science.gov (United States)

    Mohammadi, R.; Hosseinian, A.; Khosroshahi, E. Saedi; Edjlali, L.; Vessally, E.

    2018-04-01

    We have investigated the adsorption of a halothane molecule on the AlN nanotube, and nanocage using density functional theory calculations. We predicted that the halothane molecule tends to be physically adsorbed on the surface of AlN nanotube with adsorption energy (Ead) of -4.2 kcal/mol. The electronic properties of AlN nanotube are not affected by the halothane, and it is not a sensor. But the AlN nanocage is more reactive than the AlN nanotube because of its higher curvature. The halothane tends to be adsorbed on a hexagonal ring, an Alsbnd N bond, and a tetragonal ring of the AlN nanocage. The adsorption ability order is as follows: tetragonal ring (Ead = -14.7 kcal/mol) > Alsbnd N bond (Ead = -12.3 kcal/mol) > hexagonal ring (Ead = -10.1 kcal/mol). When a halothane molecule is adsorbed on the AlN nanocage, its electrical conductivity is increased, demonstrating that it can yield an electronic signal at the presence of this molecule, and can be employed in chemical sensors. The AlN nanocage benefits from a short recovery time of about 58 ms at room temperature.

  14. Structural, Optical, and Electrical Characterization of β-Ga2O3 Thin Films Grown by Plasma-Assisted Molecular Beam Epitaxy Suitable for UV Sensing

    Directory of Open Access Journals (Sweden)

    Abraham Arias

    2018-01-01

    Full Text Available β-Ga2O3 thin films were grown on c-plane sapphire substrates by plasma-assisted molecular beam epitaxy. The films were grown using an elemental gallium source and oxygen supplied by an RF plasma source. Reflection high-energy electron diffraction (RHEED was used to monitor the surface quality in real time. Both in situ RHEED and ex situ X-ray diffraction confirmed the formation of single crystal β-phase films with excellent crystallinity on c-plane sapphire. Spectroscopic ellipsometry was used to determine the film thicknesses, giving values in the 11.6–18.8 nm range and the refractive index dispersion curves. UV-Vis transmittance measurements revealed that strong absorption of β-Ga2O3 starts at ∼270 nm. Top metal contacts were deposited by thermal evaporation for I-V characterization, which has been carried out in dark, as well as under visible and UV light illumination. The optical and electrical measurements showed that the grown thin films of β-Ga2O3 are excellent candidates for deep-ultraviolet detection and sensing.

  15. Epitaxial AlN layers on sapphire and diamond; Epitaktische AlN-Schichten auf Saphir und Diamant

    Energy Technology Data Exchange (ETDEWEB)

    Hermann, Martin

    2009-04-27

    In this work, epitaxial AlN layers deposited by molecular beam epitaxy on sapphire and diamond substrates were investigated. Starting from this AlN, the dopant silicon was added. The influence of the silicon doping on the structural properties of the host AlN crystal was investigated using high resolution X-ray diffraction. Once the silicon concentration exceeds 1 x 10{sup 19} cm{sup -3}, a significant change of the AlN:Si crystal can be observed: increasing the silicon concentration up to 5 x 10{sup 20} cm{sup -3} results in a decrease of the a lattice parameter by approximately 1.2 pm and an increase of the c lattice parameter by about 1.0 pm. The crystal is stressed additionally by adding silicon resulting in a increase of the biaxial compressive stress of up to 2.0 GPa. Further increase of the silicon concentration leads to lattice relaxation. This result from X-ray diffraction was independently confirmed by Raman spectroscopy investigations. Further increase of the silicon concentration leads to the generation of polycrystalline phases within the epitaxial layer. XTEM measurements detected these polycrystalline phases. In addition, XTEM investigations confirmed also the increase of the lateral crystal size with increasing silicon concentration, as well as a great reduction of the screw dislocation density by more than one order of magnitude as found by X-ray diffraction: in undoped, nitrogen rich grown AlN layers the screw dislocation density is about 3 x 10{sup 8} cm{sup -2}, while AlN layers with a silicon concentration of 5 x 10{sup 20} cm{sup -3} show a screw dislocation density of only 1 x 10{sup 7} cm{sup -2}. In low-doped AlN:Si ([Si]{approx}2 x 10{sup 19} cm{sup -3}) the activation energy of the electronic conductivity is about 250 meV. Increasing the silicon concentration to about 1 x 10{sup 21} cm{sup -3} leads to an increase of the activation energy up to more than 500 meV in the now much more stressed AlN:Si epilayer. Studies of the absorption

  16. Towards an elastic model of wurtzite AlN nanowires

    International Nuclear Information System (INIS)

    Mitrushchenkov, A; Chambaud, G; Yvonnet, J; He, Q-C

    2010-01-01

    Starting with ab initio calculations of AlN wurtzite [0001] nanowires with diameters up to 4 nm, a finite element method is developed to deal with larger nanostructures/nanoparticles. The ab initio calculations show that the structure of the nanowires can be well represented by an internal part with AlN bulk elastic properties, and one atomic surface layer with its own elastic behavior. The proposed finite element method includes surface elements with their own elastic properties using surface elastic coefficients deduced from the ab initio calculations. The elastic properties obtained with the finite element model compare very well with those obtained with the full ab initio calculations.

  17. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  18. Nanomechanical properties of SiC films grown from C{sub 60} precursors using atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Morse, K. [Colorado School of Mines, Golden, CO (United States); Balooch, M.; Hamza, A.V.; Belak, J. [Lawrence Livermore National Lab., CA (United States)

    1994-12-01

    The mechanical properties of SiC films grown via C{sub 60} precursors were determined using atomic force microscopy (AFM). Conventional silicon nitride and modified diamond cantilever AFM tips were employed to determine the film hardness, friction coefficient, and elastic modulus. The hardness is found to be between 26 and 40 GPa by nanoindentation of the film with the diamond tip. The friction coefficient for the silicon nitride tip on the SiC film is about one third that for silicon nitride sliding on a silicon substrate. By combining nanoindentation and AFM measurements an elastic modulus of {approximately}300 GPa is estimated for these SiC films. In order to better understand the atomic scale mechanisms that determine the hardness and friction of SiC, we simulated the molecular dynamics of a diamond indenting a crystalline SiC substrate.

  19. Comparative study of structural and electro-optical properties of ZnO:Ga films grown by steered cathodic arc plasma evaporation and sputtering on plastic and their application on polymer-based organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Chih-Hao, E-mail: dataman888@hotmail.com [R& D Division, Walsin Technology Corporation, Kaohsiung, Taiwan (China); Hsiao, Yu-Jen [National Nano Device Laboratories, National Applied Research Laboratories, Tainan, Taiwan (China); Hwang, Weng-Sing [Department of Materials Science and Engineering, National Cheng Kung University, Tainan, Taiwan (China)

    2016-08-01

    Ga-doped ZnO (GZO) films with various thicknesses (105–490 nm) were deposited on PET substrates at a low temperature of 90 °C by a steered cathodic arc plasma evaporation (steered CAPE), and a GZO film with a thickness of 400 nm was deposited at 90 °C by a magnetron sputtering (MS) for comparison. The comparative analysis of the microstructure, residual stress, surface morphology, electrical and optical properties, chemical states, and doping efficiency of the films produced by the steered CAPE and MS processes was performed, and the effect of thickness on the CAPE-grown GZO films was investigated in detail. The results showed that the GZO films grown by steered CAPE exhibited higher crystallinity and lower internal stress than those deposited by MS. The transmittance and electrical properties were also enhanced for the steered CAPE-grown films. The figure of merit (Φ = T{sup 10}/R{sub s}, where T is the transmittance and R{sub s} is the sheet resistance in Ω/□). was used to evaluate the performance of the electro-optical properties. The GZO films with a thickness of 400 nm deposited by CAPE had the highest Φ value, 1.94 × 10{sup −2} Ω{sup −1}, a corresponding average visible transmittance of 88.8% and resistivity of 6.29 × 10{sup −4} Ω·cm. In contrast, the Φ value of MS-deposited GZO film with a thickness of 400 nm is only 1.1 × 10{sup −3} Ω{sup −1}. This can be attributed to the increase in crystalline size, [0001] preferred orientation, decrease in stacking faults density and Ar contamination in steered CAPE-grown films, leading to increases in the Hall mobility and carrier density. In addition, the power conversion efficiency (PCE) of organic solar cells was significantly improved by using the CAPE-grown GZO electrode, and the PCE values were 1.2% and 1.7% for the devices with MS-grown and CAPE-grown GZO electrodes, respectively. - Highlights: • ZnO:Ga (GZO) films were grown on PET by steered cathodic arc plasma evaporation (CAPE

  20. Characterization of ultra-thin TiO2 films grown on Mo(112)

    International Nuclear Information System (INIS)

    Kumar, D.; Chen, M.S.; Goodman, D.W.

    2006-01-01

    Ultra-thin TiO 2 films were grown on a Mo(112) substrate by stepwise vapor depositing of Ti onto the sample surface followed by oxidation at 850 K. X-ray photoelectron spectroscopy showed that the Ti 2p peak position shifts from lower to higher binding energy with an increase in the Ti coverage from sub- to multilayer. The Ti 2p peak of a TiO 2 film with more than a monolayer coverage can be resolved into two peaks, one at 458.1 eV corresponding to the first layer, where Ti atoms bind to the substrate Mo atoms through Ti-O-Mo linkages, and a second feature at 458.8 eV corresponding to multilayer TiO 2 where the Ti atoms are connected via Ti-O-Ti linkages. Based on these assignments, the single Ti 2p 3/2 peak at 455.75 eV observed for the Mo(112)-(8 x 2)-TiO x monolayer film can be assigned to Ti 3+ , consistent with our previous results obtained with high-resolution electron energy loss spectroscopy

  1. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  2. Ab initio study of M2AlN (M = Ti,V,Cr)

    International Nuclear Information System (INIS)

    Sun, Zhimei; Music, Denis; Ahuja, Rajeev; Schneider, Jochen M

    2005-01-01

    We have studied M 2 AlN phases, where M = Ti, V, and Cr, by means of ab initio total energy calculations. The bulk modulus of M 2 AlN increases as Ti is replaced with V and Cr by 19.0% and 26.5%, respectively, which can be understood on the basis of the increased number of valence electrons filling the p-d hybridized bonding states. The bulk modulus of M 2 AlN is generally higher than that of the corresponding M 2 AlC phase, which may be explained by an extra electron in the former phases contributing to stronger chemical bonding. This work is important for fundamental understanding of elastic properties of these ternary nitrides and may inspire future experimental research. (letter to the editor)

  3. Impact of annealing temperature on the mechanical and electrical properties of sputtered aluminum nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Gillinger, M.; Schneider, M.; Bittner, A.; Schmid, U. [Institute of Sensor and Actuator Systems, Vienna University of Technology, Vienna 1040 (Austria); Nicolay, P. [CTR Carinthian Tech Research AG, Villach 9524 (Austria)

    2015-02-14

    Aluminium nitride (AlN) is a promising material for challenging sensor applications such as process monitoring in harsh environments (e.g., turbine exhaust), due to its piezoelectric properties, its high temperature stability and good thermal match to silicon. Basically, the operational temperature of piezoelectric materials is limited by the increase of the leakage current as well as by enhanced diffusion effects in the material at elevated temperatures. This work focuses on the characterization of aluminum nitride thin films after post deposition annealings up to temperatures of 1000 °C in harsh environments. For this purpose, thin film samples were temperature loaded for 2 h in pure nitrogen and oxygen gas atmospheres and characterized with respect to the film stress and the leakage current behaviour. The X-ray diffraction results show that AlN thin films are chemically stable in oxygen atmospheres for 2 h at annealing temperatures of up to 900 °C. At 1000 °C, a 100 nm thick AlN layer oxidizes completely. For nitrogen, the layer is stable up to 1000 °C. The activation energy of the samples was determined from leakage current measurements at different sample temperatures, in the range between 25 and 300 °C. Up to an annealing temperature of 700 °C, the leakage current in the thin film is dominated by Poole-Frenkel behavior, while at higher annealing temperatures, a mixture of different leakage current mechanisms is observed.

  4. Thickness dependence of optical properties of VO2 thin films epitaxially grown on sapphire (0 0 0 1)

    International Nuclear Information System (INIS)

    Xu Gang; Jin Ping; Tazawa, Masato; Yoshimura, Kazuki

    2005-01-01

    Vanadium dioxide (VO 2 ) films were epitaxially grown on α-Al 2 O 3 (0 0 0 1) by rf reactive magnetron sputtering. The effects of film thickness ranging from 3 to 150 nm on optical properties were investigated. It revealed that the semiconductor--metal phase transition temperature considerably decreases as film thickness decreases, in particular for the film with thickness less than 10 nm. On the other hand, we found that the difference in visible transmittance between the two phases of VO 2 also varies with film thickness. For the films with thickness less than 50 nm, the semiconductor phase exhibits lower visible transmittance than its metallic phase, while for those with thickness larger than 50 nm the situation is reversed

  5. Effects of oxygen gas pressure on properties of iron oxide films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Guo, Qixin; Shi, Wangzhou; Liu, Feng; Arita, Makoto; Ikoma, Yoshifumi; Saito, Katsuhiko; Tanaka, Tooru; Nishio, Mitsuhiro

    2013-01-01

    Highlights: ► Pulsed laser deposition is a promising technique for growing iron oxide films. ► Crystal structure of the iron oxide films strongly depends on oxygen gas pressure. ► Optimum of the oxygen gas pressure leads single phase magnetite films with high crystal quality. -- Abstract: Iron oxide films were grown on sapphire substrates by pulsed laser deposition at oxygen gas pressures between 1 × 10 −5 and 1 × 10 −1 Pa with a substrate temperature of 600 °C. Atomic force microscope, X-ray diffraction, Raman spectroscopy, X-ray absorption fine structure, and vibrational sample magnetometer analysis revealed that surface morphology and crystal structure of the iron oxide films strongly depend on the oxygen gas pressure during the growth and the optimum oxygen gas pressure range is very narrow around 1 × 10 −3 Pa for obtaining single phase magnetite films with high crystal quality

  6. Molecular-Beam Epitaxially Grown MgB2 Thin Films and Superconducting Tunnel Junctions

    Directory of Open Access Journals (Sweden)

    Jean-Baptiste Laloë

    2011-01-01

    Full Text Available Since the discovery of its superconducting properties in 2001, magnesium diboride has generated terrific scientific and engineering research interest around the world. With a of 39 K and two superconducting gaps, MgB2 has great promise from the fundamental point of view, as well as immediate applications. Several techniques for thin film deposition and heterojunction formation have been established, each with its own advantages and drawbacks. Here, we will present a brief overview of research based on MgB2 thin films grown by molecular beam epitaxy coevaporation of Mg and B. The films are smooth and highly crystalline, and the technique allows for virtually any heterostructure to be formed, including all-MgB2 tunnel junctions. Such devices have been characterized, with both quasiparticle and Josephson tunneling reported. MgB2 remains a material of great potential for a multitude of further characterization and exploration research projects and applications.

  7. Raman spectroscopy of ZnMnO thin films grown by pulsed laser deposition

    Science.gov (United States)

    Orozco, S.; Riascos, H.; Duque, S.

    2016-02-01

    ZnMnO thin films were grown by Pulsed Laser Deposition (PLD) technique onto Silicon (100) substrates at different growth conditions. Thin films were deposited varying Mn concentration, substrate temperature and oxygen pressure. ZnMnO samples were analysed by using Raman Spectroscopy that shows a red shift for all vibration modes. Raman spectra revealed that nanostructure of thin films was the same of ZnO bulk, wurzite hexagonal structure. The structural disorder was manifested in the line width and shape variations of E2(high) and E2(low) modes located in 99 and 434cm-1 respectively, which may be due to the incorporation of Mn ions inside the ZnO crystal lattice. Around 570cm-1 was found a peak associated to E1(LO) vibration mode of ZnO. 272cm-1 suggest intrinsic host lattice defects. Additional mode centred at about 520cm-1 can be overlap of Si and Mn modes.

  8. In Situ Fabrication of AlN Coating by Reactive Plasma Spraying of Al/AlN Powder

    Directory of Open Access Journals (Sweden)

    Mohammed Shahien

    2011-10-01

    Full Text Available Reactive plasma spraying is a promising technology for the in situ formation of aluminum nitride (AlN coatings. Recently, it became possible to fabricate cubic-AlN-(c-AlN based coatings through reactive plasma spraying of Al powder in an ambient atmosphere. However, it was difficult to fabricate a coating with high AlN content and suitable thickness due to the coalescence of the Al particles. In this study, the influence of using AlN additive (h-AlN to increase the AlN content of the coating and improve the reaction process was investigated. The simple mixing of Al and AlN powders was not suitable for fabricating AlN coatings through reactive plasma spraying. However, it was possible to prepare a homogenously mixed, agglomerated and dispersed Al/AlN mixture (which enabled in-flight interaction between the powder and the surrounding plasma by wet-mixing in a planetary mill. Increasing the AlN content in the mixture prevented coalescence and increased the nitride content gradually. Using 30 to 40 wt% AlN was sufficient to fabricate a thick (more than 200 µm AlN coating with high hardness (approximately 1000 Hv. The AlN additive prevented the coalescence of Al metal and enhanced post-deposition nitriding through N2 plasma irradiation by allowing the nitriding species in the plasma to impinge on a larger Al surface area. Using AlN as a feedstock additive was found to be a suitable method for fabricating AlN coatings by reactive plasma spraying. Moreover, the fabricated coatings consist of hexagonal (h-AlN, c-AlN (rock-salt and zinc-blend phases and certain oxides: aluminum oxynitride (Al5O6N, cubic sphalerite Al23O27N5 (ALON and Al2O3. The zinc-blend c-AlN and ALON phases were attributed to the transformation of the h-AlN feedstock during the reactive plasma spraying. Thus, the zinc-blend c

  9. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  10. A computational study on the electronic and field emission properties of Mg and Si doped AlN nanocones

    Science.gov (United States)

    Saedi, Leila; Soleymanabadi, Hamed; Panahyab, Ataollah

    2018-05-01

    Following an experimental work, we explored the effect of replacing an Al atom of an AlN nanocone by Si or Mg atom on its electronic and field emission properties using density functional theory calculations. We found that both Si-doping and Mg-doping increase the electrical conductivity of AlN nanocone, but their influences on the filed emission properties are significantly different. The Si-doping increases the electron concentration of AlN nanocone and results in a large electron mobility and a low work function, whereas Mg-doping leads to a high hole concentration below the conduction level and increases the work function in agreement with the experimental results. It is predicted that Si-doped AlN nanocones show excellent filed emission performance with higher emitted electron current density compared to the pristine AlN nanocone. But the Mg-doping meaningfully decreases the emitted electron current density from the surface of AlN nanocone. The Mg-doping can increase the work function about 41.9% and the Si-doping can decrease it about 6.3%. The Mg-doping and Si-doping convert the AlN nanocone to a p-type and n-type semiconductors, respectively. Our results explain in a molecular level what observed in the experiment.

  11. Critical thickness and strain relaxation in molecular beam epitaxy-grown SrTiO3 films

    International Nuclear Information System (INIS)

    Wang, Tianqi; Ganguly, Koustav; Marshall, Patrick; Xu, Peng; Jalan, Bharat

    2013-01-01

    We report on the study of the critical thickness and the strain relaxation in epitaxial SrTiO 3 film grown on (La 0.3 Sr 0.7 )(Al 0.65 Ta 0.35 )O 3 (001) (LSAT) substrate using the hybrid molecular beam epitaxy approach. No change in the film's lattice parameter (both the in-plane and the out-of-plane) was observed up to a film thickness of 180 nm, which is in sharp contrast to the theoretical critical thickness of ∼12 nm calculated using the equilibrium theory of strain relaxation. For film thicknesses greater than 180 nm, the out-of-plane lattice parameter was found to decrease hyperbolically in an excellent agreement with the relaxation via forming misfit dislocations. Possible mechanisms are discussed by which the elastic strain energy can be accommodated prior to forming misfit dislocations leading to such anomalously large critical thickness

  12. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  13. Submicron beam X-ray diffraction of nanoheteroepitaxily grown GaN: Experimental challenges and calibration procedures

    Energy Technology Data Exchange (ETDEWEB)

    Bonanno, P.L., E-mail: PeterBonanno@gatech.ed [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Gautier, S. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Sirenko, A.A. [Department of Physics, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Kazimirov, A. [Cornell High Energy Synchrotron Source (CHESS), Cornell University, Ithaca, New York 14853 (United States); Cai, Z.-H. [Advanced Photon Source, 9700 S. Cass Avenue, Argonne, IL 60439 (United States); Goh, W.H. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Martin, J. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Martinez, A. [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Moudakir, T. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Maloufi, N. [Laboratoire d' Etude des Textures et Application aux Materiaux, UMR CNRS 7078, Ile du Saulcy, 57045 Metz Cedex 1 (France); Assouar, M.B. [Laboratoire de Physique des Milieux Ionises et Applications, Nancy University, CNRS, BP 239, F-54506 Vandoeuvre-les-Nancy Cedex (France); Ramdane, A.; Gratiet, L. Le [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Ougazzaden, A. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France)

    2010-02-15

    Highly relaxed GaN nanodots and submicron ridges have been selectively grown in the NSAG regime using MOVPE on lattice mismatched 6H-SiC and AlN substrates. 2D real space and 3D reciprocal space mapping was performed with a CCD detector using 10.4 keV synchrotron X-ray radiation at the 2-ID-D micro-diffraction beamline at Advanced Photon Source (APS). Calibration procedures have been developed to overcome the unique challenges of analyzing NSAG structures grown on highly mismatched substrates. We studied crystallographic planar bending on the submicron scale and found its correlation with strain relaxation in the NSAG ridges.

  14. Influence of deposition temperature on the structural and morphological properties of Be3N2 thin films grown by reactive laser ablation

    International Nuclear Information System (INIS)

    Chale-Lara, F.; Farias, M.H.; De la Cruz, W.; Zapata-Torres, M.

    2010-01-01

    Be 3 N 2 thin films have been grown on Si(1 1 1) substrates using the pulsed laser deposition method at different substrate temperatures: room temperature (RT), 200 deg. C, 400 deg. C, 600 deg. C and 700 deg. C. Additionally, two samples were deposited at RT and were annealed after deposition in situ at 600 deg. C and 700 deg. C. In order to obtain the stoichiometry of the samples, they have been characterized in situ by X-ray photoelectron (XPS) and reflection electron energy loss spectroscopy (REELS). The influence of the substrate temperature on the morphological and structural properties of the films was investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD). The results show that all prepared films presented the Be 3 N 2 stoichiometry. Formation of whiskers with diameters of 100-200 nm appears at the surface of the films prepared with a substrate temperature of 600 deg. C or 700 deg. C. However, the samples grown at RT and annealed at 600 deg. C or 700 deg. C do not show whiskers on the surface. The average root mean square (RMS) roughness and the average grain size of the samples grown with respect the substrate temperature is presented. The films grown with a substrate temperature between the room temperature to 400 deg. C, and the sample annealed in situ at 600 deg. C were amorphous; while the αBe 3 N 2 phase was presented on the samples with a substrate temperature of 600 deg. C, 700 deg. C and that deposited with the substrate at RT and annealed in situ at 700 deg. C.

  15. Influence of aluminium incorporation on the structure of ZrN films deposited at low temperatures

    International Nuclear Information System (INIS)

    Araiza, J J; Sanchez, O

    2009-01-01

    We have studied the influence of Al incorporation in the crystalline structure of ZrN thin films deposited by dc magnetron sputtering at low temperature. The amount of aluminium in the films depends directly on the power applied to the aluminium cathode during the deposition. Energy dispersive x-ray analysis and x-ray diffraction (XRD) were used to obtain the chemical composition and crystalline structure of the films, respectively. When Al atoms are incorporated into the ZrN coatings, the strong ZrN (2 0 0) orientation is modified by a combination of other ones such as ZrN (1 1 1), Zr 3 N 4 (2 1 1) and hexagonal AlN (1 0 0) as detected from the XRD spectra for high aluminium concentrations. Fourier-transform infrared spectroscopy allowed us to identify oxides and nitrides, ZrO, AlO and AlN, incorporated into the deposited films. The effect of a bias voltage applied to the substrate has also been investigated and related to the changes in the microstructure and in the nanohardness values of the ZrAlN films.

  16. Electronic and surface properties of pentacene films deposited on SiO2 prepared by the sol–gel and thermally grown methods

    International Nuclear Information System (INIS)

    Dai, Chi-Jie; Tsao, Hou-Yen; Lin, Yow-Jon; Liu, Day-Shan

    2014-01-01

    This study investigates the effect of different types of SiO 2 on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO 2 surfaces than sol–gel SiO 2 surfaces, suggesting that the thermally grown SiO 2 dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO 2 dielectrics is higher than that in pentacene on sol–gel SiO 2 dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO 2 was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films

  17. Lattice stability of metastable AlN and wurtzite-to-rock-salt structural transformation by CALPHAD modeling

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yanhui, E-mail: yanhui.z@hotmail.com [Karlsruhe Institute of Technology (KIT), Institute for Applied Materials-Applied Materials Physics (IAM-AWP), Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); High-performance Ceramics Division, Shenyang National Laboratory for Materials Science, Institute of Metal Research, Chinese Academy of Sciences, 110016, Shenyang (China); Franke, Peter; Li, Dajian; Seifert, Hans Jürgen [Karlsruhe Institute of Technology (KIT), Institute for Applied Materials-Applied Materials Physics (IAM-AWP), Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany)

    2016-12-01

    Reliable lattice stability of cubic AlN with rock-salt structure (rs-AlN) is the prerequisite of accurate thermodynamic modeling of cubic (M, Al)N solid solutions (M = Ti, Zr, Cr etc.). In order to derive the Gibbs energy of metastable rs-AlN, and then its lattice stability, we did the pressure-temperature (P-T) assessment of AlN phases by equations-of-state modeling. Meanwhile, the molar volumes and the heat capacities of wurtzite and rock-salt AlN, as well as the wurtzite-to-rock-salt structural transition at high P&T were successfully incorporated in CALPHAD-type database by integrating thermodynamic data from experiments and ab-initio calculations. These results promise subsequent investigations on phase stabilities and transitions of solid solutions with AlN component and the development of novel multicomponent coatings. - Highlights: • Phase stability investigation for novel multi-component metastable coatings. • Structural transition at high temperature and high pressure. • Integrating thermodynamic data from ab-initio calculations and experiments. • Thermal expansion, isothermal compressibility and heat capacity of w-AlN and rs-AlN.

  18. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  19. Influence of different carrier gases on the properties of ZnO films grown by MOCVD

    Directory of Open Access Journals (Sweden)

    Wang, Jinzhong

    2008-08-01

    Full Text Available ZnO films were grown on sapphire (001 substrate by atmospheric MOCVD using diethyl zinc and tertiary butanol precursors. The influence of different carrier gases (H2 and He on the properties was analyzed by their structural (XRD, microstructural (SEM and compositional (SIMS characterization. The intensity of the strongest diffraction peak from ZnO (002 plane was increased by about 2 orders of magnitude when He is used as carrier gas, indicating the significant enhancement in crystallinity. The surface of the samples grown using H2 and He carrier gases was composed of leaf-like and spherical grains respectively. Hydrogen [H] content in the film grown using H2 is higher than that using He, indicating that the [H] was influenced by the H2 carrier gas. Ultraviolet emission dominates the low temperature PL spectra. The emission from ZnO films grown using He show higher optical quality and more emission centers.

    Se depositaron películas de ZnO sobre sustratos de zafiro (001 utilizando dietil zinc y butanol terciario como precursores. La influencia de los diferentes gases portadores (H2 y He sobre las propiedades se estudió mediante la caracterización estructural (XRD, microestructural (SEM y composicional (SIMS. La intensidad del pico de difracción más importante del plano (002 del ZnO aumentó en dos órdenes de magnitud cuando se utiliza He como gas portador indicando un incremento significativo de la cristalinidad. La superficie de las muestras crecidas utilizando H2 y He está formada por granos en forma de hoja y de forma esférica respectivamente. El contenido en hidrógeno (H en la película es mayor cuando se utiliza H2 que cuando se utiliza He, indicando que la cantidad de hidrógeno está influenciada por el H2 del gas portador. La emisión ultravioleta domina el espectro PL de baja temperatura. La emisión de las películas de ZnO utilizando

  20. Electrochemical Energy Storage Applications of CVD Grown Niobium Oxide Thin Films.

    Science.gov (United States)

    Fiz, Raquel; Appel, Linus; Gutiérrez-Pardo, Antonio; Ramírez-Rico, Joaquín; Mathur, Sanjay

    2016-08-24

    We report here on the controlled synthesis, characterization, and electrochemical properties of different polymorphs of niobium pentoxide grown by CVD of new single-source precursors. Nb2O5 films deposited at different temperatures showed systematic phase evolution from low-temperature tetragonal (TT-Nb2O5, T-Nb2O5) to high temperature monoclinic modifications (H-Nb2O5). Optimization of the precursor flux and substrate temperature enabled phase-selective growth of Nb2O5 nanorods and films on conductive mesoporous biomorphic carbon matrices (BioC). Nb2O5 thin films deposited on monolithic BioC scaffolds produced composite materials integrating the high surface area and conductivity of the carbonaceous matrix with the intrinsically high capacitance of nanostructured niobium oxide. Heterojunctions in Nb2O5/BioC composites were found to be beneficial in electrochemical capacitance. Electrochemical characterization of Nb2O5/BioC composites showed that small amounts of Nb2O5 (as low as 5%) in conjunction with BioCarbon resulted in a 7-fold increase in the electrode capacitance, from 15 to 104 F g(-1), while imparting good cycling stability, making these materials ideally suited for electrochemical energy storage applications.

  1. Thick Bi2Sr2CaCu2O8+δ films grown by liquid-phase epitaxy for Josephson THz applications

    Science.gov (United States)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.; Benseman, T.; Hao, Y.; Kesgin, I.; Claus, H.; Pearson, J.; Kwok, W.-K.; Welp, U.

    2018-01-01

    Theoretical and experimental studies of intrinsic Josephson junctions (IJJs) that naturally occur in high-T c superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid-phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature of underdamped IJJs, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.

  2. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    Science.gov (United States)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  3. Role of low O 2 pressure and growth temperature on electrical transport of PLD grown ZnO thin films on Si substrates

    Science.gov (United States)

    Pandis, Ch.; Brilis, N.; Tsamakis, D.; Ali, H. A.; Krishnamoorthy, S.; Iliadis, A. A.

    2006-06-01

    Undoped ZnO thin films have been grown on (100) Si substrates by pulsed laser deposition. The effect of growth parameters such as temperature, O 2 partial pressure and laser fluence on the structural and electrical properties of the films has been investigated. It is shown that the well-known native n-type conductivity, attributed to the activation of hydrogenic donor states, exhibits a conversion from n-type to p-type when the O 2 partial pressure is reduced from 10 -4 to 10 -7 Torr at growth temperatures lower than 400 °C. The p-type conductivity could be attributed to the dominant role of the acceptor Zn vacancies for ZnO films grown at very low O 2 pressures.

  4. Thermal characterization of polycrystalline diamond thin film heat spreaders grown on GaN HEMTs

    Science.gov (United States)

    Zhou, Yan; Ramaneti, Rajesh; Anaya, Julian; Korneychuk, Svetlana; Derluyn, Joff; Sun, Huarui; Pomeroy, James; Verbeeck, Johan; Haenen, Ken; Kuball, Martin

    2017-07-01

    Polycrystalline diamond (PCD) was grown onto high-k dielectric passivated AlGaN/GaN-on-Si high electron mobility transistor (HEMT) structures, with film thicknesses ranging from 155 to 1000 nm. Transient thermoreflectance results were combined with device thermal simulations to investigate the heat spreading benefit of the diamond layer. The observed thermal conductivity (κDia) of PCD films is one-to-two orders of magnitude lower than that of bulk PCD and exhibits a strong layer thickness dependence, which is attributed to the grain size evolution. The films exhibit a weak temperature dependence of κDia in the measured 25-225 °C range. Device simulation using the experimental κDia and thermal boundary resistance values predicts at best a 15% reduction in peak temperature when the source-drain opening of a passivated AlGaN/GaN-on-Si HEMT is overgrown with PCD.

  5. Investigations of p-type signal for ZnO thin films grown on (100)GaAs substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Univ. de Technologie de Troyes, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Monteiro, T.; Soares, M.; Neves, A.; Carmo, M.; Correia, M.R. [Physics Dept., Univ. of Aveiro (Portugal); Pereira, S. [Physics Dept., Univ. of Aveiro (Portugal); Inst. Tecnologico e Nuclear, Sacavem (Portugal); Lusson, A. [Inst. d' Electronique Fondamentale, Orsay Univ. (France); LPSC - CNRS, Meudon (France); Alves, E.; Barradas, N.P. [Inst. Tecnologico e Nuclear, Sacavem (Portugal); Morrod, J.K.; Prior, K.A. [Physics Dept., Heriot Watt Univ., Edinburgh Scotland (United Kingdom); Kung, P.; Yasan, A.; Razeghi, M. [Center for Quantum Devices, Dept. of Electrical and Computer Engineering, Northwestern Univ., Evanston, IL (United States)

    2006-03-15

    In this work we investigated ZnO films grown on semi-insulating (100)GaAs substrates by pulsed laser deposition. Samples were studied using techniques including X-ray diffraction (XRD), scanning electron microscopy, atomic force microscopy, Raman spectroscopy, temperature dependent photoluminescence, C-V profiling and temperature dependent Hall measurements. The Hall measurements showed a clear p-type response with a relatively high mobility ({proportional_to}260 cm{sup 2}/Vs) and a carrier concentration of {proportional_to}1.8 x 10{sup 19} cm{sup -3}. C-V profiling confirmed a p-type response. XRD and Raman spectroscopy indicated the presence of (0002) oriented wurtzite ZnO plus secondary phase(s) including (101) oriented Zn{sub 2}As{sub 2}O{sub 7}. The results suggest that significant atomic mixing was occurring at the film/substrate interface for films grown at substrate temperatures of 450 C (without post-annealing). (orig.)

  6. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  7. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  8. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    Science.gov (United States)

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  9. High resolution x-ray scattering studies of strain in epitaxial thin films of yttrium silicide grown on silicon (111)

    International Nuclear Information System (INIS)

    Marthinez-Miranda, L.J.; Santiago-Aviles, J.J.; Siegal, M.P.; Graham, W.R.; Heiney, P.A.

    1990-01-01

    The authors have used high resolution grazing incidence x-ray scattering (GIXS) to study the in- plane and out-of-plane structure of epitaxial YSi 2-x films grown on Si(111), with thicknesses ranging from 85 Angstrom to 510 Angstrom. Their results indicate that the films are strained, and that film strain increases as a function of thickness, with lattice parameters varying from a = 3.846 Angstrom/c = 4.142 Angstrom for the 85 Angstrom film to a = 3.877 Angstrom/c = 4.121 Angstrom for the 510 Angstrom film. The authors correlate these results with an increase in pinhole areal coverage as a function of thickness. In addition, the authors' measurements show no evidence for the existence of ordered silicon vacancies in the films

  10. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer

    International Nuclear Information System (INIS)

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-01-01

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO 2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO 2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO 2 /Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0–2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p–i–n nanocolumns were fabricated on SiO 2 /Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO 2 . (paper)

  11. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  12. Electronic and surface properties of pentacene films deposited on SiO{sub 2} prepared by the sol–gel and thermally grown methods

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Chi-Jie [Department of Physics, National Changhua University of Education, Changhua 500, Taiwan (China); Tsao, Hou-Yen [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Lin, Yow-Jon, E-mail: rzr2390@yahoo.com.tw [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Liu, Day-Shan [Graduate Institute of Electro-Optical and Materials Science, National Formosa University, Huwei 632, Taiwan (China)

    2014-02-03

    This study investigates the effect of different types of SiO{sub 2} on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO{sub 2} surfaces than sol–gel SiO{sub 2} surfaces, suggesting that the thermally grown SiO{sub 2} dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO{sub 2} dielectrics is higher than that in pentacene on sol–gel SiO{sub 2} dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO{sub 2} was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films.

  13. {alpha} Fe{sub 2}O{sub 3} films grown by the spin-on sol-gel deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Avila G, A.; Carbajal F, G. [Seccion de Electronica del Estado Solido, Departamento de Ingenieria Electrica, CINVESTAV del l.P.N., Av. I.P.N. No. 2508, Apartado Postal 14-740, Mexico 07360, D.F (Mexico); Tiburcio S, A. [Division Posg, lnstituto Tecnologico de Toluca-SEP, P.O. Box 890, 50000 Toluca, Edo. Mex. (Mexico); Barrera C, E. [Departamento de IPH, Area de Ingenieria en Recursos Energeticos, Universidad Autonoma Metropolitana-lztapalapa, Apartado Postal 55-5340, Mexico, D.F. (Mexico); Andrade I, E. [Instituto de Fsica, Universidad Nacional Autononca de Mexico, Apartado Postal 20-364, Mexico 01000, D. F (Mexico)

    2003-07-01

    {alpha}-Fe{sub 2}O{sub 3} polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  14. Structural and nanomechanical properties of InN films grown on Si(1 0 0) by femtosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Hafez, M A; Mamun, M A; Elmustafa, A A; Elsayed-Ali, H E

    2013-01-01

    The structural and nanomechanical properties of InN films grown on Si(1 0 0) using femtosecond pulsed laser deposition were studied for different growth conditions. Atomic nitrogen was generated by either thermal cracking or laser-induced breakdown (LIB) of ammonia. Optical emission spectroscopy was conducted on the laser plasma and used to observe atomic nitrogen formation. An indium buffer layer was initially grown on the Si substrate at low temperature. The surface structure and morphology were investigated by in situ reflection high-energy electron diffraction, ex situ atomic force microscopy and x-ray diffraction (XRD). The results show that the initial buffer indium layers were terminated with the In(2 × 1) structure and had a smooth surface. With increased coverage, the growth mode developed from two-dimensional layers to three-dimensional islands. At room temperature (RT), formation of submicrometre islands resulted in mixed crystal structure of In and InN. As the substrate temperature was increased to 250–350 °C, the crystal structure was found to be dominated by fewer In and more InN, with only InN formed at 350 °C. The XRD patterns show that the grown InN films have wurtzite crystal structure. The film hardness near the surface was observed to increase from less than 1 GPa, characteristic of In for the sample grown at RT using the thermal cracker, to a hardness of 11 GPa at 30 nm from surface, characteristic of InN for samples grown at 350 °C by LIB. The hardness at deep indents reaches the hardness of the Si substrate of ∼12 GPa. (paper)

  15. Stable, highly-responsive and broadband photodetection based on large-area multilayered WS2 films grown by pulsed-laser deposition

    Science.gov (United States)

    Yao, J. D.; Zheng, Z. Q.; Shao, J. M.; Yang, G. W.

    2015-09-01

    The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor deposition (CVD). Here, we introduce pulsed-laser deposition (PLD) to prepare multilayered WS2 films. Large-area WS2 films of the magnitude of cm2 are achieved. Comparative measurements of a WS2-based photoresistor demonstrate its stable broadband photoresponse from 370 to 1064 nm, the broadest range demonstrated in WS2 photodetectors. Benefiting from the large optical absorbance (40%-85%) and high carrier mobility (31 cm2 V-1 s-1), the responsivity of the device approaches a high value of 0.51 A W-1 in an ambient environment. Such a performance far surpasses the CVD-grown WS2-based photodetectors (μA W-1). In a vacuum environment, the responsivity is further enhanced to 0.70 A W-1 along with an external quantum efficiency of 137% and a photodetectivity of 2.7 × 109 cm Hz1/2 W-1. These findings stress that the PLD-grown WS2 film may constitute a new paradigm for the next-generation stable, broadband and highly-responsive photodetectors.The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor

  16. Inverse bilayer magnetoelectric thin film sensor

    Energy Technology Data Exchange (ETDEWEB)

    Yarar, E.; Piorra, A.; Quandt, E., E-mail: eq@tf.uni-kiel.de [Chair for Inorganic Functional Materials, Institute for Materials Science, Faculty of Engineering, Kiel University, Kaiserstraße 2, D-24143 Kiel (Germany); Salzer, S.; Höft, M.; Knöchel, R. [Microwave Laboratory, Institute of Electrical and Information Engineering, Faculty of Engineering, Kiel University, Kaiserstraße 2, D-24143 Kiel (Germany); Hrkac, V.; Kienle, L. [Chair for Synthesis and Real Structure, Institute for Materials Science, Faculty of Engineering, Kiel University, Kaiserstraße 2, D-24143 Kiel (Germany)

    2016-07-11

    Prior investigations on magnetoelectric (ME) thin film sensors using amorphous FeCoSiB as a magnetostrictive layer and AlN as a piezoelectric layer revealed a limit of detection (LOD) in the range of a few pT/Hz{sup 1/2} in the mechanical resonance. These sensors are comprised of a Si/SiO{sub 2}/Pt/AlN/FeCoSiB layer stack, as dictated by the temperatures required for the deposition of the layers. A low temperature deposition route of very high quality AlN allows the reversal of the deposition sequence, thus allowing the amorphous FeCoSiB to be deposited on the very smooth Si substrate. As a consequence, the LOD could be enhanced by almost an order of magnitude reaching 400 fT/Hz{sup 1/2} at the mechanical resonance of the sensor. Giant ME coefficients (α{sub ME}) as high as 5 kV/cm Oe were measured. Transmission electron microscopy investigations revealed highly c-axis oriented growth of the AlN starting from the Pt-AlN interface with local epitaxy.

  17. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  18. Efficient etching-free transfer of high quality, large-area CVD grown graphene onto polyvinyl alcohol films

    International Nuclear Information System (INIS)

    Marta, Bogdan; Leordean, Cosmin; Istvan, Todor; Botiz, Ioan; Astilean, Simion

    2016-01-01

    Graphical abstract: - Highlights: • One-step dry transfer method of CVD grown graphene onto PVA films. • Investigation of graphene quality and number of layers of the synthesized and transferred graphene. • Promising scalability and good quality of transferred graphene onto flexible transparent polymers. - Abstract: Graphene transfer is a procedure of paramount importance for the production of graphene-based electronic devices. The transfer procedure can affect the electronic properties of the transferred graphene and can be detrimental for possible applications both due to procedure induced defects which can appear and due to scalability of the method. Hence, it is important to investigate new transfer methods for graphene that are less time consuming and show great promise. In the present study we propose an efficient, etching-free transfer method that consists in applying a thin polyvinyl alcohol layer on top of the CVD grown graphene on Cu and then peeling-off the graphene onto the polyvinyl alcohol film. We investigate the quality of the transferred graphene before and after the transfer, using Raman spectroscopy and imaging as well as optical and atomic force microscopy techniques. This simple transfer method is scalable and can lead to complete transfer of graphene onto flexible and transparent polymer support films without affecting the quality of the graphene during the transfer procedure.

  19. Efficient etching-free transfer of high quality, large-area CVD grown graphene onto polyvinyl alcohol films

    Energy Technology Data Exchange (ETDEWEB)

    Marta, Bogdan; Leordean, Cosmin [Babes-Bolyai University, Interdisciplinary Research Institute in Bio-Nano-Sciences, Nanobiophotonics and Laser Microspectroscopy Center, Treboniu Laurian Str. 42, Cluj-Napoca 400271 (Romania); Istvan, Todor [Babes-Bolyai University, Faculty of Physics, Biomolecular Physics Department, M Kogalniceanu Str. 1, Cluj-Napoca 400084 (Romania); Botiz, Ioan [Babes-Bolyai University, Interdisciplinary Research Institute in Bio-Nano-Sciences, Nanobiophotonics and Laser Microspectroscopy Center, Treboniu Laurian Str. 42, Cluj-Napoca 400271 (Romania); Astilean, Simion, E-mail: simion.astilean@phys.ubbcluj.ro [Babes-Bolyai University, Interdisciplinary Research Institute in Bio-Nano-Sciences, Nanobiophotonics and Laser Microspectroscopy Center, Treboniu Laurian Str. 42, Cluj-Napoca 400271 (Romania); Babes-Bolyai University, Faculty of Physics, Biomolecular Physics Department, M Kogalniceanu Str. 1, Cluj-Napoca 400084 (Romania)

    2016-02-15

    Graphical abstract: - Highlights: • One-step dry transfer method of CVD grown graphene onto PVA films. • Investigation of graphene quality and number of layers of the synthesized and transferred graphene. • Promising scalability and good quality of transferred graphene onto flexible transparent polymers. - Abstract: Graphene transfer is a procedure of paramount importance for the production of graphene-based electronic devices. The transfer procedure can affect the electronic properties of the transferred graphene and can be detrimental for possible applications both due to procedure induced defects which can appear and due to scalability of the method. Hence, it is important to investigate new transfer methods for graphene that are less time consuming and show great promise. In the present study we propose an efficient, etching-free transfer method that consists in applying a thin polyvinyl alcohol layer on top of the CVD grown graphene on Cu and then peeling-off the graphene onto the polyvinyl alcohol film. We investigate the quality of the transferred graphene before and after the transfer, using Raman spectroscopy and imaging as well as optical and atomic force microscopy techniques. This simple transfer method is scalable and can lead to complete transfer of graphene onto flexible and transparent polymer support films without affecting the quality of the graphene during the transfer procedure.

  20. Valence and conduction band offsets of β-Ga2O3/AlN heterojunction

    KAUST Repository

    Sun, Haiding; Torres Castanedo, C. G.; Liu, Kaikai; Li, Kuang-Hui; Guo, Wenzhe; Lin, Ronghui; Liu, Xinwei; Li, Jingtao; Li, Xiaohang

    2017-01-01

    Both β-Ga2O3 and wurtzite AlN have wide bandgaps of 4.5–4.9 and 6.1 eV, respectively. We calculated the in-plane lattice mismatch between the (−201) plane of β-Ga2O3 and the (0002) plane of AlN, which was found to be 2.4%. This is the smallest

  1. Brazing of AlN to SiC by a Pr silicide: Physicochemical aspects

    Energy Technology Data Exchange (ETDEWEB)

    Koltsov, A. [SIMAP - UMR CNRS 5266, INP Grenoble-UJF, Domaine Universitaire, BP 75, 1130 rue de la Piscine, 38402 Saint Martin d' Heres, Cedex (France)], E-mail: alexey.koltsov@arcelor.com; Hodaj, F.; Eustathopoulos, N. [SIMAP - UMR CNRS 5266, INP Grenoble-UJF, Domaine Universitaire, BP 75, 1130 rue de la Piscine, 38402 Saint Martin d' Heres, Cedex (France)

    2008-11-15

    In view of their very different thermomechanical properties, joining of metals to ceramics by brazing is usually performed by means of one or more interlayers. In a recent investigation AlN was chosen as interlayer material for brazing SiC to a superalloy. The aim of the present study is to determine an alloy with a high melting point (close to 1200 deg. C) enabling brazing of AlN to SiC. Two types of experiments are performed with a Si-17 at.% Pr eutectic alloy (T{sub m} = 1212 deg. C): sessile drop experiments to determine wetting and brazing of AlN and SiC plates to determine gap filling. Experiments are carried out in high vacuum to promote deoxidation. Interfacial reactivity, joint microstructure and type of failure occurring during cooling are examined by optical and scanning electron microscopy.

  2. Brazing of AlN to SiC by a Pr silicide: Physicochemical aspects

    International Nuclear Information System (INIS)

    Koltsov, A.; Hodaj, F.; Eustathopoulos, N.

    2008-01-01

    In view of their very different thermomechanical properties, joining of metals to ceramics by brazing is usually performed by means of one or more interlayers. In a recent investigation AlN was chosen as interlayer material for brazing SiC to a superalloy. The aim of the present study is to determine an alloy with a high melting point (close to 1200 deg. C) enabling brazing of AlN to SiC. Two types of experiments are performed with a Si-17 at.% Pr eutectic alloy (T m = 1212 deg. C): sessile drop experiments to determine wetting and brazing of AlN and SiC plates to determine gap filling. Experiments are carried out in high vacuum to promote deoxidation. Interfacial reactivity, joint microstructure and type of failure occurring during cooling are examined by optical and scanning electron microscopy

  3. Electrical properties of GaAsN film grown by chemical beam epitaxy

    International Nuclear Information System (INIS)

    Nishimura, K.; Suzuki, H.; Saito, K.; Ohshita, Y.; Kojima, N.; Yamaguchi, M.

    2007-01-01

    The local vibrational modes (LVMs) observed by Fourier transform infrared (FTIR) spectroscopy in GaAsN films grown by chemical beam epitaxy (CBE) was studied, and the influence of the nitrogen-hydrogen bond (N-H) concentration on the hole concentration was investigated. The absorption peak around 936 cm -1 is suggested to be the second harmonic mode of the substitutional N, N As , LVM around 469 cm -1 . The absorption peak around 960 cm -1 is suggested to be the wagging mode of the N-H, where the stretch mode is observed around 3098 cm -1 . The hole concentration linearly increases with increasing N-H concentration, and the slope increases with increasing growth temperature. It indicates that the hole concentration in GaAsN film is determined by both the number of the N-H and unknown defect, such as impurities, vacancies, and interstitials. This defect concentration increases with increasing growth temperature, suggesting that it is determined by Arrhenius type reaction

  4. A comparative study of physico-chemical properties of CBD and SILAR grown ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jambure, S.B.; Patil, S.J.; Deshpande, A.R.; Lokhande, C.D., E-mail: l_chandrakant@yahoo.com

    2014-01-01

    Graphical abstract: Schematic model indicating ZnO nanorods by CBD (Z{sub 1}) and nanograins by SILAR (Z{sub 2}). - Highlights: • Simple methods for the synthesis of ZnO thin films. • Comparative study of physico-chemical properties of ZnO thin films prepared by CBD and SILAR methods. • CBD outperforms SILAR method. - Abstract: In the present work, nanocrystalline zinc oxide (ZnO) thin films have been successfully deposited onto glass substrates by simple and economical chemical bath deposition (CBD) and successive ionic layer adsorption reaction (SILAR) methods. These films were further characterized for their structural, optical, surface morphological and wettability properties. The X-ray diffraction (XRD) patterns for both CBD and SILAR deposited ZnO thin films reveal the highly crystalline hexagonal wurtzite structure. From optical studies, band gaps obtained are 2.9 and 3.0 eV for CBD and SILAR deposited thin films, respectively. The scanning electron microscope (SEM) patterns show growth of well defined randomly oriented nanorods and nanograins on the CBD and SILAR deposited samples, respectively. The resistivity of CBD deposited films (10{sup 2} Ω cm) is lower than that of SILAR deposited films (10{sup 5} Ω cm). Surface wettability studies show hydrophobic nature for both films. From the above results it can be concluded that CBD grown ZnO thin films show better properties as compared to SILAR method.

  5. A comparative study of physico-chemical properties of CBD and SILAR grown ZnO thin films

    International Nuclear Information System (INIS)

    Jambure, S.B.; Patil, S.J.; Deshpande, A.R.; Lokhande, C.D.

    2014-01-01

    Graphical abstract: Schematic model indicating ZnO nanorods by CBD (Z 1 ) and nanograins by SILAR (Z 2 ). - Highlights: • Simple methods for the synthesis of ZnO thin films. • Comparative study of physico-chemical properties of ZnO thin films prepared by CBD and SILAR methods. • CBD outperforms SILAR method. - Abstract: In the present work, nanocrystalline zinc oxide (ZnO) thin films have been successfully deposited onto glass substrates by simple and economical chemical bath deposition (CBD) and successive ionic layer adsorption reaction (SILAR) methods. These films were further characterized for their structural, optical, surface morphological and wettability properties. The X-ray diffraction (XRD) patterns for both CBD and SILAR deposited ZnO thin films reveal the highly crystalline hexagonal wurtzite structure. From optical studies, band gaps obtained are 2.9 and 3.0 eV for CBD and SILAR deposited thin films, respectively. The scanning electron microscope (SEM) patterns show growth of well defined randomly oriented nanorods and nanograins on the CBD and SILAR deposited samples, respectively. The resistivity of CBD deposited films (10 2 Ω cm) is lower than that of SILAR deposited films (10 5 Ω cm). Surface wettability studies show hydrophobic nature for both films. From the above results it can be concluded that CBD grown ZnO thin films show better properties as compared to SILAR method

  6. Effect of the niobium additions in the passive films potentiostatically grown in a sulphate medium

    International Nuclear Information System (INIS)

    Kuri, S.E.; Martins, M.; D'Alkaine, C.V.

    1984-01-01

    The stability of passive films potentiostatically grown on stainless steel electrodes was studied in a 2 N sulfuric acid. The effect of Niobium contents in the base metal was considered. The reactivation time was measured using the method of Potential Decay Measurements under Open-Circuit Conditions after electrochemical aging in the passivity region, and its influence on the surface oxidation states, was discussed. (Author) [pt

  7. A comparative study on magnetism in Zn-doped AlN and GaN from first-principles

    International Nuclear Information System (INIS)

    Xu, Liang; Wang, Lingling; Huang, Weiqing; Xiao, Wenzhi; Xiao, Gang

    2014-01-01

    First-principles calculations have been used to comparatively investigate electronic and magnetic properties of Zn-doped AlN and GaN. A total magnetic moment of 1.0 μ B induced by Zn is found in AlN, but not in GaN. Analyses show that the origin of spontaneous polarization not only depend on the localized atomic orbitals of N and sufficient hole concentration, but also the relative intensity of the covalency of matrix. The relatively stronger covalent character of GaN with respect to AlN impedes forming local magnetic moment in GaN matrix. Our study offers a fresh sight of spontaneous spin polarization in d 0 magnetism. The much stronger ferromagnetic coupling in c-plane of AlN means that it is feasible to realize long-range ferromagnetic order via monolayer delta-doping. This can apply to other wide band-gap semiconductors in wurtzite structure.

  8. Misfit dislocations of anisotropic magnetoresistant Nd0.45Sr0.55MnO3 thin films grown on SrTiO3 (1 1 0) substrates

    International Nuclear Information System (INIS)

    Tang, Y.L.; Zhu, Y.L.; Meng, H.; Zhang, Y.Q.; Ma, X.L.

    2012-01-01

    Nd 0.45 Sr 0.55 MnO 3 is an A-type antiferromagnetic manganite showing obvious angular-dependent magnetoresistance, which can be tuned by misfit strain. The misfit strain relaxation of Nd 0.45 Sr 0.55 MnO 3 thin films is of both fundamental and technical importance. In this paper, microstructures of epitaxial Nd 0.45 Sr 0.55 MnO 3 thin films grown on SrTiO 3 (1 1 0) substrates by pulsed laser deposition were investigated by means of (scanning) transmission electron microscopy. The Nd 0.45 Sr 0.55 MnO 3 thin films exhibit a two-layered structure: a continuous perovskite layer epitaxial grown on the substrate followed by epitaxially grown columnar nanostructures. An approximately periodic array of misfit dislocations is found along the interface with line directions of both 〈1 1 1〉 and [0 0 1]. High-resolution (scanning) transmission electron microscopy reveals that all the misfit dislocations possess a〈1 1 0〉-type Burgers vectors. A formation mechanism based on gliding or climbing of the dislocations is proposed to elucidate this novel misfit dislocation configuration. These misfit dislocations have complex effects on the strain relaxation and microstructure of the films, and thus their influence needs further consideration for heteroepitaxial perovskite thin film systems, especially for films grown on substrates with low-symmetry surfaces such as SrTiO 3 (1 1 0) and (1 1 1), which are attracting attention for their potentially new functions.

  9. Influence of aluminium incorporation on the structure of ZrN films deposited at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Araiza, J J [Unidad Academica de Fisica, Universidad Autonoma de Zacatecas, Paseo a la Bufa esq, Calzada Solidaridad s/n 98060, Zacatecas (Mexico); Sanchez, O [Departamento de Fisica e Ingenieria de Superficies, Instituto de Ciencia de Materiales de Madrid-CSIC, C/ Sor Juana Ines de la Cruz 3, 28049 Cantoblanco, Madrid (Spain)], E-mail: olgas@icmm.csic.es

    2009-06-07

    We have studied the influence of Al incorporation in the crystalline structure of ZrN thin films deposited by dc magnetron sputtering at low temperature. The amount of aluminium in the films depends directly on the power applied to the aluminium cathode during the deposition. Energy dispersive x-ray analysis and x-ray diffraction (XRD) were used to obtain the chemical composition and crystalline structure of the films, respectively. When Al atoms are incorporated into the ZrN coatings, the strong ZrN (2 0 0) orientation is modified by a combination of other ones such as ZrN (1 1 1), Zr{sub 3}N{sub 4} (2 1 1) and hexagonal AlN (1 0 0) as detected from the XRD spectra for high aluminium concentrations. Fourier-transform infrared spectroscopy allowed us to identify oxides and nitrides, ZrO, AlO and AlN, incorporated into the deposited films. The effect of a bias voltage applied to the substrate has also been investigated and related to the changes in the microstructure and in the nanohardness values of the ZrAlN films.

  10. High resolution electron microscopy studies of interfaces between Al2O3 substrates and MBE grown Nb films

    International Nuclear Information System (INIS)

    Mayer, J.; Ruhle, M.; Dura, J.; Flynn, C.P.

    1991-01-01

    This paper reports on single crystal niobium films grown by Molecular Beam Epitaxy (MBE) on (001) S sapphire substrates. Cross-sectional specimens with thickness of 2 O 3 interface could be investigated by high resolution electron microscopy (HREM). The orientation relationship between the metal film and the ceramic substrate was verified by selected area diffraction: (111) Nb parallel (0001) S and [1 bar 10] Nb parallel [2 bar 1 bar 10] S . The atomistic structure of the interface was identified by HREM

  11. Effects of Post Heat Treatments on ZnO Thin-Films Grown on Zn-coated Teflon Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Ikhyun; Nam, Giwoong; Lee, Cheoleon; Kim, Dongwhan; Choi, Hyonkwang; Kim, Yangsoo; Leem, Jae-Young [Inje University, Gimhae (Korea, Republic of); Kim, Jin Soo [Chonbuk National University, Jeonju (Korea, Republic of); Kim, Jong Su [Yeungnam University, Gyeongsan (Korea, Republic of); Son, Jeong-Sik [Kyungwoon University, Gumi (Korea, Republic of)

    2015-06-15

    ZnO thin films were first grown on Zn-coated Teflon substrates using a spin-coating method, with various post-heating temperatures. The structural and optical properties of the ZnO thin films were then investigated using field-effect scanning-electron microscopy, X-ray diffractometry, and photoluminescence (PL) spectroscopy. The surface morphology of these ZnO thin films exhibited dendritic structures. With increasing post-heating temperature, all samples preferentially exhibited preferential c-axis orientation and increased residual tensile stress. All of the films exhibited preferential c-axis orientation, and the residual tensile stress of those increased with increasing post-heating temperature. The near-band-edge emission (NBE) peaks were red-shifted after post-heating treatment at 400 ℃. The intensity of the deep-level emission (DLE) peaks gradually decreased with increasing post- heating temperature. Moreover, the narrowest ‘full width at half maximum’ (FWHM) and the highest intensity ratio of the NBE to the DLE for thin films, were observed after post-heating at 400 ℃. The ZnO thin films fabricated with the 400 ℃ post-heating process provided the highest crystallinity and optical properties.

  12. Reduction of the Mg acceptor activation energy in GaN, AlN, Al0.83Ga0.17N and MgGa δ-doping (AlN)5/(GaN)1: the strain effect

    Science.gov (United States)

    Jiang, Xin-He; Shi, Jun-Jie; Zhang, Min; Zhong, Hong-Xia; Huang, Pu; Ding, Yi-Min; He, Ying-Ping; Cao, Xiong

    2015-12-01

    To resolve the p-type doping problem of Al-rich AlGaN alloys, we investigate the influence of biaxial and hydrostatic strains on the activation energy, formation energy and band gap of Mg-doped GaN, AlN, Al0.83Ga0.17N disorder alloy and (AlN)5/(GaN)1 superlattice based on first-principles calculations by combining the standard DFT and hybrid functional. We find that the Mg acceptor activation energy {{E}\\text{A}} , the formation energy {{E}\\text{f}} and the band gap {{E}\\text{g}} decrease with increasing the strain ɛ. The hydrostatic strain has a more remarkable impact on {{E}\\text{g}} and {{E}\\text{A}} than the biaxial strain. Both {{E}\\text{A}} and {{E}\\text{g}} have a linear dependence on the hydrostatic strain. For the biaxial strain, {{E}\\text{g}} shows a parabolic dependence on ɛ if \\varepsilon ≤slant 0 while it becomes linear if \\varepsilon ≥slant 0 . In GaN and (AlN)5/(GaN)1, {{E}\\text{A}} parabolically depends on the biaxial compressive strain and linearly depends on the biaxial tensible strain. However, the dependence is approximately linear over the whole biaxial strain range in AlN and Al0.83Ga0.17N. The Mg acceptor activation energy in (AlN)5/(GaN)1 can be reduced from 0.26 eV without strain to 0.16 (0.22) eV with the hydrostatic (biaxial) tensible strain 3%.

  13. Effect of the sulfur and fluorine concentration on physical properties of CdS films grown by chemical bath deposition

    Directory of Open Access Journals (Sweden)

    K.E. Nieto-Zepeda

    Full Text Available Undoped and F-doped CdS thin films were grown on glass slides by chemical bath deposition using thiourea, cadmium acetate and ammonium fluoride as sulfur, cadmium, and fluorine sources, respectively. Undoped CdS films were deposited varying the concentration of thiourea. Once the optimal thiourea concentration was determined, based on the crystalline quality of the samples, this concentration was maintained and ammonium fluoride was added at different concentrations in order to explore the effect of the F nominal concentration on properties of CdS films. Undoped and F-doped CdS films were characterized by X-ray diffraction, UV–Vis, room temperature photoluminescence, and four probe resistivity measurements. Results showed highly transparent F-doped CdS films with strong PL and low resistivity were obtained. Keywords: CdS films, F-doped CdS films, Chemical bath deposition, Optical properties, Room temperature photoluminescence

  14. Improved performance of GaN based light emitting diodes with ex-situ sputtered AlN nucleation layers

    Directory of Open Access Journals (Sweden)

    Shuo-Wei Chen

    2016-04-01

    Full Text Available The crystal quality, electrical and optical properties of GaN based light emitting diodes (LEDs with ex-situ sputtered physical vapor deposition (PVD aluminum nitride (AlN nucleation layers were investigated. It was found that the crystal quality in terms of defect density and x-ray diffraction linewidth was greatly improved in comparison to LEDs with in-situ low temperature GaN nucleation layer. The light output power was 3.7% increased and the reverse bias voltage of leakage current was twice on LEDs with ex-situ PVD AlN nucleation layers. However, larger compressive strain was discovered in LEDs with ex-situ PVD AlN nucleation layers. The study shows the potential and constrain in applying ex-situ PVD AlN nucleation layers to fabricate high quality GaN crystals in various optoelectronics.

  15. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Analysis of the acoustoelectric behavior of microwave frequency, temperature-compensated AlN-based multilayer coupling configurations

    International Nuclear Information System (INIS)

    Caliendo, Cinzia

    2008-01-01

    Piezoelectric AlN films, 1.3-6.2 μm thick, have been grown on bare and metallized Al 2 O 3 (0001) substrates by reactive radio-frequency-sputtering technique at 180 deg. C. The films were uniform, stress-free, highly c-axis oriented normal to the surface, and extremely adhesive to the substrates. Surface acoustic wave (SAW) delay lines, showing harmonic modes with operating frequencies up to about 2.44 GHz, were obtained just using conventional optical lithography at 7.5 μm linewidth resolution. Four interdigital transducer (IDT)/counter electrode configurations were obtained locating the IDTs either on the AlN free surface or at the Al 2 O 3 /AlN interface, with and without an Al thin metal film opposite the IDTs. The temperature induced shift of the fundamental and harmonic operating frequencies of the four configurations was measured at different temperatures in the range from -25 to 70 deg. C. The first order temperature coefficient of delay (TCD) of the four structures was experimentally evaluated for different film thickness values and for SAWs propagating along and normal the Al 2 O 3 a-axis. Eight AlN thicknesses, i.e., the temperature-compensated points (TCPs), were experimentally estimated at which the TCD is equal to 0 ppm/deg. C. These TCPs were found to be in good agreement with those theoretically evaluated. The SAW propagation along the four coupling structures was investigated in terms of phase and group velocity, electromechanical coupling coefficient, electrical potential, and IDT capacitance and radiation resistance for different film thickness values and SAW propagation directions. The numerical simulation of the mechanical and electrical behaviors of the coupling structures showed how the electroacoustic transduction efficiency, the IDT directivity, and bandwidth can benefit from having different electrical boundary conditions. The obtained results confirm the AlN feasibility to the implementation of SAW devices for application to gigahertz

  17. Pyroelectricity of Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films grown by sol–gel process on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Moalla, R. [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, Ecole Centrale de Lyon, Bâtiment F7, 36 av. Guy de Collongue, 69134 Ecully Cedex (France); Le Rhun, G. [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054 Grenoble (France); Defay, E. [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054 Grenoble (France); Luxembourg Institute of Science and Technology (LIST), Materials Research & Technology Department (MRT), 41 Rue du Brill, L-4422 Belvaux (Luxembourg); Baboux, N. [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, INSA de Lyon, Bâtiment Blaise Pascal, 7 avenue Jean Capelle, 69621 Villeurbanne Cedex (France); Sebald, G. [Laboratoire de Génie Electrique et Ferroélectricité, LGEF EA 682, INSA de Lyon, Bâtiment Gustave Ferrié, 8 rue de la Physique, 69621 Villeurbanne Cedex (France); Bachelet, R., E-mail: romain.bachelet@ec-lyon.fr [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, Ecole Centrale de Lyon, Bâtiment F7, 36 av. Guy de Collongue, 69134 Ecully Cedex (France)

    2016-02-29

    Pyroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films have been grown by sol–gel process on Si(001). Intrinsic pyroelectric coefficient has been measured through ferroelectric loops recorded at different temperatures and is about − 300 μC/m{sup 2}K. Corresponding converted pyroelectric power density is estimated to be ~ 1 mW/cm{sup 3} for a temperature variation of 10 °C every 6 s. Pyroelectric response of these films has been confirmed by direct measurements of the pyroelectric current with temperature variations at zero electric field. These results are of high interest for integrated thermally-sensitive devices. - Highlights: • Functional oxide films are grown by low-cost sol–gel process and spin-coating. • Pyroelectric Pb(Zr,Ti)O{sub 3} films are integrated in planar capacitor structure on Si. • Bulk intrinsic pyroelectric coefficient is measured: ‐ 300 μC/m{sup 2}K. • Converted pyroelectric energy is estimated: 6 mJ/cm{sup 3} per 10 °C thermal cycle. • Direct measurements of pyroelectricity are done on integrated oxide thin films.

  18. Influence of growth temperature on electrical, optical, and plasmonic properties of aluminum:zinc oxide films grown by radio frequency magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Dondapati, Hareesh; Santiago, Kevin; Pradhan, A. K. [Center for Materials Research, Norfolk State University, 700 Park Avenue, Norfolk, Virginia 23504 (United States)

    2013-10-14

    We have investigated the responsible mechanism for the observation of metallic conductivity at room temperature and metal-semiconductor transition (MST) at lower temperatures for aluminum-doped zinc oxide (AZO) films. AZO films were grown on glass substrates by radio-frequency magnetron sputtering with varying substrate temperatures (T{sub s}). The films were found to be crystalline with the electrical resistivity close to 1.1 × 10{sup −3} Ω cm and transmittance more than 85% in the visible region. The saturated optical band gap of 3.76 eV was observed for the sample grown at T{sub s} of 400 °C, however, a slight decrease in the bandgap was noticed above 400 °C, which can be explained by Burstein–Moss effect. Temperature dependent resistivity measurements of these highly conducting and transparent films showed a MST at ∼110 K. The observed metal-like and metal-semiconductor transitions are explained by taking into account the Mott phase transition and localization effects due to defects. All AZO films demonstrate crossover in permittivity from positive to negative and low loss in the near-infrared region, illustrating its applications for plasmonic metamaterials, including waveguides for near infrared telecommunication region. Based on the results presented in this study, the low electrical resistivity and high optical transmittance of AZO films suggested a possibility for the application in the flexible electronic devices, such as transparent conducting oxide film on LEDs, solar cells, and touch panels.

  19. Influence of SrF_2-doping in AlN ceramics on scintillation and dosimeter properties

    International Nuclear Information System (INIS)

    Kojima, Kaori; Okada, Go; Fukuda, Kentaro; Yanagida, Takayuki

    2016-01-01

    In this study, we synthesized undoped AlN and SrF_2-doped AlN (AlN-SrF_2) ceramics by Spark Plasma Sintering (SPS), and we characterized their optical, scintillation and dosimeter properties. The prepared undoped AlN ceramic had gray color and visually non-transparent whereas, with an addition of SrF_2, the transparency improved and became translucent. The measured in-line transmittance was approximately 0.2% at wavelengths longer than 500 nm. While the addition of SrF_2 decreased the scintillation intensity, the decay time was significantly fastened, which is a great advantage for fast photon counting-based measurements. Both the thermally-stimulated luminescence (TSL) and optically-stimulated luminescence (OSL) showed good linear response from the milli-gray range to over 10 Gy. The sensitivity seems to decrease by an addition of SrF_2 as it suppresses structural defect centers which are responsible for dosimeter properties. However, the main TSL glow peak position shifts to higher temperature with the addition of SrF_2, which indicates that inclusion of SrF_2 improves the TSL signal stability. - Highlights: • We synthesized undoped and SrF_2-doped AlN ceramics by Spark Plasma Sintering. • We evaluated scintillator and dosimeter properties of undoped and SrF_2-doped AlN. • By doping with SrF_2, the decay time is shortened. • By doping with SrF_2, the stability of TSL and OSL is improved.

  20. Positive magnetoresistance in ferromagnetic Nd-doped In2O3 thin films grown by pulse laser deposition

    KAUST Repository

    Xing, G. Z.

    2014-05-23

    We report the magnetic and magnetotransport properties of (In 0.985Nd0.015)2O2.89 thin films grown by pulse laser deposition. The clear magnetization hysteresis loops with the complementary magnetic domain structure reveal the intrinsic room temperature ferromagnetism in the as-prepared films. The strong sp-f exchange interaction as a result of the rare earth doping is discussed as the origin of the magnetotransport behaviours. A positive magnetoresistance (∼29.2%) was observed at 5 K and ascribed to the strong ferromagnetic sp-f exchange interaction in (In0.985Nd0.015)2O 2.89 thin films due to a large Zeeman splitting in an external magnetic field of 50 KOe. © 2014 AIP Publishing LLC.

  1. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  2. Microtribological Mechanisms of Tungsten and Aluminum Nitride Films

    Science.gov (United States)

    Zhao, Hongjian; Mu, Chunyan; Ye, Fuxing

    2016-04-01

    Microtribology experiments were carried out on the W1- x Al x N films, deposited by radio frequency magnetron reactive sputtering on 304 stainless steel substrates and Si(100). Film wear mechanisms were investigated from the evolution of the friction coefficient and scanning electron microscopy observations. The results show that the WAlN films consist of a mixture of face-centered cubic W(Al)N and hexagonal wurtzite structure AlN phases and the preferred orientation changes from (111) to (200). The film damage after sliding test is mainly attributed to the composition and microstructure of the films. The amount of debris generated by friction is linked to the crack resistance. The better tribological properties for W1- x Al x N films ( x < 0.4) are mainly determined by the higher toughness.

  3. Structural features of epitaxial NiFe2O4 thin films grown on different substrates by direct liquid injection chemical vapor deposition

    Science.gov (United States)

    Datta, R.; Loukya, B.; Li, N.; Gupta, A.

    2012-04-01

    NiFe2O4 (NFO) thin films are grown on four different substrates, i.e., Lead Zinc Niobate-Lead Titanate (PZN-PT), Lead Magnesium Niobate-Lead Titanate (PMN-PT), MgAl2O4 (MAO) and SrTiO3 (STO), by a direct liquid injection chemical vapor deposition technique (DLI-CVD) under optimum growth conditions where relatively high growth rate (˜20 nm/min), smooth surface morphology and high saturation magnetization values in the range of 260-290 emu/ cm3 are obtained. The NFO films with correct stoichiometry (Ni:Fe=1:2) grow epitaxially on all four substrates, as confirmed by energy dispersive X-ray spectroscopy, transmission electron microscopy and x-ray diffraction. While the films on PMN-PT and PZN-PT substrates are partially strained, essentially complete strain relaxation occurs for films grown on MAO and STO. The formations of threading dislocations along with dark diffused contrast areas related to antiphase domains having a different cation ordering are observed on all four substrates. These crystal defects are correlated with lattice mismatch between the film and substrate and result in changes in magnetic properties of the films. Atomic resolution HAADF imaging and EDX line profiles show formation of a sharp interface between the film and the substrate with no inter-diffusion of Pb or other elements across the interface. Antiphase domains are observed to originate at the film-substrate interface.

  4. Simulation, fabrication and characterization of ZnO based thin film transistors grown by radio frequency magnetron sputtering.

    Science.gov (United States)

    Singh, Shaivalini; Chakrabarti, P

    2012-03-01

    We report the performance of the thin film transistors (TFTs) using ZnO as an active channel layer grown by radio frequency (RF) magnetron sputtering technique. The bottom gate type TFT, consists of a conventional thermally grown SiO2 as gate insulator onto p-type Si substrates. The X-ray diffraction patterns reveal that the ZnO films are preferentially orientated in the (002) plane, with the c-axis perpendicular to the substrate. A typical ZnO TFT fabricated by this method exhibits saturation field effect mobility of about 0.6134 cm2/V s, an on to off ratio of 102, an off current of 2.0 x 10(-7) A, and a threshold voltage of 3.1 V at room temperature. Simulation of this TFT is also carried out by using the commercial software modeling tool ATLAS from Silvaco-International. The simulated global characteristics of the device were compared and contrasted with those measured experimentally. The experimental results are in fairly good agreement with those obtained from simulation.

  5. Raman Studies on Pre- and Post-Processed CVD Graphene Films Grown under Various Nitrogen Carrier Gas Flows

    Science.gov (United States)

    Beh, K. P.; Yam, F. K.; Abdalrheem, Raed; Ng, Y. Z.; Suhaimi, F. H. A.; Lim, H. S.; Mat Jafri, M. Z.

    2018-04-01

    In this work, graphene films were grown on copper substrates using chemical vapour deposition method under various N2 carrier flow rate. The samples were characterized using Raman spectroscopy. Three sets of Raman measurements have been performed: graphene/Cu (as-grown samples), pre-annealed graphene/glass, and post-annealed graphene/glass. It was found that the Raman spectra of graphene/Cu samples possessed a hump-shaped baseline, additionally higher signal-to-noise ratio (SNR) that leads to attenuation graphene-related bands. Significant improvement of SNR and flat baseline were observed for graphene films transferred on glass substrate. Further analysis on the remaining sets of Raman spectra highlighted minute traces of polymethyl methacrylate (PMMA) could yield misleading results. Hence, the set of Raman spectra on annealed graphene/glass samples would be suitable in further elucidating the effects of N2 carrier flow towards graphene growth. From there, higher N2 flow implied dilution of methanol/H2 mixture, limiting interactions between reactants and substrate. This leads to smaller crystallite size and lesser graphene layers.

  6. Mechanical and Thermophysical Properties of Cubic Rock-Salt AlN Under High Pressure

    Science.gov (United States)

    Lebga, Noudjoud; Daoud, Salah; Sun, Xiao-Wei; Bioud, Nadhira; Latreche, Abdelhakim

    2018-03-01

    Density functional theory, density functional perturbation theory, and the Debye model have been used to investigate the structural, elastic, sound velocity, and thermodynamic properties of AlN with cubic rock-salt structure under high pressure, yielding the equilibrium structural parameters, equation of state, and elastic constants of this interesting material. The isotropic shear modulus, Pugh ratio, and Poisson's ratio were also investigated carefully. In addition, the longitudinal, transverse, and average elastic wave velocities, phonon contribution to the thermal conductivity, and interesting thermodynamic properties were predicted and analyzed in detail. The results demonstrate that the behavior of the elastic wave velocities under increasing hydrostatic pressure explains the hardening of the corresponding phonons. Based on the elastic stability criteria under pressure, it is found that AlN with cubic rock-salt structure is mechanically stable, even at pressures up to 100 GPa. Analysis of the Pugh ratio and Poisson's ratio revealed that AlN with cubic rock-salt structure behaves in brittle manner.

  7. Thin-Film Solar Cells with InP Absorber Layers Directly Grown on Nonepitaxial Metal Substrates

    KAUST Repository

    Zheng, Maxwell

    2015-08-25

    The design and performance of solar cells based on InP grown by the nonepitaxial thin-film vapor-liquid-solid (TF-VLS) growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and indium tin oxide transparent top electrode. An ex situ p-doping process for TF-VLS grown InP is introduced. Properties of the cells such as optoelectronic uniformity and electrical behavior of grain boundaries are examined. The power conversion efficiency of first generation cells reaches 12.1% under simulated 1 sun illumination with open-circuit voltage (VOC) of 692 mV, short-circuit current (JSC) of 26.9 mA cm-2, and fill factor (FF) of 65%. The FF of the cell is limited by the series resistances in the device, including the top contact, which can be mitigated in the future through device optimization. The highest measured VOC under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP. The design and performance of solar cells based on indium phosphide (InP) grown by the nonepitaxial thin-film vapor-liquid-solid growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and an indium tin oxide transparent top electrode. The highest measured open circuit voltage (VOC) under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP.

  8. Ellipsometry study on Pd thin film grown by atomic layer deposition with Maxwell–Garnett effective medium approximation model

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yihang; Zhou, Xueqi; Cao, Kun [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Xiuguo; Deng, Zhang [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan, E-mail: shyliu@hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Shan, Bin [State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Rong, E-mail: rongchen@mail.hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2015-10-30

    Maxwell–Garnett effective medium approximation (MG-EMA) model is chosen to study Pd ultrathin film grown on Si substrate, as well as its growth on self-assembled monolayers (SAMs) modified substrate respectively. The general oscillator (GO) model with one Drude and two Lorentz oscillators is firstly applied to fix the optical constants of Pd. Compared with Pd bulk model, MG-EMA model with GO is more reliable to predict the film thickness verified by X-ray reflection test. The stable growth rate on Si substrate reveals our methods are feasible and the quartz crystal microbalance measurement confirms the stability of the ALD chamber. For Pd coverage, MG-EMA fitting result is similar to the statistical computation from scanning electron microscope when Pd ALD cycles are over 400, while large bias exists for cycles under 400, might be due to that air is not the proper filling medium between nanoparticles. Then we change the filling medium into SAMs as a comparison, better fitting performance is obtained. It is demonstrated that the filling medium between nanoparticles is important for the application of MG-EMA model. - Highlights: • Ultrathin Pd thin films were grown by atomic layer deposition. • The measurement of thin film was important to understand initial growth behavior. • Maxwell–Garnett effective medium approximation model was applied. • Pd nanoparticle size and coverage were studied. • The filling medium between nanoparticles was important for model application.

  9. Influence of deposition temperature on the structural and morphological properties of Be{sub 3}N{sub 2} thin films grown by reactive laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Chale-Lara, F., E-mail: fabio_chale@yahoo.com.mx [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Apartado Postal 2681, Ensenada, Baja California, C.P. 22860 (Mexico); Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 14, Ensenada CP 22860, Baja California (Mexico); Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada-IPN, Unidad Altamira, Km. 14.5 Carretera Tampico-Puerto Industrial, Altamira, Tamaulipas (Mexico); Farias, M.H.; De la Cruz, W. [Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 14, Ensenada CP 22860, Baja California (Mexico); Zapata-Torres, M. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada-IPN, Legaria 694, Col. Irrigacion, Del. Miguel Hidalgo, Mexico D.F. (Mexico)

    2010-10-01

    Be{sub 3}N{sub 2} thin films have been grown on Si(1 1 1) substrates using the pulsed laser deposition method at different substrate temperatures: room temperature (RT), 200 deg. C, 400 deg. C, 600 deg. C and 700 deg. C. Additionally, two samples were deposited at RT and were annealed after deposition in situ at 600 deg. C and 700 deg. C. In order to obtain the stoichiometry of the samples, they have been characterized in situ by X-ray photoelectron (XPS) and reflection electron energy loss spectroscopy (REELS). The influence of the substrate temperature on the morphological and structural properties of the films was investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD). The results show that all prepared films presented the Be{sub 3}N{sub 2} stoichiometry. Formation of whiskers with diameters of 100-200 nm appears at the surface of the films prepared with a substrate temperature of 600 deg. C or 700 deg. C. However, the samples grown at RT and annealed at 600 deg. C or 700 deg. C do not show whiskers on the surface. The average root mean square (RMS) roughness and the average grain size of the samples grown with respect the substrate temperature is presented. The films grown with a substrate temperature between the room temperature to 400 deg. C, and the sample annealed in situ at 600 deg. C were amorphous; while the {alpha}Be{sub 3}N{sub 2} phase was presented on the samples with a substrate temperature of 600 deg. C, 700 deg. C and that deposited with the substrate at RT and annealed in situ at 700 deg. C.

  10. Phase-coherent electron transport in (Zn, Al)Ox thin films grown by atomic layer deposition

    Science.gov (United States)

    Saha, D.; Misra, P.; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M.

    2014-11-01

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)Ox thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al2O3 sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length ( l φ ∝ T - 3 / 4 ), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  11. Comparative study of ITO and FTO thin films grown by spray pyrolysis

    International Nuclear Information System (INIS)

    Ait Aouaj, M.; Diaz, R.; Belayachi, A.; Rueda, F.; Abd-Lefdil, M.

    2009-01-01

    Tin doped indium oxide (ITO) and fluorine doped tin oxide (FTO) thin films have been prepared by one step spray pyrolysis. Both film types grown at 400 deg. C present a single phase, ITO has cubic structure and preferred orientation (4 0 0) while FTO exhibits a tetragonal structure. Scanning electron micrographs showed homogeneous surfaces with average grain size around 257 and 190 nm for ITO and FTO respectively. The optical properties have been studied in several ITO and FTO samples by transmittance and reflectance measurements. The transmittance in the visible zone is higher in ITO than in FTO layers with a comparable thickness, while the reflectance in the infrared zone is higher in FTO in comparison with ITO. The best electrical resistivity values, deduced from optical measurements, were 8 x 10 -4 and 6 x 10 -4 Ω cm for ITO (6% of Sn) and FTO (2.5% of F) respectively. The figure of merit reached a maximum value of 2.15 x 10 -3 Ω -1 for ITO higher than 0.55 x 10 -3 Ω -1 for FTO.

  12. Improved crystalline quality of AlN epitaxial layer on sapphire by introducing TMGa pulse flow into the nucleation stage

    Science.gov (United States)

    Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao

    2018-05-01

    The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.

  13. Epitaxial growth of metallic buffer layer structure and c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 thin film on Si for high performance piezoelectric micromachined ultrasonic transducer

    Science.gov (United States)

    Thao, Pham Ngoc; Yoshida, Shinya; Tanaka, Shuji

    2017-12-01

    This paper reports on the development of a metallic buffer layer structure, (100) SrRuO3 (SRO)/(100) Pt/(100) Ir/(100) yttria-stabilized zirconia (YSZ) layers for the epitaxial growth of a c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 (PMnN-PZT) thin film on a (100) Si wafer for piezoelectric micro-electro mechanical systems (MEMS) application. The stacking layers were epitaxially grown on a Si substrate under the optimal deposition condition. A crack-free PMnN-PZT epitaxial thin films was obtained at a thickness up to at least 1.7 µm, which is enough for MEMS applications. The unimorph MEMS cantilevers based on the PMnN-PZT thin film were fabricated and characterized. As a result, the PMnN-PZT thin film exhibited -10 to -12 C/m2 as a piezoelectric coefficient e 31,f and ˜250 as a dielectric constants ɛr. The resultant FOM for piezoelectric micromachined ultrasonic transducer (pMUT) is higher than those of general PZT and AlN thin films. This structure has a potential to provide high-performance pMUTs.

  14. Benefits of carbon addition on the hydrogen absorption properties of Mg-based thin films grown by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Darok, X.; Rougier, A.; Bhat, V.; Aymard, L.; Dupont, L.; Laffont, L.; Tarascon, J.-M.

    2006-01-01

    Mg-Ni thin films were grown using Pulsed Laser Deposition. In situ optical changes from shiny metallic to transparent states were observed for films deposited in vacuum and under an Ar/H 2 gas mixture (93/7%), respectively. Optical changes were also achieved by ex situ hydrogenation under hydrogen gas pressure of 15 bars at 200 deg. C. However, after ex situ hydrogenation, the optical transmittance of the Mg-based hydrogenated thin films did not exceed 25%. Such limitation was attributed to oxygen contamination, as deduced by High Resolution Transmission Electron Microscopy observations, showing the co-existence of both Mg-based and MgO phases for as-deposited films. A significant decrease in oxygen contamination was successfully achieved with the addition of carbon, leading to the preparation of (Mg-based)-C x (x < 20%) thin films showing a faster and easier hydrogenation

  15. Scaling behavior of the surface roughness of platinum films grown by oblique angle deposition

    Science.gov (United States)

    Dolatshahi-Pirouz, A.; Hovgaard, M. B.; Rechendorff, K.; Chevallier, J.; Foss, M.; Besenbacher, F.

    2008-03-01

    Thin platinum films with well-controlled rough surface morphologies are grown by e-gun evaporation at an oblique angle of incidence between the deposition flux and the substrate normal. Atomic force microscopy is used to determine the root-mean-square value w of the surface roughness on the respective surfaces. From the scaling behavior of w , we find that while the roughness exponent α remains nearly unchanged at about 0.90, the growth exponent β changes from 0.49±0.04 to 0.26±0.01 as the deposition angle approaches grazing incidence. The values of the growth exponent β indicate that the film growth is influenced by both surface diffusion and shadowing effects, while the observed change from 0.49 to 0.26 can be attributed to differences in the relative importance of diffusion and shadowing with the deposition angle.

  16. Optical and structural properties of CuSbS2 thin films grown by thermal evaporation method

    International Nuclear Information System (INIS)

    Rabhi, A.; Kanzari, M.; Rezig, B.

    2009-01-01

    Structural, optical and electrical properties of CuSbS 2 thin films grown by thermal evaporation have been studied relating the effects of substrate heating conditions of these properties. The CuSbS 2 thin films were carried out at substrate temperatures in the temperature range 100-200 deg. C . The structure and composition were characterized by XRD, SEM and EDX. X-ray diffraction revealed that the films are (111) oriented upon substrate temperature 170 deg. C and amorphous for the substrate temperatures below 170 deg. C . No secondary phases are observed for all the films. The optical absorption coefficients and band gaps of the films were estimated by optical transmission and reflection measurements at room temperature. Strong absorption coefficients in the range 10 5 -10 6 cm -1 at 500 nm were found. The direct gaps Eg lie between 0.91-1.89 eV range. It is observed that there is a decrease in optical band gap Eg with increasing the substrate temperature. Resistivity of 0.03-0.96 Ω cm, in dependence on substrate temperature was characterized. The all unheated films exhibit p-type conductivity. The characteristics reported here also offer perspective for CuSbS 2 as an absorber material in solar cells applications

  17. Effect of In_xGa_1_−_xAs interlayer on the properties of In_0_._3Ga_0_._7As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Shuguang; Li, Jingling; Zhang, Xiaona; Li, Guoqiang; Liu, Ying

    2015-01-01

    High-quality In_0_._3Ga_0_._7As films have been epitaxially grown on Si (111) substrate by inserting an In_xGa_1_−_xAs interlayer with various In compositions by molecular beam epitaxy. The effect of In_xGa_1_−_xAs interlayer on the surface morphology and structural properties of In_0_._3Ga_0_._7As films is studied in detail. It reveals that In_0_._3Ga_0_._7As films grown at appropriate In composition in In_xGa_1_−_xAs interlayer exhibit smooth surface with a surface root-mean-square roughness of 1.7 nm; while In_0_._3Ga_0_._7As films grown at different In composition of In_xGa_1_−_xAs interlayer show poorer properties. This work demonstrates a simple but effective method to grow high-quality In_0_._3Ga_0_._7As epilayers on Si substrates, and brings up a broad prospect for the application of InGaAs-based optoelectronic devices on Si substrates. - Highlights: • We provide a simple approach to achieve high-quality In_0_._3Ga_0_._7As films on Si. • An In_0_._2_8Ga_0_._7_2As interlayer can release mismatch strain. • High-quality In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer. • Smooth surface In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer.

  18. Doping properties of ZnO thin films for photovoltaic devices grown by URT-IP (ion plating) method

    International Nuclear Information System (INIS)

    Iwata, K.; Sakemi, T.; Yamada, A.; Fons, P.; Awai, K.; Yamamoto, T.; Matsubara, M.; Tampo, H.; Sakurai, K.; Ishizuka, S.; Niki, S.

    2004-01-01

    The Uramoto-gun with Tanaka magnetic field (URT)-ion plating (IP) method is a novel ion plating technique for thin film deposition. This method offers the advantage of low-ion damage, low deposition temperatures, large area deposition and high growth rates. Ga-doped ZnO thin films were grown using the URT-IP method, and the doping properties were evaluated. The opposing goals of low Ga composition and low resistivity are required for industrial applications of transparent conductive oxide (TCO). We have carried out a comparison between the carrier concentration and Ga atomic concentration in Ga-doped ZnO thin films and found the trade-off point for optimal TCO performance. The optimum growth conditions were obtained using a 3% Ga 2 O 3 content ZnO target

  19. The Study of Al0.29Ga0.71N-BASED Schottky Photodiodes Grown on Silicon by Plasma-Assisted Molecular Beam Epitaxy

    Science.gov (United States)

    Mohd Yusoff, M. Z.; Hassan, Z.; Chin, C. W.; Hassan, H. Abu; Abdullah, M. J.; Mohammad, N. N.; Ahmad, M. A.; Yusof, Y.

    2013-05-01

    In this paper, the growth and characterization of epitaxial Al0.29Ga0.71N grown on Si(111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. PL spectrum of sample has shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing that it is comparable in crystal quality of the sample when compared with previous reports. From the Raman measurement of as-grown Al0.29Ga0.71N layer on GaN/AlN/Si sample. We found that the dominant E2 (high) phonon mode of GaN appears at 572.7 cm-1. The E2 (high) mode of AlN appears at 656.7 cm-1 and deviates from the standard value of 655 cm-1 for unstrained AlN. Finally, AlGaN Schottky photodiode have been fabricated and analyzed by mean of electrical characterization, using current-voltage (I-V) measurement to evaluate the performance of this device.

  20. Effects of Various Parameters on Structural and Optical Properties of CBD-Grown ZnS Thin Films: A Review

    Science.gov (United States)

    Sinha, Tarkeshwar; Lilhare, Devjyoti; Khare, Ayush

    2018-02-01

    Zinc sulfide (ZnS) thin films deposited by chemical bath deposition (CBD) technique have proved their capability in a wide area of applications including electroluminescent and display devices, solar cells, sensors, and field emitters. These semiconducting thin films have attracted a much attention from the scientific community for industrial and research purposes. In this article, we provide a comprehensive review on the effect of various parameters on various properties of CBD-grown ZnS films. In the first part, we discuss the historical background of ZnS, its basic properties, and the advantages of the CBD technique. Detailed discussions on the film growth, structural and optical properties of ZnS thin films affected by various parameters, such as bath temperature and concentration, deposition time, stirring speed, complexing agents, pH value, humidity in the environment, and annealing conditions, are also presented. In later sections, brief information about the recent studies and findings is also added to explore the scope of research work in this field.

  1. Critical thickness of high structural quality SrTiO3 films grown on orthorhombic (101) DyScO3

    International Nuclear Information System (INIS)

    Biegalski, M. D.; Trolier-McKinstry, S.; Schlom, D. G.; Fong, D. D.; Eastman, J. A.; Fuoss, P. H.; Streiffer, S. K.; Heeg, T.; Schubert, J.; Tian, W.; Nelson, C. T.; Pan, X. Q.; Hawley, M. E.; Bernhagen, M.; Reiche, P.; Uecker, R.

    2008-01-01

    Strained epitaxial SrTiO 3 films were grown on orthorhombic (101) DyScO 3 substrates by reactive molecular-beam epitaxy. The epitaxy of this substrate/film combination is cube on cube with a pseudocubic out-of-plane (001) orientation. The strain state and structural perfection of films with thicknesses ranging from 50 to 1000 A were examined using x-ray scattering. The critical thickness at which misfit dislocations was introduced was between 350 and 500 A. These films have the narrowest rocking curves (full width at half maximum) ever reported for any heteroepitaxial oxide film (0.0018 deg.). Only a modest amount of relaxation is seen in films exceeding the critical thicknesses even after postdeposition annealing at 700 deg. C in 1 atm of oxygen. The dependence of strain relaxation on crystallographic direction is attributed to the anisotropy of the substrate. These SrTiO 3 films show structural quality more typical of semiconductors such as GaAs and silicon than perovskite materials; their structural relaxation behavior also shows similarity to that of compound semiconductor films

  2. ALD grown nanostructured ZnO thin films: Effect of substrate temperature on thickness and energy band gap

    Directory of Open Access Journals (Sweden)

    Javed Iqbal

    2016-10-01

    Full Text Available Nanostructured ZnO thin films with high transparency have been grown on glass substrate by atomic layer deposition at various temperatures ranging from 100 °C to 300 °C. Efforts have been made to observe the effect of substrate temperature on the thickness of the deposited thin films and its consequences on the energy band gap. A remarkably high growth rate of 0.56 nm per cycle at a substrate temperature of 200 °C for ZnO thin films have been achieved. This is the maximum growth rate for ALD deposited ZnO thin films ever reported so far to the best of our knowledge. The studies of field emission scanning electron microscopy and X-ray diffractometry patterns confirm the deposition of uniform and high quality nanosturtured ZnO thin films which have a polycrystalline nature with preferential orientation along (100 plane. The thickness of the films deposited at different substrate temperatures was measured by ellipsometry and surface profiling system while the UV–visible and photoluminescence spectroscopy studies have been used to evaluate the optical properties of the respective thin films. It has been observed that the thickness of the thin film depends on the substrate temperatures which ultimately affect the optical and structural parameters of the thin films.

  3. Thin yttrium iron garnet films grown by pulsed laser deposition: Crystal structure, static, and dynamic magnetic properties

    Energy Technology Data Exchange (ETDEWEB)

    Sokolov, N. S., E-mail: nsokolov@fl.ioffe.ru; Fedorov, V. V.; Korovin, A. M.; Suturin, S. M.; Baranov, D. A.; Gastev, S. V.; Krichevtsov, B. B.; Bursian, V. E.; Lutsev, L. V. [Ioffe Physical-Technical Institute of Russian Academy of Sciences, St. Petersburg 194021 (Russian Federation); Maksimova, K. Yu.; Grunin, A. I. [Immanuel Kant Baltic Federal University, Kaliningrad 236041 (Russian Federation); Tabuchi, M. [Synchrotron Radiation Research Center, Nagoya University, Nagoya 464-8603 (Japan)

    2016-01-14

    Pulsed laser deposition has been used to grow thin (10–84 nm) epitaxial layers of Yttrium Iron Garnet Y{sub 3}Fe{sub 5}O{sub 12} (YIG) on (111)–oriented Gadolinium Gallium Garnet substrates at different growth conditions. Atomic force microscopy showed flat surface morphology both on micrometer and nanometer scales. X-ray diffraction measurements revealed that the films are coherent with the substrate in the interface plane. The interplane distance in the [111] direction was found to be by 1.2% larger than expected for YIG stoichiometric pseudomorphic film indicating presence of rhombohedral distortion in this direction. Polar Kerr effect and ferromagnetic resonance measurements showed existence of additional magnetic anisotropy, which adds to the demagnetizing field to keep magnetization vector in the film plane. The origin of the magnetic anisotropy is related to the strain in YIG films observed by XRD. Magneto-optical Kerr effect measurements revealed important role of magnetization rotation during magnetization reversal. An unusual fine structure of microwave magnetic resonance spectra has been observed in the film grown at reduced (0.5 mTorr) oxygen pressure. Surface spin wave propagation has been demonstrated in the in-plane magnetized films.

  4. Thin yttrium iron garnet films grown by pulsed laser deposition: Crystal structure, static, and dynamic magnetic properties

    International Nuclear Information System (INIS)

    Sokolov, N. S.; Fedorov, V. V.; Korovin, A. M.; Suturin, S. M.; Baranov, D. A.; Gastev, S. V.; Krichevtsov, B. B.; Bursian, V. E.; Lutsev, L. V.; Maksimova, K. Yu.; Grunin, A. I.; Tabuchi, M.

    2016-01-01

    Pulsed laser deposition has been used to grow thin (10–84 nm) epitaxial layers of Yttrium Iron Garnet Y 3 Fe 5 O 12 (YIG) on (111)–oriented Gadolinium Gallium Garnet substrates at different growth conditions. Atomic force microscopy showed flat surface morphology both on micrometer and nanometer scales. X-ray diffraction measurements revealed that the films are coherent with the substrate in the interface plane. The interplane distance in the [111] direction was found to be by 1.2% larger than expected for YIG stoichiometric pseudomorphic film indicating presence of rhombohedral distortion in this direction. Polar Kerr effect and ferromagnetic resonance measurements showed existence of additional magnetic anisotropy, which adds to the demagnetizing field to keep magnetization vector in the film plane. The origin of the magnetic anisotropy is related to the strain in YIG films observed by XRD. Magneto-optical Kerr effect measurements revealed important role of magnetization rotation during magnetization reversal. An unusual fine structure of microwave magnetic resonance spectra has been observed in the film grown at reduced (0.5 mTorr) oxygen pressure. Surface spin wave propagation has been demonstrated in the in-plane magnetized films

  5. Effect of annealing on structural and optical properties of Cu_2ZnSnS_4 thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Surgina, G.D.; Nevolin, V.N.; Sipaylo, I.P.; Teterin, P.E.; Medvedeva, S.S.; Lebedinsky, Yu.Yu.; Zenkevich, A.V.

    2015-01-01

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu_2ZnSnS_4 (CZTS) thin films grown by reactive Pulsed Laser Deposition in H_2S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N_2 at the optimized conditions. - Highlights: • Cu_2ZnSnS_4 (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H_2S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N_2 effectively inhibits the formation of Sn_xS secondary phases.

  6. Effect of cesium assistance on the electrical and structural properties of indium tin oxide films grown by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Song, Jaewon; Hwang, Cheol Seong; Park, Sung Jin; Yoon, Neung Ku [Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Seoul 151-742 (Korea, Republic of); Sorona Inc., Pyeongtaek, Gyeonggi 451-841 (Korea, Republic of)

    2009-07-15

    Indium tin oxide (ITO) thin films were deposited by cesium (Cs)-assisted dc magnetron sputtering in an attempt to achieve a high performance at low temperatures. The films were deposited on SiO{sub 2}/Si wafer and glass (Eagle 2000) substrates at a substrate temperature of 100 degree sign C with a Cs vapor flow during the deposition process. The ITO thin films deposited in the presence of Cs vapor showed better crystallinity than the control films grown under normal Ar/O{sub 2} plasma conditions. The resistivity of the films with the Cs assistance was lower than that of the control films. The lowest resistivity of 6.2x10{sup -4} {Omega} cm, which is {approx}20% lower than that of the control sample, was obtained without any postdeposition thermal annealing. The surface roughness increased slightly when Cs vapor was added. The optical transmittance was >80% at wavelengths ranging from 380 to 700 nm.

  7. Thickness dependence of microstructures in La0.9Sr0.1MnO3 thin films grown on exact-cut and miscut SrTiO3 substrates

    International Nuclear Information System (INIS)

    Zhang Hongdi; An Yukai; Mai Zhenhong; Lu Huibin; Zhao Kun; Pan Guoqiang; Li Ruipeng; Fan Rong

    2008-01-01

    The thickness dependence of microstructures of La 0.9 Sr 0.1 MnO 3 (LSMO) thin films grown on exact-cut and miscut SrTiO 3 (STO) substrates, respectively, was investigated by high-angle X-ray diffraction (HXRD), X-ray small-angle reflection (XSAR), X-ray reciprocal space mapping and atomic force microscopy (AFM). Results show that the LSMO films are in pseudocubic structure and are highly epitaxial [0 0 1]-oriented growth on the (0 0 1) STO substrates. The crystalline quality of the LSMO film is improved with thickness. The epitaxial relationship between the LSMO films and the STO substrates is [0 0 1] LSMO -parallel [0 0 1] EXACT-STO , and the LSMO films have a slight mosaic structure along the q x direction for the samples grown on the exact-cut STO substrates. However, an oriented angle of about 0.24 deg. exists between [0 0 1] LSMO and [0 0 1] MISCUT-STO , and the LSMO films have a mosaic structure along the q z direction for that grown on the miscut STO substrates. The mosaic structure of both groups of the samples tends to reduce with thickness. The diffraction intensity of the (0 0 4) peaks increases with thickness of the LSMO film. The XSAR and AFM observations show that for both groups, the interface is sharp and the surface is rather smooth. The mechanism was discussed briefly

  8. Transverse and longitudinal electrooptic properties of highly (100) oriented Pb(Zr,Ti)O{sub 3} films grown on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jong-Jin [Department of Future Technology, Korea Institute of Machinery and Materials, 66 Sang-Nam Dong, Chang-Won, Gyeong-Nam, 641-010 (Korea, Republic of) and School of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of)]. E-mail: finaljin@kmail.kimm.re.kr; Park, Gun-Tae [School of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Kim, Hyoun-Ee [School of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Kim, Dal-Young [Department of Visual Optics, Seoul National University of Technology, 172 Gongreung 2-dong, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2006-12-05

    The Pb(Zr,Ti)O{sub 3} [PZT] films with a preferred orientation generally have columnar texture. Because the properties of a PZT film are strongly dependent on its crystallographic direction, the electrooptic properties along the longitudinal and transverse direction are expected to be anisotropic. In this study, highly (100) oriented PZT films were grown on glass and ITO (Indium Tin Oxide) coated glass substrates using the sol-gel multi-coating method with lanthanum nitrate and lanthanum nickel nitrate as buffer layers. The longitudinal and transverse electrooptic properties of the textured films were characterized by transmission-mode measuring equipment with a Senarmont compensator using a sample tilting method. The calculated longitudinal and transverse electrooptic coefficients of the highly (100) oriented PZT films were 147 and 250 pm/V, respectively.

  9. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  10. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material

    Directory of Open Access Journals (Sweden)

    Prashanta Dhoj Adhikari

    2014-01-01

    Full Text Available We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT–G. Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT–G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT–G structure and p–n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT–G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  11. Origin of green luminescence in ZnO thin film grown by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Heo, Y.W.; Norton, D.P.; Pearton, S.J.

    2005-01-01

    The properties of ZnO films grown by molecular-beam epitaxy are reported. The primary focus was on understanding the origin of deep-level luminescence. A shift in deep-level emission from green to yellow is observed with reduced Zn pressure during the growth. Photoluminescence and Hall measurements were employed to study correlations between deep-level/near-band-edge emission and carrier density. With these results, we suggest that the green emission is related to donor-deep acceptor (Zn vacancy V Zn - ) and the yellow to donor-deep acceptor (oxygen vacancy, O i - )

  12. Comparative study of zinc oxide and aluminum doped zinc oxide transparent thin films grown by direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Suchea, M.; Christoulakis, S.; Katsarakis, N.; Kitsopoulos, T.; Kiriakidis, G.

    2007-01-01

    Pure and aluminum (Al) doped zinc oxide (ZnO and ZAO) thin films have been grown using direct current (dc) magnetron sputtering from pure metallic Zn and ceramic ZnO targets, as well as from Al-doped metallic ZnAl2at.% and ceramic ZnAl2at.%O targets at room temperature (RT). The effects of target composition on the film's surface topology, crystallinity, and optical transmission have been investigated for various oxygen partial pressures in the sputtering atmosphere. It has been shown that Al-doped ZnO films sputtered from either metallic or ceramic targets exhibit different surface morphology than the undoped ZnO films, while their preferential crystalline growth orientation revealed by X-ray diffraction remains always the (002). More significantly, Al-doping leads to a larger increase of the optical transmission and energy gap (E g ) of the metallic than of the ceramic target prepared films

  13. In situ photoelectron spectroscopy of LaMnO3 and La0.6Sr0.4MnO3 thin films grown by laser molecular beam expitaxy

    International Nuclear Information System (INIS)

    Oshima, M.; Kobayashi, D.; Horiba, K.; Ohguchi, H.; Kumigashira, H.; Ono, K.; Nakagawa, N.; Lippmaa, M.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    We have constructed a high-resolution photoelectron spectroscopy system combined with a laser molecular beam epitaxy (laser-MBE) chamber and have characterized composition-controlled La 1-x Sr x MnO 3 (LSMO) thin films. The importance of atomically flat surfaces by in situ photoelectron spectroscopy for revealing the intrinsic electronic structures has been demonstrated by comparing O1s, O2s and valence band spectra from the laser-MBE-grown LaMnO 3 and LSMO films with those from the scraped samples. Even for the laser-MBE-grown LSMO films, core levels and band structure exhibit strong dependence on surface morphology. For atomically flat LSMO films, we have also elucidated the hole-doping features into Mn3d e g band by substituting La with Sr by resonant photoelectron spectra

  14. Advances in piezoelectric thin films for acoustic biosensors, acoustofluidics and lab-on-chip applications

    OpenAIRE

    Fu, Yong Qing; Luo, Jack; Nguyen, Nam-Trung; Walton, Anthony; Flewitt, Andrew; Zu, Xiao-Tao; Li, Yifan; McHale, Glen; Matthews, Allan; Iborra, Enrique; Du, Hejun; Milne, William

    2017-01-01

    Recently, piezoelectric thin films including zinc oxide (ZnO) and aluminium nitride (AlN) have found a broad range of lab-on-chip applications such as biosensing, particle/cell concentrating, sorting/patterning, pumping, mixing, nebulisation and jetting. Integrated acoustic wave sensing/microfluidic devices have been fabricated by depositing these piezoelectric films onto a number of substrates such as silicon, ceramics, diamond, quartz, glass, and more recently also polymer, metallic foils a...

  15. Effect of AlN layer on the bipolar resistive switching behavior in TiN thin film based ReRAM device for non-volatile memory application

    Science.gov (United States)

    Prakash, Ravi; Kaur, Davinder

    2018-05-01

    The effect of an additional AlN layer in the Cu/TiN/AlN/Pt stack configuration deposited using sputtering has been investigated. The Cu/TiN/AlN/Pt device shows a tristate resistive switching. Multilevel switching is facilitated by ionic and metallic filament formation, and the nature of the filaments formed is confirmed by performing a resistance vs. temperature measurement. Ohmic behaviour and trap controlled space charge limited current (SCLC) conduction mechanisms are confirmed as dominant conduction mechanism at low resistance state (LRS) and high resistance state (HRS). High resistance ratio (102) corresponding to HRS and LRS, good write/erase endurance (105) and non-volatile long retention (105s) are also observed. Higher thermal conductivity of the AlN layer is the main reasons for the enhancement of resistive switching performance in Cu/TiN/AlN/Pt cell. The above result suggests the feasibility of Cu/TiN/AlN/Pt devices for multilevel nonvolatile ReRAM application.

  16. AlN and Al oxy-nitride gate dielectrics for reliable gate stacks on Ge and InGaAs channels

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Y.; Li, H.; Robertson, J. [Engineering Department, Cambridge University, Cambridge CB2 1PZ (United Kingdom)

    2016-05-28

    AlN and Al oxy-nitride dielectric layers are proposed instead of Al{sub 2}O{sub 3} as a component of the gate dielectric stacks on higher mobility channels in metal oxide field effect transistors to improve their positive bias stress instability reliability. It is calculated that the gap states of nitrogen vacancies in AlN lie further away in energy from the semiconductor band gap than those of oxygen vacancies in Al{sub 2}O{sub 3}, and thus AlN might be less susceptible to charge trapping and have a better reliability performance. The unfavourable defect energy level distribution in amorphous Al{sub 2}O{sub 3} is attributed to its larger coordination disorder compared to the more symmetrically bonded AlN. Al oxy-nitride is also predicted to have less tendency for charge trapping.

  17. Electroplating of CdTe Thin Films from Cadmium Sulphate Precursor and Comparison of Layers Grown by 3-Electrode and 2-Electrode Systems

    Directory of Open Access Journals (Sweden)

    Imyhamy M. Dharmadasa

    2017-01-01

    Full Text Available Electrodeposition of CdTe thin films was carried out from the late 1970s using the cadmium sulphate precursor. The solar energy group at Sheffield Hallam University has carried out a comprehensive study of CdTe thin films electroplated using cadmium sulfate, cadmium nitrate and cadmium chloride precursors, in order to select the best electrolyte. Some of these results have been published elsewhere, and this manuscript presents the summary of the results obtained on CdTe layers grown from cadmium sulphate precursor. In addition, this research program has been exploring the ways of eliminating the reference electrode, since this is a possible source of detrimental impurities, such as K+ and Ag+ for CdS/CdTe solar cells. This paper compares the results obtained from CdTe layers grown by three-electrode (3E and two-electrode (2E systems for their material properties and performance in CdS/CdTe devices. Thin films were characterized using a wide range of analytical techniques for their structural, morphological, optical and electrical properties. These layers have also been used in device structures; glass/FTO/CdS/CdTe/Au and CdTe from both methods have produced solar cells to date with efficiencies in the region of 5%–13%. Comprehensive work carried out to date produced comparable and superior devices fabricated from materials grown using 2E system.

  18. Elucidating doping driven microstructure evolution and optical properties of lead sulfide thin films grown from a chemical bath

    Science.gov (United States)

    Mohanty, Bhaskar Chandra; Bector, Keerti; Laha, Ranjit

    2018-03-01

    Doping driven remarkable microstructural evolution of PbS thin films grown by a single-step chemical bath deposition process at 60 °C is reported. The undoped films were discontinuous with octahedral-shaped crystallites after 30 min of deposition, whereas Cu doping led to a distinctly different surface microstructure characterized by densely packed elongated crystallites. A mechanism, based on the time sequence study of microstructural evolution of the films, and detailed XRD and Raman measurements, has been proposed to explain the contrasting microstructure of the doped films. The incorporation of Cu forms an interface layer, which is devoid of Pb. The excess Cu ions in this interface layer at the initial stages of film growth strongly interact and selectively stabilize the charged {111} faces containing either Pb or S compared to the uncharged {100} faces that contain both Pb and S. This interaction interferes with the natural growth habit resulting in the observed surface features of the doped films. Concurrently, the Cu-doping potentially changed the optical properties of the films: A significant widening of the bandgap from 1.52 eV to 1.74 eV for increase in Cu concentration from 0 to 20% was observed, making it a highly potential absorber layer in thin film solar cells.

  19. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  20. Structural, Optical Constants and Photoluminescence of ZnO Thin Films Grown by Sol-Gel Spin Coating

    Directory of Open Access Journals (Sweden)

    Abdel-Sattar Gadallah

    2013-01-01

    Full Text Available We report manufacturing and characterization of low cost ZnO thin films grown on glass substrates by sol-gel spin coating method. For structural properties, X-ray diffraction measurements have been utilized for evaluating the dominant orientation of the thin films. For optical properties, reflectance and transmittance spectrophotometric measurements have been done in the spectral range from 350 nm to 2000 nm. The transmittance of the prepared thin films is 92.4% and 88.4%. Determination of the optical constants such as refractive index, absorption coefficient, and dielectric constant in this wavelength range has been evaluated. Further, normal dispersion of the refractive index has been analyzed in terms of single oscillator model of free carrier absorption to estimate the dispersion and oscillation energy. The lattice dielectric constant and the ratio of free carrier concentration to free carrier effective mass have been determined. Moreover, photoluminescence measurements of the thin films in the spectral range from 350 nm to 900 nm have been presented. Electrical measurements for resistivity evaluation of the films have been done. An analysis in terms of order-disorder of the material has been presented to provide more consistency in the results.

  1. High electron mobility in Ga(In)NAs films grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Miyashita, Naoya; Ahsan, Nazmul; Monirul Islam, Muhammad; Okada, Yoshitaka; Inagaki, Makoto; Yamaguchi, Masafumi

    2012-01-01

    We report the highest mobility values above 2000 cm 2 /Vs in Si doped GaNAs film grown by molecular beam epitaxy. To understand the feature of the origin which limits the electron mobility in GaNAs, temperature dependences of mobility were measured for high mobility GaNAs and referential low mobility GaInNAs. Temperature dependent mobility for high mobility GaNAs is similar to the GaAs case, while that for low mobility GaInNAs shows large decrease in lower temperature region. The electron mobility of high quality GaNAs can be explained by intrinsic limiting factor of random alloy scattering and extrinsic factor of ionized impurity scattering.

  2. Electrical resistivity of CuAlMo thin films grown at room temperature by dc magnetron sputtering

    Science.gov (United States)

    Birkett, Martin; Penlington, Roger

    2016-07-01

    We report on the thickness dependence of electrical resistivity of CuAlMo films grown by dc magnetron sputtering on glass substrates at room temperature. The electrical resistance of the films was monitored in situ during their growth in the thickness range 10-1000 nm. By theoretically modelling the evolution of resistivity during growth we were able to gain an insight into the dominant electrical conduction mechanisms with increasing film thickness. For thicknesses in the range 10-25 nm the electrical resistivity is found to be a function of the film surface roughness and is well described by Namba’s model. For thicknesses of 25-40 nm the experimental data was most accurately fitted using the Mayadas and Shatkes model which accounts for grain boundary scattering of the conduction electrons. Beyond 40 nm, the thickness of the film was found to be the controlling factor and the Fuchs-Sonheimer (FS) model was used to fit the experimental data, with diffuse scattering of the conduction electrons at the two film surfaces. By combining the Fuchs and Namba (FN) models a suitable correlation between theoretical and experimental resistivity can be achieved across the full CuAlMo film thickness range of 10-1000 nm. The irreversibility of resistance for films of thickness >200 nm, which demonstrated bulk conductivity, was measured to be less than 0.03% following subjection to temperature cycles of -55 and +125 °C and the temperature co-efficient of resistance was less than ±15 ppm °C-1.

  3. Influence of Sn incorporation on the properties of CuInS2 thin films grown by vacuum evaporation method

    International Nuclear Information System (INIS)

    Zribi, M.; Rabeh, M. Ben; Brini, R.; Kanzari, M.; Rezig, B.

    2006-01-01

    Structural, morphological and optical properties of Sn-doped CuInS 2 thin films grown by double source thermal evaporation method were studied. Firstly, the films were annealed in vacuum after evaporation from 250 to 500 deg. C for Sn deposition time equal to 3 min. Secondly, the films deposited for several Sn evaporation times were annealed in vacuum after evaporation at 500 deg. C. The X-ray diffraction spectra indicated that polycrystalline Sn-doped CuInS 2 films were obtained and no Sn binary or ternary phases are observed for the Sn evaporation times equal to 5 min. Scanning electron microscopy observation revealed the decrease of the surface crystallinity with increasing the Sn evaporation times and the annealing temperatures. The Sn-doped samples after annealing have bandgap energy of 1.42-1.50 eV. Furthermore, we found that the Sn-doped CuInS 2 thin films exhibit N-type conductivity after annealing

  4. Photo- and Electrochromic Properties of Activated Reactive Evaporated MoO3 Thin Films Grown on Flexible Substrates

    Directory of Open Access Journals (Sweden)

    K. Hari Krishna

    2008-01-01

    Full Text Available The molybdenum trioxide (MoO3 thin films were grown onto ITO-coated flexible Kapton substrates using plasma assisted activated reactive evaporation technique. The film depositions were carried out at constant glow power and oxygen partial pressures of 8 W and 1×10−3 Torr, respectively. The influence of substrate temperature on the microstructural and optical properties was investigated. The MoO3 thin films prepared at a substrate temperature of 523 K were found to be composed of uniformly distributed nanosized grains with an orthorhombic structure of α-MoO3. These nanocrystalline MoO3 thin films exhibited higher optical transmittance of about 80% in the visible region with an evaluated optical band gap of 3.29 eV. With the insertion of 12.5 mC/cm2, the films exhibited an optical modulation of 40% in the visible region with coloration efficiency of 22 cm2/C at the wavelength of 550 nm. The MoO3 films deposited at 523 K demonstrated better photochromic properties and showed highest color center concentration for the irradiation time of 30 minutes at 100 mW/cm2.

  5. Growth and electrical properties of AlOx grown by mist chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Toshiyuki Kawaharamura

    2013-03-01

    Full Text Available Aluminum oxide (AlOx thin films were grown using aluminum acetylacetonate (Al(acac3 as a source solute by mist chemical vapor deposition (mist CVD. The AlOx thin films grown at temperatures above 400°C exhibited a breakdown field (EBD over 6 MV/cm and a dielectric constant (κ over 6. It is suggested that residual OH bonding in the AlOx thin films grown at temperatures below 375°C caused degradation of the breakdown field (EBD. With FC type mist CVD, the reaction proceeded efficiently (Ea = 22–24 kJ/mol because the solvent, especially H2O, worked as a stronger oxygen source. The AlOx film could be grown at 450°C with a high deposition rate (23 nm/min and smooth surface (RMS = 1.5 nm. Moreover, the AlOx thin films grown by mist CVD had excellent practicality as insulators because the gate leakage current (IG of the oxide thin film transistor (TFT with an IGZO/AlOx stack was suppressed below 1 pA at a gate voltage (VG of 20 V.

  6. Polycrystalline ZnO: B grown by LPCVD as TCO for thin film silicon solar cells

    International Nuclear Information System (INIS)

    Fay, Sylvie; Steinhauser, Jerome; Nicolay, Sylvain; Ballif, Christophe

    2010-01-01

    Conductive zinc oxide (ZnO) grown by low pressure chemical vapor deposition (LPCVD) technique possesses a rough surface that induces an efficient light scattering in thin film silicon (TF Si) solar cells, which makes this TCO an ideal candidate for contacting such devices. IMT-EPFL has developed an in-house LPCVD process for the deposition of nanotextured boron doped ZnO films used as rough TCO for TF Si solar cells. This paper is a general review and synthesis of the study of the electrical, optical and structural properties of the ZnO:B that has been performed at IMT-EPFL. The influence of the free carrier absorption and the grain size on the electrical and optical properties of LPCVD ZnO:B is discussed. Transport mechanisms at grain boundaries are studied. It is seen that high doping of the ZnO grains facilitates the tunnelling of the electrons through potential barriers that are located at the grain boundaries. Therefore, even if these potential barriers increase after an exposition of the film to a humid atmosphere, the heavily doped LPCVD ZnO:B layers show a remarkable stable conductivity. However, the introduction of diborane in the CVD reaction induces also a degradation of the intra-grain mobility and increases over-proportionally the optical absorption of the ZnO:B films. Hence, the necessity to finely tune the doping level of LPCVD ZnO:B films is highlighted. Finally, the next challenges to push further the optimization of LPCVD ZnO:B films for thin film silicon solar cells are discussed, as well as some remarkable record cell results achieved with LPCVD ZnO:B as front electrode.

  7. Enhanced Piezoelectric Response of AlN via CrN Alloying

    Energy Technology Data Exchange (ETDEWEB)

    Manna, Sukriti; Talley, Kevin R.; Gorai, Prashun; Mangum, John; Zakutayev, Andriy; Brennecka, Geoff L.; Stevanović, Vladan; Ciobanu, Cristian V.

    2018-03-01

    Since AlN has emerged as an important piezoelectric material for a wide variety of applications, efforts have been made to increase its piezoelectric response via alloying with transition metals that can substitute for Al in the wurtzite lattice. We report on density functional theory calculations of structure and properties of the CrxAl1-xN system for Cr concentrations ranging from zero to beyond the wurtzite-rocksalt transition point. By studying the different contributions to the longitudinal piezoelectric coefficient, we propose that the physical origin of the enhanced piezoelectricity in CrxAl1-xN alloys is the increase of the internal parameter u of the wurtzite structure upon substitution of Al with the larger Cr ions. Among a set of wurtzite-structured materials, we find that CrxAl1-xN has the most sensitive piezoelectric coefficient with respect to alloying concentration. Based on these results, we propose that CrxAl1-xN is a viable piezoelectric material whose properties can be tuned via Cr composition. We support this proposal by combinatorial synthesis experiments, which show that Cr can be incorporated in the AlN lattice up to 30% before a detectable transition to rocksalt occurs. At this Cr content, the piezoelectric modulus d33 is approximately 4 times larger than that of pure AlN. This finding, combined with the relative ease of synthesis under nonequilibrium conditions, may position CrxAl1-xN as a prime piezoelectric material for applications such as resonators and acoustic wave generators.

  8. Enhanced Piezoelectric Response of AlN via CrN Alloying

    Science.gov (United States)

    Manna, Sukriti; Talley, Kevin R.; Gorai, Prashun; Mangum, John; Zakutayev, Andriy; Brennecka, Geoff L.; Stevanović, Vladan; Ciobanu, Cristian V.

    2018-03-01

    Since AlN has emerged as an important piezoelectric material for a wide variety of applications, efforts have been made to increase its piezoelectric response via alloying with transition metals that can substitute for Al in the wurtzite lattice. We report on density functional theory calculations of structure and properties of the Crx Al1 -x N system for Cr concentrations ranging from zero to beyond the wurtzite-rocksalt transition point. By studying the different contributions to the longitudinal piezoelectric coefficient, we propose that the physical origin of the enhanced piezoelectricity in Crx Al1 -x N alloys is the increase of the internal parameter u of the wurtzite structure upon substitution of Al with the larger Cr ions. Among a set of wurtzite-structured materials, we find that Crx Al1 -x N has the most sensitive piezoelectric coefficient with respect to alloying concentration. Based on these results, we propose that Crx Al1 -x N is a viable piezoelectric material whose properties can be tuned via Cr composition. We support this proposal by combinatorial synthesis experiments, which show that Cr can be incorporated in the AlN lattice up to 30% before a detectable transition to rocksalt occurs. At this Cr content, the piezoelectric modulus d33 is approximately 4 times larger than that of pure AlN. This finding, combined with the relative ease of synthesis under nonequilibrium conditions, may position Crx Al1 -x N as a prime piezoelectric material for applications such as resonators and acoustic wave generators.

  9. Structural, electrical, and optical properties of polycrystalline NbO_2 thin films grown on glass substrates by solid phase crystallization

    International Nuclear Information System (INIS)

    Nakao, Shoichiro; Kamisaka, Hideyuki; Hirose, Yasushi; Hasegawa, Tetsuya

    2017-01-01

    We investigated the structural, electrical, and optical properties of polycrystalline NbO_2 thin films on glass substrates. The NbO_2 films were crystallized from amorphous precursor films grown by pulsed laser deposition at various oxygen partial pressures (P_O_2). The electrical and optical properties of the precursor films systematically changed with P_O_2, demonstrating that the oxygen content of the precursor films can be finely controlled with P_O_2. The precursors were crystallized into polycrystalline NbO_2 films by annealing under vacuum at 600 C. The NbO_2 films possessed extremely flat surfaces with branching patterns. Even optimized films showed a low resistivity (ρ) of 2 x 10"2 Ω cm, which is much lower than the bulk value of 1 x 10"4 Ω cm, probably because of the inferior crystallinity of the films compared with that of a bulk NbO_2 crystal. Both oxygen-rich and -poor NbO_2 films showed lower ρ than that of the stoichiometric film. The NbO_2 film with the highest ρ showed an indirect bandgap of 0.7 eV. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Domain structure and magnetic properties of epitaxial SrRuO sub 3 films grown on SrTiO sub 3 (100) substrates by ion beam sputtering

    CERN Document Server

    Oh, S H

    2000-01-01

    The domain structure of epitaxial SrRuO sub 3 thin films grown on SrTiO sub 3 (100) substrates by using ion beam sputtering has been investigated with transmission electron microscopy (TEM) and X-ray diffraction (XRD). The SrRuO sub 3 films grown in the present study revealed a unique cube-on-cube epitaxial relationship, i.e., (100) sub S sub R sub O ll (100) sub S sub T sub O , [010] sub S sub R sub O ll [101] sub S sub T sub O , prevailing with a cubic single-domain structure. The cubic SrRuO sub 3 thin films that were inherently with free from RuO sub 6 octahedron tilting exhibited higher resistivity with suppressed magnetic properties. The Curie temperature of the thin films was suppressed by 60 K from 160 K for the bulk specimen, and the saturation magnetic moment was reduced by a significant amount. The tetragonal distortion of the SrRuO sub 3 thin films due to coherent growth with the substrate seemed to result in a strong magnetic anisotropy.

  11. Study of the optical properties and structure of ZnSe/ZnO thin films grown by MOCVD with varying thicknesses

    Energy Technology Data Exchange (ETDEWEB)

    Jabri, S., E-mail: slaheddine.jabri@fst.rnu.tn [Unité des nanomatériaux et photoniques, Faculté des Sciences de Tunis, Campus Universitaire Ferhat Hachad, El Manar, 2092 Tunis (Tunisia); Amiri, G.; Sallet, V. [Groupe d’Etude de la Matière Condensée, CNRS-Université de Versailles St Quentin, Université Paris-Saclay, 45 avenue des Etats Unis, 78035 Versailles Cedex (France); Souissi, A. [Laboratoire de Photovoltaïque, Centre de Recherches et des Technologies de l’Energie, Technopole Borj Cedria, B.P. 95, Hammammlif 2050 (Tunisia); Meftah, A. [Unité des nanomatériaux et photoniques, Faculté des Sciences de Tunis, Campus Universitaire Ferhat Hachad, El Manar, 2092 Tunis (Tunisia); Galtier, P. [Groupe d’Etude de la Matière Condensée, CNRS-Université de Versailles St Quentin, Université Paris-Saclay, 45 avenue des Etats Unis, 78035 Versailles Cedex (France); Oueslati, M. [Unité des nanomatériaux et photoniques, Faculté des Sciences de Tunis, Campus Universitaire Ferhat Hachad, El Manar, 2092 Tunis (Tunisia)

    2016-05-15

    ZnSe layers were grown on ZnO substrates by the metal organic chemical vapor deposition technique. A new structure appeared at lower thicknesses films. The structural properties of the thin films were studied by the X-ray diffraction (XRD) and Raman spectroscopy methods. First, Raman selection rules are explicitly put forward from a theoretical viewpoint. Second, experimentally-retrieved-intensities of the Raman signal as a function of polarization angle of incident light are fitted to the obtained theoretical dependencies in order to confirm the crystallographic planes of zinc blend ZnSe thin film, and correlate with DRX measurements. Raman spectroscopy has been used to characterize the interfacial disorder that affects energy transport phenomena at ZnSe/ZnO interfaces and the Photoluminescence (PL) near the band edge of ZnSe thin films.

  12. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    International Nuclear Information System (INIS)

    Deen, David A.; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C.; Gougousi, Theodosia; Evans, Keith R.

    2014-01-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm 2 /V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  13. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Deen, David A., E-mail: david.deen@alumni.nd.edu; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C. [Electronics Science and Technology Division, Naval Research Laboratory, Washington, DC 20375-5347 (United States); Gougousi, Theodosia [Physics Department, University of Maryland Baltimore County, Baltimore, Maryland 21250 (United States); Evans, Keith R. [Kyma Technologies, Raleigh, North Carolina 27617 (United States)

    2014-09-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm{sup 2}/V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  14. Oxide film defects in Al alloys and the formation of hydrogen- related porosity

    International Nuclear Information System (INIS)

    Griffiths, W D; Yue, Y; Gerrard, A J

    2016-01-01

    Double oxide film defects have also been held responsible for the origins of hydrogen porosity, where hydrogen dissolved in the Al melt passes into the interior atmosphere of the double oxide film defect causing it to inflate. However, this is in opposition to long- established evidence that H cannot readily diffuse through aluminium oxide. To investigate this further, samples of commercial purity Al were first degassed to remove their initial H content, and then heated to above their melting point and held in atmospheres of air and nitrogen respectively, to determine any differences in H pick-up. The experiment showed that samples held in an oxidising atmosphere, and having an oxide skin, picked up significantly less H than when the samples were held in a nitrogen atmosphere, which resulted in the formation of AlN in cracks in the oxide skin of the sample. It is suggested that double oxide film defects can give rise to hydrogen-related porosity, but this occurs more quickly when the oxygen in the original oxide film defect has been consumed by reaction with the surrounding melt and nitrogen reacts to form AlN, which is more permeable to H than alumina, more easily allowing the oxide film defect to give rise to a hydrogen pore. This is used to interpret results from an earlier synchrotron experiment, in which a small pore was seen to grow into a larger pore, while an adjacent large pore remained at a constant size. (paper)

  15. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hardy, Matthew T., E-mail: matthew.hardy.ctr@nrl.navy.mil; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J. [Electronics Science and Technology Division, Naval Research Laboratory, 4555 Overlook Avenue SW, Washington DC 20375 (United States); McConkie, Thomas O.; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Nepal, Neeraj [Sotera Defense Solutions, 2200 Defense Hwy Suite 405, Crofton, Maryland 21114 (United States)

    2016-03-15

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10{sup 13 }cm{sup −2} and no degradation in mobility (1760 cm{sup 2}/V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE.

  16. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hardy, Matthew T.; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J.; McConkie, Thomas O.; Smith, David J.; Nepal, Neeraj

    2016-01-01

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10 13  cm −2 and no degradation in mobility (1760 cm 2 /V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE

  17. Structure and optical band gaps of (Ba,Sr)SnO{sub 3} films grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schumann, Timo; Raghavan, Santosh; Ahadi, Kaveh; Kim, Honggyu; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-09-15

    Epitaxial growth of (Ba{sub x}Sr{sub 1−x})SnO{sub 3} films with 0 ≤ x ≤ 1 using molecular beam epitaxy is reported. It is shown that SrSnO{sub 3} films can be grown coherently strained on closely lattice and symmetry matched PrScO{sub 3} substrates. The evolution of the optical band gap as a function of composition is determined by spectroscopic ellipsometry. The direct band gap monotonously decreases with x from to 4.46 eV (x = 0) to 3.36 eV (x = 1). A large Burnstein-Moss shift is observed with La-doping of BaSnO{sub 3} films. The shift corresponds approximately to the increase in Fermi level and is consistent with the low conduction band mass.

  18. Differences in structure and magnetic behavior of Mn-AlN films due to substrate material

    International Nuclear Information System (INIS)

    Sato, Takanobu; Nakatani, Ryoichi; Endo, Yasushi; Kirino, Fumiyoshi

    2009-01-01

    The structure and magnetic behavior of Mn-AlN (Al 1-x Mn x N, x = 0.03, 0.04) films deposited on thermally oxidized Si (001) substrates and sapphire (0001) substrates were studied. Mn-AlN films deposited on each substrate had a wuertzite-type AlN phase with a preferentially oriented c-axis. Mn-AlN films that were deposited on Si (001) substrate exhibited paramagnetic behavior. In addition to paramagnetic behavior, weak ferromagnetic behavior with curie temperatures higher than room temperature were observed for Mn-AlN films deposited on sapphire (0001) substrates.

  19. Surface structure determinations of crystalline ionic thin films grown on transition metal single crystal surfaces by low energy electron diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Roberts, Joel Glenn [Univ. of California, Berkeley, CA (United States)

    2000-05-01

    The surface structures of NaCl(100), LiF(100) and alpha-MgCl2(0001) adsorbed on various metal single crystals have been determined by low energy electron diffraction (LEED). Thin films of these salts were grown on metal substrates by exposing the heated metal surface to a molecular flux of salt emitted from a Knudsen cell. This method of investigating thin films of insulators (ionic salts) on a conducting substrate (metal) circumvents surface charging problems that plagued bulk studies, thereby allowing the use of electron-based techniques to characterize the surface.

  20. A kinetic model for stress generation in thin films grown from energetic vapor fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Chason, E.; Karlson, M. [School of Engineering, Brown University, Providence, Rhode Island 02912 (United States); Colin, J. J.; Abadias, G. [Institut P' , Département Physique et Mécanique des Matériaux, Université de Poitiers-CNRS-ENSMA, SP2MI, Téléport 2, Bd M. et P. Curie, F-86962 Chasseneuil-Futuroscope (France); Magnfält, D.; Sarakinos, K. [Nanoscale Engineering Division, Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden)

    2016-04-14

    We have developed a kinetic model for residual stress generation in thin films grown from energetic vapor fluxes, encountered, e.g., during sputter deposition. The new analytical model considers sub-surface point defects created by atomic peening, along with processes treated in already existing stress models for non-energetic deposition, i.e., thermally activated diffusion processes at the surface and the grain boundary. According to the new model, ballistically induced sub-surface defects can get incorporated as excess atoms at the grain boundary, remain trapped in the bulk, or annihilate at the free surface, resulting in a complex dependence of the steady-state stress on the grain size, the growth rate, as well as the energetics of the incoming particle flux. We compare calculations from the model with in situ stress measurements performed on a series of Mo films sputter-deposited at different conditions and having different grain sizes. The model is able to reproduce the observed increase of compressive stress with increasing growth rate, behavior that is the opposite of what is typically seen under non-energetic growth conditions. On a grander scale, this study is a step towards obtaining a comprehensive understanding of stress generation and evolution in vapor deposited polycrystalline thin films.

  1. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  2. Properties of epitaxial Ba2YCu3O7-x films on LaAlO3(001) grown using optimized conditions

    International Nuclear Information System (INIS)

    Siegal, M.P.; Phillips, J.M.; van Dover, R.B.; Tiefel, T.H.; Marshall, J.H.; Carlson, D.J.

    1990-01-01

    The superconducting and structural properties of Ba 2 YCu 3 O 7-x (BYCO) films on LaAlO 3 (001) substrates can be improved by carefully optimizing the post-deposition annealing parameters. Films are grown by codeposition of BaF 2 , Y, and Cu in the correct stoichiometric ratio to within 1% of 2:1:3. Compositional deviations greater than ± 1% result in the degradation of film quality. Important annealing parameters include the ambient, annealing temperature, oxidation temperature, and duration of the anneal. Films are characterized for epitaxial quality (χ min ), morphology, critical temperature (T c ), sharpness of the superconducting transition (ΔT), and critical current density (J c ). The optimized films have relatively smooth morphology with χ min c > 90 K, ΔT c > 10 6 A/cm 2 in essentially zero magnetic field at 77 K

  3. Effect of annealing on structural and optical properties of Cu{sub 2}ZnSnS{sub 4} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Surgina, G.D., E-mail: silvereye@bk.ru [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation); Nevolin, V.N. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow 119991 (Russian Federation); Sipaylo, I.P.; Teterin, P.E. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Medvedeva, S.S. [Immanuel Kant Baltic Federal University, Kaliningrad 236041 (Russian Federation); Lebedinsky, Yu.Yu.; Zenkevich, A.V. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation)

    2015-11-02

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films grown by reactive Pulsed Laser Deposition in H{sub 2}S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N{sub 2} at the optimized conditions. - Highlights: • Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H{sub 2}S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N{sub 2} effectively inhibits the formation of Sn{sub x}S secondary phases.

  4. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  5. Structural and thermal characterization of La5Ca9Cu24O41 thin films grown by pulsed laser deposition on (1 1 0) SrTiO3 substrates

    International Nuclear Information System (INIS)

    Svoukis, E.; Athanasopoulos, G.I.; Altantzis, Th.; Lioutas, Ch.; Martin, R.S.; Revcolevschi, A.; Giapintzakis, J.

    2012-01-01

    In the present study stoichiometric, b-axis oriented La 5 Ca 9 Cu 24 O 41 thin films were grown by pulsed laser deposition on (1 1 0) SrTiO 3 substrates in the temperature range 600–750 °C. High resolution transmission electron microscopy was employed to investigate the growth mechanism and the epitaxial relationship between the SrTiO 3 substrates and the La 5 Ca 9 Cu 24 O 41 films grown at 700 °C. The 3-ω method was used to measure the cross-plane thermal conductivity of La 5 Ca 9 Cu 24 O 41 films in the temperature range 50–350 K. The observed glass-like behavior is attributed to atomic-scale defects, grain boundaries and an interfacial layer formed between film and substrate.

  6. Ellipsometric investigation of nitrogen doped diamond thin films grown in microwave CH{sub 4}/H{sub 2}/N{sub 2} plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, Mateusz, E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Sankaran, Kamatchi J.; Haenen, Ken [Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); IMOMEC, IMEC vzw, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Ryl, Jacek; Darowicki, Kazimierz [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Bogdanowicz, Robert [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, California 91125 (United States); Lin, I-Nan [Department of Physics, Tamkang University, Tamsui 251, Taiwan (China)

    2016-06-13

    The influence of N{sub 2} concentration (1%–8%) in CH{sub 4}/H{sub 2}/N{sub 2} plasma on structure and optical properties of nitrogen doped diamond (NDD) films was investigated. Thickness, roughness, and optical properties of the NDD films in the VIS–NIR range were investigated on the silicon substrates using spectroscopic ellipsometry. The samples exhibited relatively high refractive index (2.6 ± 0.25 at 550 nm) and extinction coefficient (0.05 ± 0.02 at 550 nm) with a transmittance of 60%. The optical investigation was supported by the molecular and atomic data delivered by Raman studies, bright field transmission electron microscopy imaging, and X-ray photoelectron spectroscopy diagnostics. Those results revealed that while the films grown in CH{sub 4}/H{sub 2} plasma contained micron-sized diamond grains, the films grown using CH{sub 4}/H{sub 2}/(4%)N{sub 2} plasma exhibited ultranano-sized diamond grains along with n-diamond and i-carbon clusters, which were surrounded by amorphous carbon grain boundaries.

  7. TL and OSL studies on undoped diamond films grown by hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Anuj, E-mail: anujsoni.phy@gmail.com [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Choudhary, R.K. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Polymeris, G.S. [Ankara University, Institute of Nuclear Sciences (Turkey); Mishra, D.R. [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Mishra, P. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Kulkarni, M.S. [Radiation Safety Systems Division, Bhabha Atomic Research Center, Mumbai 400 085 (India)

    2016-09-15

    In this work, approximately 0.5 µm thick diamond films were grown on a silicon substrate by hot filament chemical vapour deposition (HFCVD) method in a gas mixture of hydrogen and methane. The batch to batch reproducibility of the sample using this technique was found to be very good. The obtained film was characterized by micro laser Raman spectroscopy (MLRS), grazing incidence X-ray diffractometry (GIXRD), scanning electron microscopy (SEM) and atomic force miscroscopy (AFM) techniques. MLRS and GIXRD results confirmed the formation of diamond whereas SEM and AFM analyses indicated uniform morphology of the film with an average grain size of 200 nm. The deposited film was studied for ionizing radiation dosimetry applications using the thermoluminescence (TL) and optically stimulated luminescence (OSL) techniques after irradiating the film by a calibrated 5 mCi, {sup 90}Sr/{sup 90}Y beta source. In the TL measurement, for a heating rate of 4 K/s, broad glow curve was obtained which was deconvoluted into seven TL peaks. The integrated TL counts were found to vary linearly with increasing the radiation dose up to 10 kGy. The characteristic TL output seen in the temperature range 200–300 °C, may be considered good for thermal stability of the film and it could also avoid TL fading during storage and non-interference of any black body radiation during the measurement. However, in comparison to TL output, the OSL response for 470 nm LED stimulation was found to be lesser. The CW–OSL decay curve has shown two components contributing to the OSL signal, having photoionization cross-section 1.5×10{sup −18} and 5.2×10{sup −19} cm{sup 2} respectively. The studies have revealed the possibility of using diamond film for high dose radiation dosimetry with TL/OSL method.

  8. Critical thickness of high structural quality SrTiO{sub 3} films grown on orthorhombic (101) DyScO{sub 3}.

    Energy Technology Data Exchange (ETDEWEB)

    Biegalski, M. D.; Trolier-McKinstry, S.; Nelson, C. T.; Schlom, D. G.; Fong, D. D.; Eastman, J. A.; Fuoss, P. H.; Streiffer, S. K.; Heeg, T.; Schubert, J.; Tian, W.; Pan, X. Q.; Hawley, M. E.; Bernhagen, M.; Reiche, P.; Uecker, R.; Pennsylvania State Univ.; Forschungszentrum Julich; Univ. Michigan; LANL; Max-Born-Strabe

    2008-12-01

    Strained epitaxial SrTiO{sub 3} films were grown on orthorhombic (101) DyScO{sub 3} substrates by reactive molecular-beam epitaxy. The epitaxy of this substrate/film combination is cube on cube with a pseudocubic out-of-plane (001) orientation. The strain state and structural perfection of films with thicknesses ranging from 50 to 1000 {angstrom} were examined using x-ray scattering. The critical thickness at which misfit dislocations was introduced was between 350 and 500 {angstrom}. These films have the narrowest rocking curves (full width at half maximum) ever reported for any heteroepitaxial oxide film (0.0018{sup o}). Only a modest amount of relaxation is seen in films exceeding the critical thicknesses even after postdeposition annealing at 700 C in 1 atm of oxygen. The dependence of strain relaxation on crystallographic direction is attributed to the anisotropy of the substrate. These SrTiO{sub 3} films show structural quality more typical of semiconductors such as GaAs and silicon than perovskite materials; their structural relaxation behavior also shows similarity to that of compound semiconductor films.

  9. Structural and optical properties of nano-structured tungsten-doped ZnO thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ngom, B.D. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); Groupes de Laboratoires de physique des Solides et Sciences des Materiaux, Faculte des sciences et Techniques Universite Cheikh Anta Diop de Dakar (UCAD), B.P. 25114 Dakar-Fann Dakar (Senegal); NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa)], E-mail: bdngom@tlabs.ac.za; Mpahane, T. [NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Manyala, N. [Department of Physics and Electronics National University of Lesotho (Lesotho); Nemraoui, O. [NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Buttner, U. [Engineering Department, University of Stellenbosch (South Africa); Kana, J.B. [Department of Physique University of Yaounde 1 (Cameroon); Fasasi, A.Y. [Centre for Energy Research and Development, Obafemi Awolowo University, Ile-Ife, Osun State (Nigeria); Maaza, M. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Beye, A.C. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); Groupes de Laboratoires de physique des Solides et Sciences des Materiaux, Faculte des sciences et Techniques Universite Cheikh Anta Diop de Dakar (UCAD), B.P. 25114 Dakar-Fann Dakar (Senegal)

    2009-01-15

    Novel highly c-oriented tungsten-doped zinc oxide (WZO) thin films with 1 wt% were grown by pulsed laser deposition (PLD) technique on corning 1737F glass substrate. The effects of laser energy on the structural, morphological as well as optical transmission properties of the films were studied. The films were highly transparent with average transmittance exceeding 87% in the wavelength region lying between 400 and 2500 nm. X-ray diffraction analysis (XRD) results indicated that the WZO films had c-axis preferred orientation with wurtzite structure. Film thickness and the full width at half maximum (FWHM) of the (0 0 2) peaks of the films were found to be dependent on laser fluence. The composition determined through Rutherford backscattering spectroscopy (RBS) appeared to be independent of the laser fluence. By assuming a direct band gap transition, the band gap values of 3.36, 3.34 and 3.31 eV were obtained for corresponding laser fluence of 1, 1.7 and 2.7 J cm{sup -2}, respectively. Compared with the reported undoped ZnO band gap value of 3.37 eV, it is conjectured that the observed low band gap values obtained in this study may be attributable to tungsten incorporation in the films as well as the increase in laser fluence. The high transparency makes the films useful as optical windows while the high band gap values support the idea that the films could be good candidates for optoelectronic applications.

  10. Eu{sup 3+} activated GaN thin films grown on sapphire by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Perea-Lopez, Nestor; Tao, Jonathan H. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); McKittrick, Joanna [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla, CA 92093 (United States); Talbot, Jan B. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Nanoengineering, University of California at San Diego, La Jolla, CA 92093 (United States); Raukas, M.; Laski, J.; Mishra, K.C. [OSRAM SYLVANIA Central Research, Beverly, MA 01915-1068 (United States); Hirata, Gustavo [CCMC-UNAM, Km. 107 Carretera Tijuana-Ensenada, C. P. 22800 Ensenada Baja California (Mexico)

    2008-07-01

    By means of pulsed laser deposition, polycrystalline thin films of GaN doped with Eu{sup 3+} were grown on sapphire. The PLD target was formed in three steps. First, stoichiometric amounts of Ga{sub 2}O{sub 3} and Eu{sub 2}O{sub 3} were dissolved in nitric acid, which produces Ga{sub (1-x)}Eu{sub x} (NO{sub 3}){sub 3}. Next, the nitrates were oxidized in a tubular furnace with O{sub 2} flow forming Ga{sub 2(1-x)}Eu{sub 2x}O{sub 3}. Finally, the oxide powder was flushed with anhydrous ammonia to produce the desired nitride product: Ga{sub (1-x)}Eu{sub x}N. Film growth was done in a stainless steel vacuum chamber partially filled with N{sub 2} (400 mTorr). For the deposit, the 3{sup rd} harmonic of a Nd:YAG laser ({lambda}=355 nm) was focused on the surface of the target. After deposition, annealing in NH{sub 3} was required to produce films with pure GaN hexagonal phase. The luminescence of the film was characterized by photo- and cathodoluminescence. In addition, the chemical and structural properties were analyzed by X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Luminescence of Y2O2S-Eu3+ and Ln2O2S-Tb3+ films grown by the method of photostimulated epitaxy

    International Nuclear Information System (INIS)

    Maksimovskij, S.N.; Sidorov, P.P.; Sluch, M.I.

    1990-01-01

    Study of luminescence of Y 2 O 2 S-Eu 3+ (1) and La 2 O 2 S-Tb 3+ (2) films, grown from vapor phase by photostimulated epitaxy method is carried out. Spectroscopic analysis data showed that films(1) spectra contain narrow lines, relating to C 3V symmetry centre, and wider lines, relating to C S symmetry centre. Films(2) possess intensive luminescence in green spectral region, but luminescence lines are wider due to higher number of defects. As to production of film luminescent screens the method is shown to be promising

  12. Epitaxial single-crystal thin films of MnxTi1-xO2-δ grown on (rutile)TiO2 substrates with pulsed laser deposition: Experiment and theory

    Energy Technology Data Exchange (ETDEWEB)

    Ilton, Eugene S.; Droubay, Timothy C.; Chaka, Anne M.; Kovarik, Libor; Varga, Tamas; Arey, Bruce W.; Kerisit, Sebastien N.

    2015-02-01

    Epitaxial rutile-structured single-crystal MnxTi1-xO2-δ films were synthesized on rutile- (110) and -(001) substrates using pulsed laser deposition. The films were characterized by reflection high-energy electron diffraction (RHEED), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and aberration-corrected transmission electron microscopy (ACTEM). Under the present conditions, 400oC and PO2 = 20 mTorr, single crystal epitaxial thin films were grown for x = 0.13, where x is the nominal average mole fraction of Mn. In fact, arbitrarily thick films could be grown with near invariant Mn/Ti concentration profiles from the substrate/film interface to the film surface. In contrast, at x = 0.25, Mn became enriched towards the surface and a secondary nano-scale phase formed which appeared to maintain the basic rutile structure but with enhanced z-contrast in the tunnels, or tetrahedral interstitial sites. Ab initio thermodynamic calculations provided quantitative estimates for the destabilizing effect of expanding the β-MnO2 lattice parameters to those of TiO2-rutile, the stabilizing effect of diluting Mn with increasing Ti concentration, and competing reaction pathways.

  13. Cu2ZnSnS4 thin films grown by flash evaporation and subsequent annealing in Ar atmosphere

    International Nuclear Information System (INIS)

    Caballero, R.; Izquierdo-Roca, V.; Merino, J.M.; Friedrich, E.J.; Climent-Font, A.; Saucedo, E.; 2UB, Departament d'Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain))" data-affiliation=" (IREC, Catalonia Institute for Energy Research, C. Jardins de les Dones de Negre 1, Sant Adriá del Besòs, E-08930 Barcelona (Spain); IN2UB, Departament d'Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain))" >Pérez-Rodríguez, A.; León, M.

    2013-01-01

    A study of Cu 2 ZnSnS 4 thin films grown by flash evaporation and subsequently annealed in Ar atmosphere has been carried out. Prior to thin film deposition, Cu 2 ZnSnS 4 bulk compounds with stoichiometric and Zn-rich compositions were synthesized as evaporation sources. The characteristics of the bulk compounds and thin films were investigated by X-ray diffraction, Raman spectroscopy, scanning electron microscopy and elastic back scattering. Cu 2 ZnSnS 4 deposited films contain lower concentrations of Zn than the bulk compounds used as evaporation sources, which is related to a preferential Zn re-evaporation during the deposition process. The desired kesterite composition for solar cell applications was achieved by using a Zn-rich compound as the evaporation source plus a thermal treatment at 620 °C in Ar atmosphere. - Highlights: ► Cu 2 ZnSnS 4 (CZTS) thin films by flash evaporation + annealing in Ar atmosphere ► Difficulty of growing a single phase kesterite material ► X-ray diffraction and Raman spectroscopy to identify the different phases ► Importance of the starting film composition to get the desired CZTS material ► Annealing treatment to obtain the optimum material to be used for CZTS solar cells

  14. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  15. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  16. Revelation of rutile phase by Raman scattering for enhanced photoelectrochemical performance of hydrothermally-grown anatase TiO2 film

    Science.gov (United States)

    Cho, Hsun-Wei; Liao, Kuo-Lun; Yang, Jih-Sheng; Wu, Jih-Jen

    2018-05-01

    Photoelectrochemical (PEC) performances of the anatase TiO2 films hydrothermally grown on the seeded fluorine-doped tin oxide (FTO) substrates are examined in this work. Structural characterizations of the TiO2 films were conducted using Raman scattering spectroscopy. Although there is no obvious rutile peak appearing, an asymmetrical peak centered at ∼399 cm-1 was observed in the Raman spectra of the TiO2 films deposited either on the low-temperature-formed seed layers or with low concentrations of Ti precursor. The asymmetrical Raman shift can be deconvoluted into the B1g mode of anatase and Eg mode of rutile TiO2 peaks centered at ∼399 cm-1 and ∼447 cm-1, respectively. Therefore, a minute quantity of rutile phase was inspected in the anatase film using Raman scattering spectroscopy. With the same light harvesting ability, we found that the PEC performance of the anatase TiO2 film was significantly enhanced as the minute quantity of rutile phase existing in the film. It is ascribed to the formation of the anatase/rutile heterojunction which is beneficial to the charge separation in the photoanode.

  17. Structural, electrical, and optical properties of polycrystalline NbO{sub 2} thin films grown on glass substrates by solid phase crystallization

    Energy Technology Data Exchange (ETDEWEB)

    Nakao, Shoichiro [Kanagawa Academy of Science and Technology (KAST), Kawasaki (Japan); Kamisaka, Hideyuki [Department of Chemistry, The University of Tokyo (Japan); Hirose, Yasushi; Hasegawa, Tetsuya [Kanagawa Academy of Science and Technology (KAST), Kawasaki (Japan); Department of Chemistry, The University of Tokyo (Japan)

    2017-03-15

    We investigated the structural, electrical, and optical properties of polycrystalline NbO{sub 2} thin films on glass substrates. The NbO{sub 2} films were crystallized from amorphous precursor films grown by pulsed laser deposition at various oxygen partial pressures (P{sub O2}). The electrical and optical properties of the precursor films systematically changed with P{sub O2}, demonstrating that the oxygen content of the precursor films can be finely controlled with P{sub O2}. The precursors were crystallized into polycrystalline NbO{sub 2} films by annealing under vacuum at 600 C. The NbO{sub 2} films possessed extremely flat surfaces with branching patterns. Even optimized films showed a low resistivity (ρ) of 2 x 10{sup 2} Ω cm, which is much lower than the bulk value of 1 x 10{sup 4} Ω cm, probably because of the inferior crystallinity of the films compared with that of a bulk NbO{sub 2} crystal. Both oxygen-rich and -poor NbO{sub 2} films showed lower ρ than that of the stoichiometric film. The NbO{sub 2} film with the highest ρ showed an indirect bandgap of 0.7 eV. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Early and Late Retrieval of the ALN Removable Vena Cava Filter: Results from a Multicenter Study

    International Nuclear Information System (INIS)

    Pellerin, O.; Barral, F. G.; Lions, C.; Novelli, L.; Beregi, J. P.; Sapoval, M.

    2008-01-01

    Retrieval of removable inferior vena cava (IVC) filters in selected patients is widely practiced. The purpose of this multicenter study was to evaluate the feasibility and results of percutaneous removal of the ALN removable filter in a large patient cohort. Between November 2003 and June 2006, 123 consecutive patients were referred for percutaneous extraction of the ALN filter at three centers. The ALN filter is a removable filter that can be implanted through a femoral/jugular vein approach and extracted by the jugular vein approach. Filter removal was attempted after an implantation period of 93 ± 15 days (range, 6-722 days) through the right internal jugular vein approach using the dedicated extraction kit after control inferior vena cavography. Following filter removal, vena cavograms were obtained in all patients. Successful extraction was achieved in all but one case. Among these successful retrievals, additional manipulation using a femoral approach was needed when the apex of the filter was close to the IVC wall in two patients. No immediate IVC complications were observed according to the postimplantation cavography. Neither technical nor clinical differences between early and late filter retrieval were noticed. Our data confirm the safety of ALN filter retrieval up to 722 days after implantation. In infrequent cases, additional endovenous filter manipulation is needed to facilitate extraction.

  19. Interface termination and band alignment of epitaxially grown alumina films on Cu-Al alloy

    Science.gov (United States)

    Yoshitake, Michiko; Song, Weijie; Libra, Jiří; Mašek, Karel; Šutara, František; Matolín, Vladimír; Prince, Kevin C.

    2008-02-01

    Epitaxial ultrathin alumina films were grown on a Cu-9 at. % Al(111) substrate by selective oxidation of Al in the alloy in ultrahigh vacuum. The photoelectron spectra of Al 2p and valence band were measured in situ during oxidation. By analyzing multiple peaks of Al 2p, the interface atomic structure was discussed. The energy difference between the Fermi level of the substrate and the valence band maximum of alumina (band offset) was obtained. The relation between the interface atomic structure and the band offset was compared with the reported first-principles calculations. A novel method for controlling the band offset was proposed.

  20. Luminescent properties of LuAG:Yb and YAG:Yb single crystalline films grown by Liquid Phase Epitaxy method

    International Nuclear Information System (INIS)

    Zorenko, Yu; Zorenko, T.; Gorbenko, V.; Voznyak, T.; Popielarski, P.; Batentschuk, M.; Osvet, A.; Brabec, Ch; Kolobanov, V.; Spasky, D.; Fedorov, A.

    2016-01-01

    In this work, investigation of the spectroscopic parameters of the luminescence of Yb"3"+ ions in single crystalline films of Lu_3Al_5O_1_2 and Y_3Al_5O_1_2 garnets was performed using the synchrotron radiation excitation with the energy in the range of Yb"3"+ charge transitions (CT), exciton range and the onset of interband transitions of these garnets. The basic spectroscopic parameters of the Yb"3"+ CT luminescence in LuAG and YAG hosts were determined and summarized with taking into account the differences in the band gap structure of these garnets. - Highlights: • Single crystalline films of Yb doped LuAG and YAG garnets were grown by LPE method. • Yb"3"+ luminescence of LuAG:Yb and YAG:Yb film were studied using synchrotron radiation. • Basic parameters of Yb"3"+ charge transfer luminescence in LuAG and YAG were determined.