WorldWideScience

Sample records for aiiibv semiconductors implanted

  1. Superthin Solar Cells Based on AIIIBV/Ge Heterostructures

    Science.gov (United States)

    Pakhanov, N. A.; Pchelyakov, O. P.; Vladimirov, V. M.

    2017-11-01

    A comparative analysis of the prospects of creating superthin, light-weight, and highly efficient solar cells based on AIIIBV/InGaAs and AIIIBV/Ge heterostructures is performed. Technological problems and prospects of each variant are discussed. A method of thinning of AIIIBV/Ge heterostructures with the use of an effective temporary carrier is proposed. The method allows the process to be performed almost with no risk of heterostructure fracture, thinning of the Ge junction down to several tens of micrometers (or even several micrometers), significant enhancement of the yield of good structures, and also convenient and reliable transfer of thinned solar cells to an arbitrary light and flexible substrate. Such a technology offers a possibility of creating high-efficiency thin and light solar cells for space vehicles on the basis of mass-produced AIIIBV/Ge heterostructures.

  2. Thermally controlled growth of surface nanostructures on ion-modified AIII-BV semiconductor crystals

    Science.gov (United States)

    Trynkiewicz, Elzbieta; Jany, Benedykt R.; Wrana, Dominik; Krok, Franciszek

    2018-01-01

    The primary motivation for our systematic study is to provide a comprehensive overview of the role of sample temperature on the pattern evolution of several AIII-BV semiconductor crystal (001) surfaces (i.e., InSb, InP, InAs, GaSb) in terms of their response to low-energy Ar+ ion irradiation conditions. The surface morphology and the chemical diversity of such ion-modified binary materials has been characterized by means of scanning electron microscopy (SEM). In general, all surface textures following ion irradiation exhibit transitional behavior from small islands, via vertically oriented 3D nanostructures, to smoothened surface when the sample temperature is increased. This result reinforces our conviction that the mass redistribution of adatoms along the surface plays a vital role during the formation and growth process of surface nanostructures. We would like to emphasize that this paper addresses in detail for the first time the topic of the growth kinetics of the nanostructures with regard to thermal surface diffusion, while simultaneously offering some possible approaches to supplementing previous studies and therein gaining a new insight into this complex issue. The experimental results are discussed with reference to models of the pillars growth, abutting on preferential sputtering, the self-sustained etch masking effect and the redeposition process recently proposed to elucidate the observed nanostructuring mechanism.

  3. Semiconductor Ion Implanters

    International Nuclear Information System (INIS)

    MacKinnon, Barry A.; Ruffell, John P.

    2011-01-01

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion! Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intel product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.

  4. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  5. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  6. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  7. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  8. Ion implantation in semiconductor bodies

    International Nuclear Information System (INIS)

    Badawi, M.H.

    1984-01-01

    Ions are selectively implanted into layers of a semiconductor substrate of, for example, semi-insulating gallium arsenide via a photoresist implantation mask and a metallic layer of, for example, titanium disposed between the substrate surface and the photoresist mask. After implantation the mask and metallic layer are removed and the substrate heat treated for annealing purposes. The metallic layer acts as a buffer layer and prevents possible contamination of the substrate surface, by photoresist residues, at the annealing stage. Such contamination would adversely affect the electrical properties of the substrate surface, particularly gallium arsenide substrates. (author)

  9. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  10. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  11. Semiconductor applications of plasma immersion ion implantation ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 25; Issue 6. Semiconductor applications of plasma immersion ion implantation technology ... Department of Electronic Science, Kurukshetra University, Kurukshetra 136 119, India ...

  12. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  13. 1/f Fluctuations in ion implanted metal semiconductor contacts

    International Nuclear Information System (INIS)

    Stojanovic, M.; Marjanovic, N.; Radojevic, B.

    1998-01-01

    Ion implanted Metal-Semiconductor contacts is the most widely used structures in electrical devices. Weather complete devices or some parts are of interest, properties of metal-semiconductor junction strongly influence the quality and external characteristic of electronic devices. That is the reason why special attention is paid to the investigation of factor (noise for example) that could influence given junction. Low frequency 1/f fluctuations (noise) are constantly present in metal-semiconductor junction, so measurement of their level as well as the dependence on factors such as temperature must be taken into account in detailed analysis of electrical characteristics of devices such as contact, nuclear detector with surface barrier etc. In this paper we present the results of low frequency noise level measurements on TiN-Ti-Si structures produced by As + ion implantation. (author)

  14. Effect of disorder and defects in ion-implanted semiconductors optical and photothermal characterization

    CERN Document Server

    Willardson, R K; Christofides, Constantinos; Ghibaudo, Gerard

    1997-01-01

    Defects in ion-implanted semiconductors are important and will likely gain increased importance as annealing temperatures are reduced with successive IC generations. Novel implant approaches, such as MdV implantation, create new types of defects whose origin and annealing characteristics will need to be addressed. Publications in this field mainly focus on the effects of ion implantation on the material and the modification in the implanted layer after high temperature annealing. The editors of this volume and Volume 45 focus on the physics of the annealing kinetics of the damaged layer. An overview of characterization tehniques and a critical comparison of the information on annealing kinetics is also presented. Key Features * Provides basic knowledge of ion implantation-induced defects * Focuses on physical mechanisms of defect annealing * Utilizes electrical, physical, and optical characterization tools for processed semiconductors * Provides the basis for understanding the problems caused by the defects g...

  15. Method for Providing Semiconductors Having Self-Aligned Ion Implant

    Science.gov (United States)

    Neudeck, Philip G. (Inventor)

    2014-01-01

    A method is disclosed that provides a self-aligned nitrogen-implant particularly suited for a Junction Field Effect Transistor (JFET) semiconductor device preferably comprised of a silicon carbide (SiC). This self-aligned nitrogen-implant allows for the realization of durable and stable electrical functionality of high temperature transistors such as JFETs. The method implements the self-aligned nitrogen-implant having predetermined dimensions, at a particular step in the fabrication process, so that the SiC junction field effect transistors are capable of being electrically operating continuously at 500.degree. C. for over 10,000 hours in an air ambient with less than a 10% change in operational transistor parameters.

  16. Evolution of Ion Implantation Technology and its Contribution to Semiconductor Industry

    International Nuclear Information System (INIS)

    Tsukamoto, Katsuhiro; Kuroi, Takashi; Kawasaki, Yoji

    2011-01-01

    Industrial aspects of the evolution of ion implantation technology will be reviewed, and their impact on the semiconductor industry will be discussed. The main topics will be the technology's application to the most advanced, ultra scaled CMOS, and to power devices, as well as productivity improvements in implantation technology. Technological insights into future developments in ion-related technologies for emerging industries will also be presented.

  17. Effect of disorder and defects in ion-implanted semiconductors electrical and physiochemical characterization

    CERN Document Server

    Willardson, Robert K; Christofides, Constantinos; Ghibaudo, Gerard

    2014-01-01

    Defects in ion-implanted semiconductors are important and will likely gain increased importance in the future as annealing temperatures are reduced with successive IC generations. Novel implant approaches, such as MdV implantation, create new types of defects whose origin and annealing characteristics will need to be addressed. Publications in this field mainly focus on the effects of ion implantation on the material and the modification in the implanted layer afterhigh temperature annealing.Electrical and Physicochemical Characterization focuses on the physics of the annealing kine

  18. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  19. Physicochemical conditions for the stability of manganese-doped nanolayers of gallium arsenide and its iso-electronic analogues

    Directory of Open Access Journals (Sweden)

    Yu. V. Terenteva

    2015-03-01

    Full Text Available In this paper research of stability of nanolayers of manganese doped materials of AIIIBV and AIIBIVСV2 types holding much promise as spintronic semiconductor compounds is described. The method of non-local density functional has been applied to calculate bonding energies {εij (r} in atomic pairs for structures of AIIIBV and AIIBIVСV2 types and for MnAs. According to the calculations of internal energy, entropy and free energy of Helmholtz (Т = 298К, in the context of used models, addition of manganese to the arsenide’s AIIIBV and AIIBIVСV2 nanolayers affects its stability in different ways depending on its morphology and substitution mode. However, a critical instability in nanofilm leading to the tendency of growing of a new phase germ may be formed under any manganese concentrations. This leads to deterioration of electrophysical parameters of magnetic semiconductor compounds that is agreed with experimental data.

  20. Computer simulation model of the structure of ion implanted impurities in semiconductors

    International Nuclear Information System (INIS)

    Roman, E.; Majlis, N.

    1983-02-01

    A system of ion implanted impurities in a semiconductor is described by a Monte Carlo simulation of a non-equilibrium system of random distributed hard spheres. The radial distribution function of this system is found. The comparison is made with the fluid hard sphere case. The assumption of the absence either of annealing or diffusion of the impurities after the implantation process is also made. (author)

  1. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  2. The use of Raman scattering for studying the defects created by implantation in semiconductors

    International Nuclear Information System (INIS)

    Morhange, J.F.; Beserman, R.; Bourgoin, J.

    1974-01-01

    The evolution of Raman scattering with the dose of implanted ions and annealing temperature in silicon and diamond was studied. The variation in the concentration of the defects introduced by implantation, with the dose and annealing temperature were deduced. These results were compared with results obtained using electron paramagnetic resonance. The comparison shows that Raman scattering is a good technique to study the behavior of the defects in ion implanted semiconductors [fr

  3. Extraordinary Hall effect in Co implanted GaAs hybrid magnetic semiconductors

    International Nuclear Information System (INIS)

    Honda, S.; Tateishi, K.; Nawate, M.; Sakamoto, I.

    2004-01-01

    Hybrid Co/GaAs ferromagnetic semiconductors have been prepared by implantation method. In these samples, sheet resistance shows weak temperature dependence, and the extraordinary Hall effect with positive coefficient is observed. In small Co content samples, Hall resistance increases with decreasing temperature and maximum value of 3.6x10 -2 Ω is obtained at 150 K

  4. Electron Band Alignment at Interfaces of Semiconductors with Insulating Oxides: An Internal Photoemission Study

    Directory of Open Access Journals (Sweden)

    Valeri V. Afanas'ev

    2014-01-01

    Full Text Available Evolution of the electron energy band alignment at interfaces between different semiconductors and wide-gap oxide insulators is examined using the internal photoemission spectroscopy, which is based on observations of optically-induced electron (or hole transitions across the semiconductor/insulator barrier. Interfaces of various semiconductors ranging from the conventional silicon to the high-mobility Ge-based (Ge, Si1-xGex, Ge1-xSnx and AIIIBV group (GaAs, InxGa1-xAs, InAs, GaP, InP, GaSb, InSb materials were studied revealing several general trends in the evolution of band offsets. It is found that in the oxides of metals with cation radii larger than ≈0.7 Å, the oxide valence band top remains nearly at the same energy (±0.2 eV irrespective of the cation sort. Using this result, it becomes possible to predict the interface band alignment between oxides and semiconductors as well as between dissimilar insulating oxides on the basis of the oxide bandgap width which are also affected by crystallization. By contrast, oxides of light elements, for example, Be, Mg, Al, Si, and Sc exhibit significant shifts of the valence band top. General trends in band lineup variations caused by a change in the composition of semiconductor photoemission material are also revealed.

  5. Emission Channeling Investigation of Implantation Defects and Impurities in II-VI-Semiconductors

    CERN Multimedia

    Trojahn, I; Malamud, G; Straver, J; Ronnqvist, C; Jahn, S-G; Restle, M

    2002-01-01

    Detailed knowledge on the behaviour of implantation damage and its influence on the lattice position and environment of implanted dopants in II-VI-compound semiconductors is necessary for a clear interpretation of results from other investigation methods and finally for technical utilization. Besides, a precise localization of impurities could help to clarify the discussion about the instability of the electrical properties of some dopants, called " aging ".\\\\ \\\\We intend to use the emission channeling method to investigate: \\\\ \\\\i) The behaviour of implantation damage which shall be probed by the lattice location of isoelectronic isotopes (Zn,Cd,Hg,Se,Te) directly after implantation at different temperatures, doses and vacancy densities and after annealing treatments, and ii) the precise lattice sites of the acceptor Ag and donor In under different conditions by implanting precursors Cd and In isotopes. \\\\ \\\\Further on we would like to test the application of a two-dimensional position and energy sensitive e...

  6. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  7. Dilute ferromagnetic semiconductors prepared by the combination of ion implantation with pulse laser melting

    International Nuclear Information System (INIS)

    Zhou, Shengqiang

    2015-01-01

    Combining semiconducting and ferromagnetic properties, dilute ferromagnetic semiconductors (DFS) have been under intensive investigation for more than two decades. Mn doped III–V compound semiconductors have been regarded as the prototype of DFS from both experimental and theoretic investigations. The magnetic properties of III–V:Mn can be controlled by manipulating free carriers via electrical gating, as for controlling the electrical properties in conventional semiconductors. However, the preparation of DFS presents a big challenge due to the low solubility of Mn in semiconductors. Ion implantation followed by pulsed laser melting (II-PLM) provides an alternative to the widely used low-temperature molecular beam epitaxy (LT-MBE) approach. Both ion implantation and pulsed-laser melting occur far enough from thermodynamic equilibrium conditions. Ion implantation introduces enough dopants and the subsequent laser pulse deposit energy in the near-surface region to drive a rapid liquid-phase epitaxial growth. Here, we review the experimental study on preparation of III–V:Mn using II-PLM. We start with a brief description about the development of DFS and the physics behind II-PLM. Then we show that ferromagnetic GaMnAs and InMnAs films can be prepared by II-PLM and they show the same characteristics of LT-MBE grown samples. Going beyond LT-MBE, II-PLM is successful to bring two new members, GaMnP and InMnP, into the family of III–V:Mn DFS. Both GaMnP and InMnP films show the signature of DFS and an insulating behavior. At the end, we summarize the work done for Ge:Mn and Si:Mn using II-PLM and present suggestions for future investigations. The remarkable advantage of II-PLM approach is its versatility. In general, II-PLM can be utilized to prepare supersaturated alloys with mismatched components. (topical review)

  8. 2nd International Conference on Ion Implantation in Semiconductors, Physics and Technology, Fundamental and Applied Aspects

    CERN Document Server

    Graul, Jürgen

    1971-01-01

    In recent years great progress has been made in the field of ion implantation, particularly with respect to applications in semiconductors. It would be impos­ sible not to note the growing interest in this field, both by research groups and those directly concerned with production of devices. Furthermore, as several papers have pointed out, ion implantation and its associated technologies promise exciting advances in the development of new kinds of devices and provide power­ ful new tools for materials investigations. It was, therefore, appropriate to arrange the II. International Conference on Ion Implantation in Semiconductors within the rather short time of one year since the first conference was held in 1970 in Thousand Oaks, California. Although ori­ ginally planned on a small scale with a very limited number of participants, more than two hundred scientists from 15 countries participated in the Conference which was held May 24 - 28, 1971 at the Congress Center in Garmisch-Partenkirchen. This volume c...

  9. Modelling the metal–semiconductor band structure in implanted ohmic contacts to GaN and SiC

    International Nuclear Information System (INIS)

    Pérez-Tomás, A; Fontserè, A; Placidi, M; Jennings, M R; Gammon, P M

    2013-01-01

    Here we present a method to model the metal–semiconductor (M–S) band structure to an implanted ohmic contact to a wide band gap semiconductor (WBG) such as GaN and SiC. The performance and understanding of the M–S contact to a WBG semiconductor is of great importance as it influences the overall performance of a semiconductor device. In this work we explore in a numerical fashion the ohmic contact properties to a WBG semiconductor taking into account the partial ionization of impurities and analysing its dependence on the temperature, the barrier height, the impurity level band energy and carrier concentration. The effect of the M–S Schottky barrier lowering and the Schottky barrier inhomogeneities are discussed. The model is applied to a fabricated ohmic contact to GaN where the M–S band structure can be completely determined. (paper)

  10. Doping Properties of Ferromagnetic Semiconductors Investigated by the Hyperfine Interaction of Implanted Radioisotopes

    CERN Multimedia

    2002-01-01

    One of the most promising prospective applications of semiconductors will be in the field of spinelectronics. Thereby polarized spins must be injected into semiconductor structures. Ferromagnetic semiconductors (FMS) have a potential for such applications because of the coexistence of semiconducting and ferromagnetic properties. A special group of such FMS are the chromium chalcogenides of type AB$_{2}$C$_{4}$ with B = Cr. They crystallise in the structure of normal spinel. In this Proposal the application of the perturbed angular correlation technique (= PAC) for the investigation of nuclear probes in these substances is described. The radioactive probes will be implanted at the ISOLDE separator. We will start these investigations with the substances CdCr$_{2}$Se$_{4}$, CdCr$_{2}$S$_{4}$, HgCr$_{2}$Se$_{4}$, CuCr$_{2}$Se$_{4}$ and CuCr$_{2}$S$_{4}$ which are ferromagnetic with Curie temperatures between 84.5 and 460 K. In addition to the popular $^{111}$In($^{111}$Cd), which we get from other facilities, we ...

  11. High energy ion implantation for semiconductor application at Fraunhofer-AIS, Erlangen

    International Nuclear Information System (INIS)

    Frey, L.; Bogen, S.; Gong, L.; Jung, W.; Ryssel, H.; Gyulai, J.

    1992-01-01

    A new high energy ion implanter for research and development in semiconductor technology was put into operation at the Fraunhofer Institute in Erlangen. The system is used for generation of ion beams in the energy range from 100 keV to more than 6 MeV with currents up to 100 μA. A large variety of ion species can be implanted into silicon wafers with diameters up to 200 mm (with cassette-to-cassette loading up to 150 mm). The performance characteristics of the system are described with special emphasis on the end stations. In a first series of experiments, the range distributions of boron, phosphorus and arsenic in silicon have been measured for energies from 0.2 MeV to 10 MeV in order to get a data set for future applications. The profiles are compared to simulated data. First experimental results on lateral distribution of the dopant species are presented. (orig.)

  12. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  13. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  14. The study of sub-surface and interface characteristics of semiconductor heterostructures by slow positron implantation spectroscopy

    International Nuclear Information System (INIS)

    Baker, J.A.; Coleman, P.G.

    1989-01-01

    Experiments are described in which the controlled implantation of mono-energetic positrons is used to gain information non-destructively on epilayer and interface defects in semiconductor heterostructures. The implantation, and hence annihilation, profile is changed by varying the incident positron energy from 1 to 35 keV. Characteristics of the positron state at the annihilation site are reflected in the width of the measure Doppler-broadened annihilation line. The fractions of positrons annihilating from each state are deduced by solving the steady-state diffusion equation. The application of the technique is illustrated by application to a series of SiO 2 -Si samples. (author)

  15. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  16. Colloidal crystal formation in a semiconductor quantum plasma

    International Nuclear Information System (INIS)

    Zeba, I.; Uzma, Ch.; Jamil, M.; Salimullah, M.; Shukla, P. K.

    2010-01-01

    The static shielding and the far-field dynamical oscillatory wake potentials in an ion-implanted piezoelectric semiconductor with colloid ions as test particles have been investigated in detail. The dielectric response function of the semiconductor is contributed by the quantum effect of electrons through the Bohm potential and lattice electron-phonon coupling effects. It is found that the quantum effect causes tighter binding of the electrons reducing the quantum Debye shielding length and the effective length of the wake potential to several angstroms. Hence, a quasiquantum lattice of colloid ions can be formed in the semiconductor in the quantum scales giving rise to drastic modifications of the ion-implanted semiconductor properties.

  17. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  18. Moessbauer Studies of Implanted Impurities in Solids

    CERN Multimedia

    2002-01-01

    Moessbauer studies were performed on implanted radioactive impurities in semiconductors and metals. Radioactive isotopes (from the ISOLDE facility) decaying to a Moessbauer isotope were utilized to investigate electronic and vibrational properties of impurities and impurity-defect structures. This information is inferred from the measured impurity hyperfine interactions and Debye-Waller factor. In semiconductors isoelectronic, shallow and deep level impurities have been implanted. Complex impurity defects have been produced by the implantation process (correlated damage) or by recoil effects from the nuclear decay in both semiconductors and metals. Annealing mechanisms of the defects have been studied. \\\\ \\\\ In silicon amorphised implanted layers have been recrystallized epitaxially by rapid-thermal-annealing techniques yielding highly supersaturated, electrically-active donor concentrations. Their dissolution and migration mechanisms have been investigated in detail. The electronic configuration of Sb donors...

  19. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  20. An angle-resolved, wavelength-dispersive x-ray fluorescence spectrometer for depth profile analysis of ion-implanted semiconductors using synchrotron radiation

    Science.gov (United States)

    Schmitt, W.; Hormes, J.; Kuetgens, U.; Gries, W. H.

    1992-01-01

    An apparatus for angle-resolved, wavelength-dispersive x-ray fluorescence spectroscopy with synchrotron radiation has been built and tested at the beam line BN2 of the Bonn electron stretcher and accelerator (ELSA). The apparatus is to be used for nondestructive depth profile analysis of ion-implanted semiconductors as part of the multinational Versailles Project of Advanced Materials and Standards (VAMAS) project on ion-implanted reference materials. In particular, the centroid depths of depth profiles of various implants is to be determined by use of the angle-resolved signal ratio technique. First results of measurements on implants of phosphorus (100 keV, 1016 cm-2) and sulfur (200 keV, 1014 cm-2) in silicon wafers using ``white'' synchrotron radiation are presented and suggest that it should be generally possible to measure the centroid depth of an implant at dose densities as low as 1014 cm-2. Some of the apparative and technical requirements are discussed which are peculiar to the use of synchrotron radiation in general and to the use of nonmonochromatized radiation in particular.

  1. An angle-resolved, wavelength-dispersive x-ray fluorescence spectrometer for depth profile analysis of ion-implanted semiconductors using synchrotron radiation

    International Nuclear Information System (INIS)

    Schmitt, W.; Hormes, J.; Kuetgens, U.; Gries, W.H.

    1992-01-01

    An apparatus for angle-resolved, wavelength-dispersive x-ray fluorescence spectroscopy with synchrotron radiation has been built and tested at the beam line BN2 of the Bonn electron stretcher and accelerator (ELSA). The apparatus is to be used for nondestructive depth profile analysis of ion-implanted semiconductors as part of the multinational Versailles Project of Advanced Materials and Standards (VAMAS) project on ion-implanted reference materials. In particular, the centroid depths of depth profiles of various implants is to be determined by use of the angle-resolved signal ratio technique. First results of measurements on implants of phosphorus (100 keV, 10 16 cm -2 ) and sulfur (200 keV, 10 14 cm -2 ) in silicon wafers using ''white'' synchrotron radiation are presented and suggest that it should be generally possible to measure the centroid depth of an implant at dose densities as low as 10 14 cm -2 . Some of the apparative and technical requirements are discussed which are peculiar to the use of synchrotron radiation in general and to the use of nonmonochromatized radiation in particular

  2. Positron annihilation spectroscopy in defects of semiconductors

    International Nuclear Information System (INIS)

    Fujinami, Masanori

    2002-01-01

    Interaction of positron and defects, application to research of defects of semiconductor and defects on the surface of semiconductor are explained. Cz (Czochralski)-Si single crystal with 10 18 cm -3 impurity oxygen was introduced defects by electron irradiation and the positron lifetime was measured at 90K after annealing. The defect size and recovery temperature were determined by the lifetime measurement. The distribution of defects in the depth direction is shown by S-E curve. The chemical state analysis is possible by CBS (Coincidence Doppler Broadening) spectra. The application to silicon-implanted (100 keV, 2x10 15 cm -2 ) silicon and oxygen-implanted (180 keV, 2x10 15 cm -2 ) silicon are stated. On the oxygen-implanted silicon, the main product was V2 after implantation, V 6 O 2 at 600degC and V 10 O 6 at 800degC. (S.Y.)

  3. Recent advances in ion implantation. A state of the art review

    International Nuclear Information System (INIS)

    Stone, J.L.; Plunkett, J.C.

    1976-01-01

    The latest advances in ion implantation related to MOS, CMOS, CCDS, I 2 L, and other semiconductor devices are discussed. In addition, the application of ion implantation to superconductivity, integrated optics, compound semiconductors, and magnetic bubbles is considered. The requirements of ion implantation machine technology to fulfill the needs of the production environment are also reviewed. 75 refs

  4. Ion Implantation in Ge: Structural and electrical investigation of the induced lattice damage & Study of the lattice location of implanted impurities

    CERN Document Server

    Decoster, Stefan; Wahl, Ulrich

    The past two decades, germanium has drawn international attention as one of the most promising materials to replace silicon in semiconductor applications. Due to important advantages with respect to Si, such as the increased electron and hole mobility, Ge is well on its way to become an important material in future high-speed integrated circuits. Although the interest in this elemental group IV semiconductor is increasing rapidly nowadays, the number of publications about this material is still relatively scarce, especially when compared to Si. The most widely used technique to dope semiconductors is ion implantation, due to its good control of the dopant concentration and profile, and the isotopic purity of the implanted species. However, there is a major lack of knowledge of the fundamental properties of ion implantation in Ge, which has triggered the research presented in this thesis. One of the most important and generally unwanted properties of ion implantation is the creation of damage to the crystal la...

  5. Positron annihilation spectroscopy in defects of semiconductors

    CERN Document Server

    Fujinami, M

    2002-01-01

    Interaction of positron and defects, application to research of defects of semiconductor and defects on the surface of semiconductor are explained. Cz (Czochralski)-Si single crystal with 10 sup 1 sup 8 cm sup - sup 3 impurity oxygen was introduced defects by electron irradiation and the positron lifetime was measured at 90K after annealing. The defect size and recovery temperature were determined by the lifetime measurement. The distribution of defects in the depth direction is shown by S-E curve. The chemical state analysis is possible by CBS (Coincidence Doppler Broadening) spectra. The application to silicon-implanted (100 keV, 2x10 sup 1 sup 5 cm sup - sup 2) silicon and oxygen-implanted (180 keV, 2x10 sup 1 sup 5 cm sup - sup 2) silicon are stated. On the oxygen-implanted silicon, the main product was V2 after implantation, V sub 6 O sub 2 at 600degC and V sub 1 sub 0 O sub 6 at 800degC. (S.Y.)

  6. Current trends in ion implantation

    International Nuclear Information System (INIS)

    Gwilliam, R.M.

    2001-01-01

    As semiconductor device dimensions continue to shrink, the drive beyond 250 nm is creating significant problems for the device processor. In particular, trends toward shallower-junctions, lower thermal budgets and simplified processing steps present severe challenges to ion implantation. In parallel with greater control of the implant process goes the need for a better understanding of the physical processes involved during implantation and subsequent activation annealing. For instance, the need for an understanding of dopant-defect interaction is paramount as defects mediate a number of technologically important phenomena such as transient enhanced diffusion and impurity gettering. This paper will outline the current trends in the ion implantation and some of the challenges it faces in the next decade, as described in the semiconductor roadmap. It will highlight some recent positron annihilation work that has made a contribution to addressing one of these challenges, namely the need for tighter control of implant uniformity and dose. Additionally, some vacancy-mediated processes are described with the implication that these may provide areas in which positron annihilation spectroscopy could make a significant contribution. (orig.)

  7. SIMPLANT: analytic calculation of ion implantation within the Tadpance system

    International Nuclear Information System (INIS)

    Fawcett, R.J.

    1988-04-01

    An analytic method for calculating the concentration distribution of dopant atoms introduced into a multilayer semiconductor device by ion beam implantation is explained. Computer software written to apply the method is described. The operation of the software within a semiconductor process and device modelling package is outlined. Implantation distributions generated by the software are illustrated. (author)

  8. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  9. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  10. Ge-semiconductor detectors with a p-implanted n+-contact

    International Nuclear Information System (INIS)

    Protic, D.; Riepe, G.

    1979-01-01

    P-implanted large-surface-detectors with improved properties can be produced by implantation of the n + -contact with relatively low dose and high energy. After an annealing process a nearly perfect lattice structure is obtained. By a subsequent p-implantation step with high dose and low energy, the surface restisivity can be reduced. The p + -contacts are obtained by B-implantation. (DG) [de

  11. Ion implantation of CdTe single crystals

    International Nuclear Information System (INIS)

    Wiecek, Tomasz; Popovich, Volodymir; Bester, Mariusz; Kuzma, Marian

    2017-01-01

    Ion implantation is a technique which is widely used in industry for unique modification of metal surface for medical applications. In semiconductor silicon technology ion implantation is also widely used for thin layer electronic or optoelectronic devices production. For other semiconductor materials this technique is still at an early stage. In this paper based on literature data we present the main features of the implantation of CdTe single crystals as well as some of the major problems which are likely to occur when dealing with them. The most unexpected feature is the high resistance of these crystals against the amorphization caused by ion implantation even at high doses (10"1"7 1/cm"2). The second property is the disposal of defects much deeper in the sample then it follows from the modeling calculations. The outline of principles of the ion implantation is included in the paper. The data based on RBS measurements and modeling results obtained by using SRIM software were taken into account.

  12. Forming controlled inset regions by ion implantation and laser bombardment

    International Nuclear Information System (INIS)

    Gibbons, J.F.

    1981-01-01

    A semiconductor integrated circuit structure in which the inset regions are ion implanted and laser annealed to maintain substantially the dimensions of the implantation and the method of forming inset implanted regions having controlled dimensions

  13. Semiconductor annealing

    International Nuclear Information System (INIS)

    Young, J.M.; Scovell, P.D.

    1982-01-01

    A process for annealing crystal damage in ion implanted semiconductor devices in which the device is rapidly heated to a temperature between 450 and 900 0 C and allowed to cool. It has been found that such heating of the device to these relatively low temperatures results in rapid annealing. In one application the device may be heated on a graphite element mounted between electrodes in an inert atmosphere in a chamber. (author)

  14. Ion implantation for microelectronics

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1977-01-01

    Ion implantation has proved to be a versatile and efficient means of producing microelectronic devices. This review summarizes the relevant physics and technology and assesses the advantages of the method. Examples are then given of widely different device structures which have been made by ion implantation. While most of the industrial application has been in silicon, good progress continues to be made in the more difficult field of compound semiconductors. Equipment designed for the industrial ion implantation of microelectronic devices is discussed briefly. (Auth.)

  15. III-V semiconductor materials and devices

    CERN Document Server

    Malik, R J

    1989-01-01

    The main emphasis of this volume is on III-V semiconductor epitaxial and bulk crystal growth techniques. Chapters are also included on material characterization and ion implantation. In order to put these growth techniques into perspective a thorough review of the physics and technology of III-V devices is presented. This is the first book of its kind to discuss the theory of the various crystal growth techniques in relation to their advantages and limitations for use in III-V semiconductor devices.

  16. Dispersion and absorption of longitudinal electro-kinetic wave in ion-implanted GaN semiconductor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Dilip [Government P G Madhav Science College, Ujjain (M P) (India); Sharma, Giriraj, E-mail: grsharma@gmail.com [SRJ Government Girls’ College, Neemuch (M P) (India); Saxena, Ajay [Government College, Garoth, Dist. Mandsaur (M P) (India); Jadhav, Akhilesh [Government J Yoganandam Chhattisgarh College, Raipur (C G) (India)

    2015-07-31

    An analytical study on propagation characteristics of longitudinal electro-kinetic (LEK) waves is presented. Based on multi-fluid model of plasma, we have derived a dispersion relation for LEK waves in colloid laden GaN semiconductor plasmas. It is assumed that ions are implanted to form colloids in the GaN sample. The colloids are continuously bombarded by the plasma particles and stick on them, but they acquire a net negative charge due to relatively higher mobility of electrons. It is found from the dispersion relation that the presence of charged colloids not only modifies the existing modes but also supports new novel modes of LEKWs. It is hoped that the study would enhance understanding on dispersion and absorption of LEKWs and help in singling out the appropriate configurations in which GaN crystal would be better suited for fabrication of microwave devices.

  17. Electron-phonon coupling effect on wakefields in piezoelectric semiconductors

    International Nuclear Information System (INIS)

    Salimullah, M; Shukla, P K; Ghosh, S K; Nitta, H; Hayashi, Y

    2003-01-01

    Using an appropriate dielectric constant for an n-type piezoelectric semiconductor plasma and a moving test particle approach, it is shown that, besides the usual screened potential, there exists a non-Coulombian oscillatory potential or a wakefield behind a moving charged particle due to a strong resonant interaction between the charged particle and the electro-acoustic mode of the host semiconductor. With the concept of the wakefield, a possible lattice formation of colloids resulting from ion implantation in a current-carrying piezoelectric semiconductor has been examined

  18. Modification of semiconductors with proton beams. A review

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Lomasov, V.N.; Kozlov, V.A.

    2000-01-01

    Analysis is given of the progress in the modification of semiconductors by proton beams in fields such as proton-enhanced diffusion, ion-beam mixing, and formation of porous layers. This method of modification (doping) is shown to have high potential in monitoring the properties of semiconductor materials and designing devices of micro and nano electronics as compared to the conventional doping techniques such as thermal diffusion, epitaxy, and ion implantation

  19. 73Se, an unusual PAC probe applied to the study of semiconductors

    International Nuclear Information System (INIS)

    Vianden, R.

    1990-01-01

    The isotope 73 Se was produced by an (α,3n) reaction from 72 Ge in order to study the applicability of this probe to PAC defect studies in semiconductors. Measurements before and after annealing recoil implanted samples showed that the quadrupole interaction of the 66 keV state in the daughter isotope 73 As with the lattice damage created by the implantation is comparable to that found for 111 In in Ge. This indicates that 73 Se can be a suitable probe for defect studies in semiconductors with nuclear methods. (orig.)

  20. Experimental Methods for Implementing Graphene Contacts to Finite Bandgap Semiconductors

    DEFF Research Database (Denmark)

    Meyer-Holdt, Jakob

    Present Ph.D. thesis describes my work on implanting graphene as electrical contact to finite bandgap semiconductors. Different transistor architectures, types of graphene and finite bandgap semiconductors have been employed. The device planned from the beginning of my Ph.D. fellowship...... contacts to semiconductor nanowires, more specifically, epitaxially grown InAs nanowires. First, we tried a top down method where CVD graphene was deposited on substrate supported InAs nanowires followed by selective graphene ashing to define graphene electrodes. While electrical contact between...

  1. Synthesis of dilute magnetic semiconductors by ion implantation

    International Nuclear Information System (INIS)

    Braunstein, G.H.; Dresselhaus, G.; Withrow, S.P.

    1986-01-01

    We have synthesized layers of CdMnTe by implantation of Mn into CdTe. Samples of CdTe have been implanted with Mn ions of 60 keV energy to fluences in the range 1 x 10 13 cm -2 to 2 x 10 16 cm -2 resulting in local concentrations of up to 10% at the maximum of the Mn distribution. Rutherford backscattering-channeling analysis has been used to study the radiation damage after implantation and after subsequent rapid thermal annealing (RTA). These experiments reveal that RTA for 15 sec at a temperature T greater than or equal to 700 0 C results in the complete recovery of the lattice order, without affecting the stoichiometry of CdTe. Photoluminescence (PL) measurements of a sample showing complete annealing reveal an increase in the band gap corresponding to the synthesis of very dilute (x approx. = 0.004) Cd/sub 1-x/Mn/sub x/Te. A shift of the excitonic PL peak to lower energies is observed when a magnetic field H less than or equal to 1T is applied. These measurements provide clear evidence for the synthesis of a DMS by ion implantation of Mn into CdTe

  2. Diffusion of Implanted Radioisotopes in Solids

    CERN Multimedia

    2002-01-01

    Implantation of radioisotopes into metal and semiconductor samples is performed. The implanted isotope or its decay-product should have a half-life long enough for radiotracer diffusion experiments. Such radioisotopes are utilized to investigate basic diffusion properties in semiconductors and metals and to improve our understanding of the atomic mechanisms of diffusion. For suitably chosen systems the combination of on-line production and clean implantation of radioisotopes at the ISOLDE facility opens new possibilities for diffusion studies in solids. \\\\ \\\\ The investigations are concentrated on diffusion studies of $^{195}$Au in amorphous materials. The isotope $^{195}$Au was obtained from the mass 195 of the mercury beam. $^{195}$Hg decays into $^{195}$Au which is a very convenient isotope for diffusion experiments. \\\\ \\\\ It was found that $^{195}$Au is a slow diffusor in amorphous Co-Zr alloys, whereas Co is a fast diffusor in the same matrix. The ``asymmetry'' in the diffusion behaviour is of considerab...

  3. A process for doping an amorphous semiconductor material by ion implantation

    International Nuclear Information System (INIS)

    Kalbitzer, S.; Muller, G.; Spear, W.E.; Le Comber, P.G.

    1979-01-01

    In a process for doping a body of amorphous semiconductor material, the body is held at a predetermined temperature above 20 deg. C which is below the recrystallization temperature of the amorphous semiconductor material during bombardment by accelerated ions of a predetermined doping material. (U.K.)

  4. Semiconductor annealing

    International Nuclear Information System (INIS)

    Young, J.M.; Scovell, P.D.

    1981-01-01

    A process for annealing crystal damage in ion implanted semiconductor devices is described in which the device is rapidly heated to a temperature between 450 and 600 0 C and allowed to cool. It has been found that such heating of the device to these relatively low temperatures results in rapid annealing. In one application the device may be heated on a graphite element mounted between electrodes in an inert atmosphere in a chamber. The process may be enhanced by the application of optical radiation from a Xenon lamp. (author)

  5. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  6. Structure and magnetism of transition-metal implanted dilute magnetic semiconductors

    CERN Document Server

    Pereira, Lino; Temst, K; Araújo, JP; Wahl, U

    The discovery of a dilute magnetic semiconductor (DMS) in which ferromagnetism is carrier-mediated and persists above room temperature is a critical step towards the development of semiconductor-based spintronics. Among the many types of DMS materials which have been investigated, the current research interest can be narrowed down to two main classes of materials: (1) narrow-gap III-V semiconductors, mostly GaAs and InAs, doped with Mn; (2) wide-gap oxides and nitrides doped with 3d transition metals, mostly Mn- and Co-doped ZnO and Mn-doped GaN. With a number of interesting functionalities deriving from the carrier-mediated ferromagnetism and demonstrated in various proof-of-concept devices, Mn-doped GaAs has become, among DMS materials, one of the best candidates for technological application. However, despite major developments over the last 15 years, the maximum Curie temperature (185 K) remains well below room temperature. On the other hand, wide-gap DMS materials appear to exhibit ferromagnetic behavior...

  7. The X-ray investigation of GaAs nanorods grown onto Si[111] substrate

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, A.; Biermanns, Andreas; Pietsch, Ullrich [University of Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-01

    Nanorods (NR) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. It was found that nearly any AIIIBV semiconductor material can be grown as NRs onto another AIIIBV or group IV[111] substrate independent from lattice mismatch. We presented an X-ray characterization of GaAs NRs on Si[111] grown by gold-seed assist MBE method. We concentrated our research on 4 samples with different growth time: a) at 5s growth time several island but no NWs are found on the surface; b) at 60 s first NWs appeared; c) at 150 s the size of rods is increased; d) at 1800 s many NWs occupy the whole surface. Using synchrotron radiation we have performed experiments in symmetrical and asymmetrical out-of plane scattering geometry and depth resolved grazing-incidence diffraction. Combining the results we were able to determine the strain gradient between wurzite like NR and zincblende substrate. Using particularly asymmetric wurzite-like reflections under coherent beam illumination we could quantify the number of stacking faults In the talk we present details of the analysis and first simulation results.

  8. Analysis of defects near the surface and the interface of semiconductors by monoenergetic positron beam

    International Nuclear Information System (INIS)

    Uedono, Akira; Tanigawa, Shoichiro

    1989-01-01

    A monoenergetic low-speed positron beam line is constructed and a study is made on defects near the surface and the interface of semiconductors by using the beam line. Sodium-22 is used as beam source. Ion implantation, though being an essential technique for semiconductor integrated circuit production, can introduce lattice defects, affecting the yield and reliability of the resultant semiconductor devices. Some observations are made on the dependence of the Doppler broadening on the depth, and the ΔS-E relationship in P + -ion implanted SiO 2 (43nm)-Si. These observations demonstrate that monoenergetic positron beam is useful to detect hole-type defects resulting from ion implantation over a very wide range of defect density. Another study is made for the detection of defects near an interface. Positrons are expected to drift when left in an electric field with a gradient. Observations made here show that positrons can be concentrated at any desired interface by introducing an electric field intensity gradient in the oxide. This process also serves for accurate measurement of the electronic structure at the interface, and the effect of ion implantation and radiations on the interface. (N.K.)

  9. Silicon Quantum Dots with Counted Antimony Donor Implants

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Meenakshi [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Pacheco, Jose L. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Perry, Daniel Lee [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Garratt, E. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Ten Eyck, Gregory A. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Wendt, Joel R. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Manginell, Ronald P. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Luhman, Dwight [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Bielejec, Edward S. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Lilly, Michael [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Carroll, Malcolm S. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies

    2015-10-01

    Deterministic control over the location and number of donors is crucial to donor spin quantum bits (qubits) in semiconductor based quantum computing. A focused ion beam is used to implant close to quantum dots. Ion detectors are integrated next to the quantum dots to sense the implants. The numbers of ions implanted can be counted to a precision of a single ion. Regular coulomb blockade is observed from the quantum dots. Charge offsets indicative of donor ionization, are observed in devices with counted implants.

  10. AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with reduced leakage current and enhanced breakdown voltage using aluminum ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Shichuang [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Fu, Kai, E-mail: kfu2009@sinano.ac.cn, E-mail: cqchen@mail.hust.edu.cn; Yu, Guohao; Zhang, Zhili; Song, Liang; Deng, Xuguang; Li, Shuiming; Sun, Qian; Cai, Yong; Zhang, Baoshun [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Qi, Zhiqiang; Dai, Jiangnan; Chen, Changqing, E-mail: kfu2009@sinano.ac.cn, E-mail: cqchen@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2016-01-04

    This letter has studied the performance of AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors on silicon substrate with GaN buffer treated by aluminum ion implantation for insulating followed by a channel regrown by metal–organic chemical vapor deposition. For samples with Al ion implantation of multiple energies of 140 keV (dose: 1.4 × 10{sup 14} cm{sup −2}) and 90 keV (dose: 1 × 10{sup 14} cm{sup −2}), the OFF-state leakage current is decreased by more than 3 orders and the breakdown voltage is enhanced by nearly 6 times compared to the samples without Al ion implantation. Besides, little degradation of electrical properties of the 2D electron gas channel is observed where the maximum drain current I{sub DSmax} at a gate voltage of 3 V was 701 mA/mm and the maximum transconductance g{sub mmax} was 83 mS/mm.

  11. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  12. Implantation doping of GaN

    International Nuclear Information System (INIS)

    Zolper, J.C.

    1996-01-01

    Ion implantation has played an enabling role in the realization of many high performance photonic and electronic devices in mature semiconductor materials systems such as Si and GaAs. This can also be expected to be the case in III-Nitride based devices as the material quality continues to improve. This paper reviews the progress in ion implantation processing of the III-Nitride materials, namely, GaN, AlN, InN and their alloys. Details are presented of the successful demonstrations of implant isolation as well as n- and p-type implantation doping of GaN. Implant doping has required activation annealing at temperatures in excess of 1,000 C. The nature of the implantation induced damage and its response to annealing is addressed using Rutherford Backscattering. Finally, results are given for the first demonstration of a GaN device fabricated using ion implantation doping, a GaN junction field effect transistor (JFET)

  13. Ion channeling study of defects in multicomponent semiconductor compounds

    International Nuclear Information System (INIS)

    Turos, A.; Nowicki, L.; Stonert, A.

    2002-01-01

    Compound semiconductor crystals are of great technological importance as basic materials for production of modern opto- and microelectronic devices. Ion implantation is one of the principal techniques for heterostructures processing. This paper reports the results of the study of defect formation and transformation in binary and ternary semiconductor compounds subjected to ion implantation with ions of different mass and energy. The principal analytical technique was He-ion channeling. The following materials were studied: GaN and InGaN epitaxial layers. First the semi empirical method of channeling spectra analysis for ion implanted multicomponent single crystal was developed. This method was later complemented by the more sophisticated method based on the Monte Carlo simulation of channeling spectra. Next, the damage buildup in different crystals and epitaxial layers as a function of the implantation dose was studied for N, Mg, Te, and Kr ions. The influence of the substrate temperature on the defect transformations was studied for GaN epitaxial layers implanted with Mg ions. Special attention was devoted to the study of growth conditions of InGaN/GaN/sapphire heterostructures, which are important component of the future blue laser diodes. In-atom segregation and tetragonal distortion of the epitaxial layer were observed and characterized. Next problem studied was the incorporation of hydrogen atoms in GaAs and GaN. Elastic recoil detection (ERDA) and nuclear reaction analysis (NRA) were applied for the purpose. (author)

  14. Development of a keV single-ion-implanter for nanofabrication

    International Nuclear Information System (INIS)

    Yang, C.; Jamieson, D.N.; Hopf, T.; Tamanyan, G.; Spizziri, P.; Pakes, C.; Andresen, S.E.; Hudson, F.; Gauja, E.; Dzurak, A.; Clark, R.G.

    2005-01-01

    Traditional methods of doping semiconductors have a difficulty meeting the demand for high precision doping due to large statistical fluctuations in the numbers of dopant atoms introduced in the ever shrinking volume in micro- and nano-electronics devices, especially when the fabrication process approaches the nanometre scale. The statistical fluctuations in doping semiconductors for the fabrication of devices with a very small feature size may lead to inconsistent and unreliable performance. This paper describes the adaptation of a commercial ion implanter into a single-ion-implantation system for the accurate delivery of dopants into a nanometre or micrometre area in a silicon substrate. All the implanted ions can be accurately counted with near 100% certainty through online detection using the silicon substrate itself as an ion detector. A variety of ion species including B + , N + , P + at the energy range of 10-15 keV can be delivered in the single ion implantation system. (author). 6 refs., 6 figs

  15. Manufacture of ribbon and solar cells of material of semiconductor grade

    International Nuclear Information System (INIS)

    1980-01-01

    A method is described of producing ribbon-like substantially monocrystalline bodies of silicon or other materials of semiconductor grade suitable for use in solar cells or other semiconductor devices. A tube of the material is made and a photovoltaic junction formed in it. The tube is then divided lengthwise into a number of ribbon-like bodies. The photovoltaic junction can be formed either by diffusion or by ion-implantation. (U.K.)

  16. Effects of ion sputtering on semiconductor surfaces

    International Nuclear Information System (INIS)

    McGuire, G.E.

    1978-01-01

    Ion beam sputtering has been combined with Auger spectroscopy to study the effects of ion beams on semiconductor surfaces. Observations on the mass dependence of ion selective sputtering of two component systems are presented. The effects of ion implantation are explained in terms of atomic dilution. Experimental data are presented that illustrate the super-position of selective sputtering and implantation effects on the surface composition. Sample reduction from electron and ion beam interaction is illustrated. Apparent sample changes which one might observe from the effects of residual gas contamination and electric fields are also discussed. (Auth.)

  17. Modification of semiconductor materials using laser-produced ion streams additionally accelerated in the electric fields

    International Nuclear Information System (INIS)

    Rosinski, M.; Badziak, B.; Parys, P.; Wolowski, J.; Pisarek, M.

    2009-01-01

    The laser-produced ion stream may be attractive for direct ultra-low-energy ion implantation in thin layer of semiconductor for modification of electrical and optical properties of semiconductor devices. Application of electrostatic fields for acceleration and formation of laser-generated ion stream enables to control the ion stream parameters in broad energy and current density ranges. It also permits to remove the useless laser-produced ions from the ion stream designed for implantation. For acceleration of ions produced with the use of a low fluence repetitive laser system (Nd:glass: 2 Hz, pulse duration: 3.5 ns, pulse energy:∼0.5 J, power density: 10 10 W/cm 2 ) in IPPLM the special electrostatic system has been prepared. The laser-produced ions passing through the diaphragm (a ring-shaped slit in the HV box) have been accelerated in the system of electrodes. The accelerating voltage up to 40 kV, the distance of the diaphragm from the target, the diaphragm diameter and the gap width were changed for choosing the desired parameters (namely the energy band of the implanted ions) of the ion stream. The characteristics of laser-produced Ge ion streams were determined with the use of precise ion diagnostic methods, namely: electrostatic ion energy analyser and various ion collectors. The laser-produced and post-accelerated Ge ions have been used for implantation into semiconductor materials for nanocrystal fabrication. The characteristics of implanted samples were measured using AES

  18. Amorphization and recrystallization in MeV ion implanted InP crystals

    International Nuclear Information System (INIS)

    Xiong, F.; Nieh, C.W.; Jamieson, D.N.; Vreeland, T. Jr.; Tombrello, T.A.

    1988-01-01

    A comprehensive study of MeV- 15 N-ion-implanted InP by a variety of analytical techniques has revealed the physical processes involved in MeV ion implantation into III-V compound semiconductors as well as the influence of post-implantation annealing. It provides a coherent picture of implant distribution, structural transition, crystalline damage, and lattice strain in InP crystals induced by ion implantation and thermal annealing. The experimental results from the different measurements are summarized in this report. Mechanisms of amorphization by implantation and recrystallization through annealing in MeV-ion-implanted InP are proposed and discussed in light of the results obtained

  19. The Crystal structure of InAs nanorods grown onto Si[111] substrate

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3,57072, Siegen (Germany); Breuer, Steffen; Dimakis, Manos; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-07-01

    Nanowires are of particular interest due to the ability to synthesize heterostructures in the nanometer range. It was found that nearly any AIIIBV semiconductor material can be grown as NWs onto another AIIIBV or group IV [111] substrate independent from lattice mismatch. We presented an X-ray characterization of InAs NRs on Si [111] grown by assist free MBE method. Lattice mismatch of this materials is 11%. For study of strain realizing we concentrated our research on initial stages of growth process investigating samples set with different growth time. Using synchrotron radiation we have performed experiments in symmetrical and asymmetrical out-of plane scattering geometry and grazing-incidence diffraction. Combining the results we were able to characterize the transition between silicon silicon substrate and InAs NWs. We find in-plane lattice mismatch of -0.18% close to the interface compared to InAs bulk material. With help of micro-focus setup we are able measure structural parameters of single NWs to determine the strain accomodation as function of NW size. In particular using asymmetric wurzite-sensitive reflections under coherent beam illumination we could quantify the number of stacking faults. In the talk we present details of the analysis and first simulation results.

  20. Mechanochemical activation and gallium and indiaarsenides surface catalycity

    Science.gov (United States)

    Kirovskaya, I. A.; Mironova, E. V.; Umansky, I. V.; Brueva, O. Yu; Murashova, A. O.; Yureva, A. V.

    2018-01-01

    The present work has been carried out in terms of determining the possibilities for a clearer identification of the active sites nature, intermediate surface compounds nature, functional groups during adsorption and catalysis, activation of the diamond-like semiconductors surface (in particular, the AIIIBV type) based on mechanochemical studies of the “reaction medium (H2O, iso-C3H7OH) - dispersible semiconductor (GaAs, InAs)” systems. As a result, according to the read kinetic curves of dispersion in water, both acidification and alkalinization of the medium have been established and explained; increased activity of the newly formed surface has been noted; intermediate surface compounds, functional groups appearing on the real surface and under H2O adsorption conditions, adsorption and catalytic decomposition of iso-C3H7OH have been found (with explanation of the origin). The unconcealed role of coordinatively unsaturated atoms as active sites of these processes has been shown; the relative catalytic activity of the semiconductors studied has been evaluated. Practical recommendations on the preferred use of gallium arsenide in semiconductor gas analysis and semiconductor catalysis have been given in literature searches, great care should be taken in constructing both.

  1. An apparatus and process for forming P-N junction semiconductor units

    International Nuclear Information System (INIS)

    1975-01-01

    It is stated that although many methods of ion implantation have been developed it seems that the method of 'hot implantation' is still in its infancy. In this method the target is preheated in an ion implantor during implantation of ions, leading to radiation enhanced diffusion. The apparatus described comprises the following: (i) a bell jar evacuated to -3 Torr containing four electrodes arranged in two pairs, one electrode of the first pair being in the form of a mesh; (ii) a source of high pulsating direct voltage connected to the first pair of electrodes, with the mesh electrode negatively poled, to ionise the rarified air in the bell jar and accelerate the resulting positive N and O ions; (iii) an RF voltage source connected to the other pair of electrodes to facilitate the ionisation; (iv) a dopant semiconductor body, heated by a wire wound heater, placed underneath the mesh electrode so that the accelerated ions bombard the dopant layer through the mesh electrode and implant dopant atoms in the semiconductor body. The distance between the mesh electrode and the surface of the dopant-coated semiconductive body, should be about 5mm. The mesh electrode consists of a sputtering-resistant refractory metal, and includes a cooling system. The dopant-coated semiconductive body is placed on a ceramic plate in the bell jar, and the power supply line of the heater is insulated from the voltage applied to the negative electrode, which is earthed, by using an insulated heater transformer combined with an autotransformer. The ceramic plate is attached to a plate on which the heater is wound, and the temperature of the heating should be variable between 400 0 and 500 0 C. A process for forming P-N junction semiconductor units using this apparatus is described. (U.K.)

  2. Doping of semiconductors using radiation defects produced by irradiation with protons and alpha particles

    International Nuclear Information System (INIS)

    Kozlov, V.A.; Kozlovski, V.V.

    2001-01-01

    One of the modern methods for modifying semiconductors using beams of protons and alpha particles is analyzed; this modification is accomplished by the controlled introduction of radiation defects into the semiconductor. It is shown that doping semiconductors with radiation defects produced by irradiation with light ions opens up fresh opportunities for controlling the properties of semiconducting materials and for the development of new devices designed for optoelectronics, microelectronics, and nanoelectronics based on these materials; these devices differ favorably from those obtained by conventional doping methods, i.e., by diffusion, epitaxy, and ion implantation

  3. Damage formation and recovery in Fe implanted 6H–SiC

    CERN Document Server

    Miranda, Pedro; Catarino, Norberto; Lorenz, Katharina; Correia, João Guilherme; Alves, Eduardo

    2012-01-01

    Silicon carbide doped with magnetic ions such as Fe, Mn, Ni or Co could make this wide band gap semiconductor part of the diluted magnetic semiconductor family. In this study, we report the implantation of 6H-SiC single crystals with magnetic $^{56}$Fe$^{+}$ ions with an energy of 150 keV. The samples were implanted with 5E14 Fe$^+$/cm$^{2}$ and 1E16 Fe$^+$/cm$^{2}$ at different temperatures to study the damage formation and lattice site location. The samples were subsequently annealed up to 1500°C in vacuum in order to remove the implantation damage. The effect of the annealing was followed by Rutherford Backscattering/Channeling (RBS/C) measurements. The results show that samples implanted above the critical amorphization temperature reveal a high fraction of Fe incorporated into regular sites along the [0001] axis. After the annealing at 1000°C, a maximum fraction of 75%, corresponding to a total of 3.8E14 Fe$^{+}$/cm$^{2}$, was measured in regular sites along the [0001] axis. A comparison is made betwee...

  4. ULTRATHIN SILICON MEMBRANES TO STUDY SUPERCURRENT TRANSPORT IN CRYSTALLINE SEMICONDUCTORS

    NARCIS (Netherlands)

    VANHUFFELEN, WM; DEBOER, MJ; KLAPWIJK, TM

    1991-01-01

    We have developed a two-step anisotropic etching process to fabricate thin silicon membranes, used to study supercurrent transport in semiconductor coupled weak links. The process uses a shallow BF2+ implantation, and permits easy control of membrane thickness less-than-or-equal-to 100 nm.

  5. Simulation and Performance Test Technology Development for Semiconductor Radiation Detection Instrument Fabrication

    International Nuclear Information System (INIS)

    Kim, Jong Kyung; Lee, W. G.; Kim, S. Y.; Shin, C. H.; Kim, K. O.; Park, J. M.; Jang, D. Y.; Kang, J. S.

    2010-06-01

    - Analysis on the Absorbed Dose and Electron Generation by Using MCNPX Code - Analysis on the Change of Measured Energy Spectrum As a Function of Bias Voltage Applied in Semiconductor Detector - Comparison of Monte Carlo Simulation Considering the Charge Collection Efficiency and Experimental Result - Development of Semiconductor Sensor Design Code Based on the Graphic User Interface - Analysis on Depth Profile of Ion-implanted Semiconductor Wafer Surface and Naturally Generated SiO2 Insulation Layer Using Auger Electron Spectroscopy - Measurement of AFM Images and Roughness to Abalyze Surface of Semiconductor Wafer with respect to Annealing and Cleaning Process - Measurement of Physical Properties for Semiconductor Detector Surface after CZT Passivation Process - Evaluation of Crystal Structure and Specific Resistance of CZT - Measurement/Analysis on Band Structure of CZT Crystal - Evaluation of Neutron Convertor Layer with respect to Change in Temperature - Measurement/Evaluation of physical characteristics for lattice parameter, specific resistance, and band structure of CZT crystal - Measurement/Evaluation of lattice transition of SiC semiconductor detector after radiation irradiation - Measurement/Evaluation of performance of semiconductor detector with respect to exposure in high temperature environment

  6. Channeling ion implantation through palladium films

    International Nuclear Information System (INIS)

    Ishiwara, H.; Furukawa, S.

    1975-01-01

    The possibility of channeling ion implantation into semiconductors through polycrystalline metallic layers is studied. Minimum values and standard deviations of channeling angular yield in polycrystalline Pd 2 Si layers formed on Si have been measured by protons and 4 He, and 14 N ion backscattering and channeling measurements. Depth distributions of the spread of crystallite orientations and scattering centers such as lattice defects have been separately derived by using the above two quantities. It has been concluded that the channeling-ion-implantation technique will become a practical one by using the parallel scanning system

  7. Ion implantation induced conducting nano-cluster formation in PPO

    International Nuclear Information System (INIS)

    Das, A.; Patnaik, A.; Ghosh, G.; Dhara, S.

    1997-01-01

    Conversion of polymers and non-polymeric organic molecules from insulating to semiconducting materials as an effect of energetic ion implantation is an established fact. Formation of nano-clusters enriched with carbonaceous materials are made responsible for the insulator-semiconductor transition. Conduction in these implanted materials is observed to follow variable range hopping (VRH) mechanism. Poly(2,6-dimethyl phenylene oxide) [PPO] compatible in various proportion with polystyrene is used as a high thermal resistant insulating polymer. PPO has been used for the first time in the ion implantation study

  8. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  9. Lattice Location of Radioactive Probes in Semiconductors and Metals by Electron and Positron Channelling

    CERN Multimedia

    2002-01-01

    The channelling effect of decay-electrons and positrons is used for the localization of radioactive impurities implanted into single crystals. Because of the low implantation doses and the variety of different isotopes available at ISOLDE, this technique is especially suited for applications in semiconducting materials. \\\\ \\\\ Channelling measurements in Si, GaAs and GaP implanted with In-, Cd- and Xe-isotopes have demonstrated that impurity lattice sites can be studied directly after implantation without any annealing. The electron-channelling technique can be ideally combined with hyperfine interaction techniques like Moessbauer s This was shown for the formation of In-vacancy complexes in ion-implanted Ni. \\\\ \\\\ We intend to continue the lattice location measurements in semiconductors implanted with various radioactive impurities of Cd, In, Sn, Sb and Te.

  10. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  11. Quantitative autoradiography of semiconductor base material

    International Nuclear Information System (INIS)

    Treutler, H.C.; Freyer, K.

    1983-01-01

    Autoradiographic methods for the quantitative determination of elements interesting in semiconductor technology and their distribution in silicon are described. Whereas the local concentration and distribution of phosphorus has been determined with the aid of silver halide films the neutron-induced autoradiography has been applied in the case of boron. Silicon disks containing diffused phosphorus or implanted or diffused boron have been used as standard samples. Different possibilities of the quantitative evaluation of autoradiograms are considered and compared

  12. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  13. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  14. Critical microstructure for ion-implantation gettering effects in silicon

    International Nuclear Information System (INIS)

    Geipel, H.J.; Tice, W.K.

    1977-01-01

    The nature of residual ion-implantation damage responsible for gettering deleterious impurities from active semiconductor device regions in Si has been studied. A propensity for dislocations of the type b=1/2 to gather metallic contaminant (e.g., Cu), as compared to Frank partials b=1/3 , is established. Transmission electron microscopy and pulsed leakage measurements are used to demonstrate that the density of 1/2 dislocations introduced by Xe implantation greatly influences gettering efficiency

  15. Peculiarities of neutron interaction with boron containing semiconductors

    International Nuclear Information System (INIS)

    Didyk, A.Yu.; ); Hofman, A.; Institute of Atomic Energy, Otwock/Swierk; Vlasukova, L.A.

    2009-01-01

    The results of point defect creation calculation in B 4 C, BN and BP semiconductor single crystals irradiated in the fast neutron reactor IBR-2 are presented. It has been shown that during the thermal neutron interaction with light isotope boron atoms ( 10 B) the damage creation by means of fission nuclear reaction fragments (alpha particles and 7 Li recoil nuclei) exceeds the damage created by fast neutrons (E n > 0.1 MeV) by more than two orders of value. It has been concluded that such irradiation can create a well developed radiation defect structure in boron-containing crystals with nearly homogeneous vacancy depth distribution. This may be used in technological applications for more effective diffusion of impurities implanted at low energies or deposited onto the semiconductor surface. The developed homogeneous vacancy structure is very suitable for the radiation enhanced diffusion of electrically charged or neutral impurities from the surface into the technological depth of semiconductor devices under post irradiation treatment. (authors)

  16. Electrostatically defined silicon quantum dots with counted antimony donor implants

    Energy Technology Data Exchange (ETDEWEB)

    Singh, M., E-mail: msingh@sandia.gov; Luhman, D. R.; Lilly, M. P. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Center for Integrated Nanotechnologies, Sandia National Laboratories, Albuquerque, New Mexico 87175 (United States); Pacheco, J. L.; Perry, D.; Garratt, E.; Ten Eyck, G.; Bishop, N. C.; Wendt, J. R.; Manginell, R. P.; Dominguez, J.; Pluym, T.; Bielejec, E.; Carroll, M. S. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States)

    2016-02-08

    Deterministic control over the location and number of donors is crucial to donor spin quantum bits (qubits) in semiconductor based quantum computing. In this work, a focused ion beam is used to implant antimony donors in 100 nm × 150 nm windows straddling quantum dots. Ion detectors are integrated next to the quantum dots to sense the implants. The numbers of donors implanted can be counted to a precision of a single ion. In low-temperature transport measurements, regular Coulomb blockade is observed from the quantum dots. Charge offsets indicative of donor ionization are also observed in devices with counted donor implants.

  17. Semiconductor analysis with a channeled helium microbeam

    International Nuclear Information System (INIS)

    Ingarfield, S.A.; McKenzie, C.D.; Short, K.T.; Williams, J.S.

    1981-01-01

    This paper describes the use of a channeled helium microbeam for analysis of damage and dopant distributions in semiconductors. Practical difficulties and potential problems associated with the channeling of microbeams in semiconductors have been examined. In particular, the following factors have been characterised: i) the effect of both convergence of focused beam and beam scanning on the quality of channeling; ii) damage produced by the probe ions; and iii) local beam heating effects arising from high current densities. Acceptable channeling has been obtained (minimum yield approaching 4%) under a variety of focusing and scanning conditions which are suitable for analysis of device structures. The capabilities of the technique are demonstrated by monitoring variations in local damage and impurity depth distributions across a narrow (<2mm) region of an ion implanted silicon wafer

  18. Method of making a self-aligned schottky metal semi-conductor field effect transistor with buried source and drain

    International Nuclear Information System (INIS)

    Bol, I.

    1984-01-01

    A semi-conductor structure and particularly a high speed VLSI Self-Aligned Schottky Metal Semi-Conductor Field Effect Transistor with buried source and drain, fabricated by the ion implantation of source and drain areas at a predetermined range of depths followed by very localized laser annealing to electrically reactivate the amorphous buried source and drain areas thereby providing effective vertical separation of the channel from the buried source and drain respectively. Accordingly, spatial separations between the self-aligned gate-to-drain, and gate-to-source can be relatively very closely controlled by varying the doping intensity and duration of the implantation thereby reducing the series resistance and increasing the operating speed

  19. Ion Implantation in III-V Compound Semiconductors

    Science.gov (United States)

    1984-09-01

    340 keV H + -0 Ga P  O UES-723-292 !:• (H o>ray *P-K X - rayO Ga-K X -ray iii! RBS * ..I -iO.. 0 10I to1. 01 • .0 -. I0 1 LI =i, O I 0 01 0.J 10...Identity by blo ," pume) Ion Implantation, GaAs, Hall effect, electrical resistivity, Rutherford Backscattering (RBS), channeling, Proton induced x -ray...Mebility (jH) upon Aiinealing Temperature (TA) for 1 X 101 /cm• Dose Samples of GaAs:Mg with Three Different Capping Methods 33 p 14 Dependence of Surface

  20. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  1. Are Fe and Co implanted ZnO and III-nitride semiconductors magnetic?

    CERN Document Server

    AUTHOR|(CDS)2081284; Bharuth-Ram, Krish

    The chemical nature, lattice site locations and magnetic behaviour of Fe and/or Co ions implanted in nitrides (GaN, AlN, and InN) and in ZnO have been investigated using Mössbauer spectroscopy and vibrating sample magnetometer (VSM) techniques. Mössbauer data on nitride and $^{56}$Fe pre-implanted ZnO samples were obtained from emission Mössbauer spectroscopy (eMS) measurements at the ISOLDE facility, CERN, following the implantation of radioactive $^{57}$Mn$^{*}$ which $\\beta$$^{-}$decays to the 14.4 keV Mössbauer state of $^{57}$Fe. In addition, conversion electron Mössbauer spectroscopy (CEMS) data were collected on ZnO single crystals co-implanted with $^{57}$Fe + $^{56}$Fe and $^{57}$Fe + $^{59}$Co ions in a box profile. Emission Mössbauer spectra obtained for GaN and AlN reveal magnetic structure in the ‘wings’ assigned to high spin Fe$^{3+}$ weakly coupled to the lattice showing spin-lattice relaxation effects. The observed spin-relaxation rate (τ$^{-1}$) closely follows a ${T}^{2}$ temperat...

  2. Semiconductor nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Marstein Erik Stensrud

    2003-07-01

    This thesis presents a study of two material systems containing semiconductor nanocrystals, namely porous silicon (PSi) films and germanium (Ge) nanocrystals embedded in silicon dioxide (SiO2) films. The PSi films were made by anodic etching of silicon (Si) substrates in an electrolyte containing hydrofluoric acid. The PSi films were doped with erbium (Er) using two different doping methods. electrochemical doping and doping by immersing the PSi films in a solution containing Er. The resulting Er concentration profiles were investigated using scanning electron microscopy (SEN1) combined with energy dispersive X-ray analysis (EDS). The main subject of the work on PSi presented in this thesis was investigating and comparing these two doping methods. Ge nanocrystals were made by implanting Ge ions into Si02 films that were subsequently annealed. However. nanocrystal formation occurred only for certain sets of processing parameters. The dependence of the microstructure of the Ge implanted Si02 films on the processing parameters were therefore investigated. A range of methods were employed for these investigations, including transmission electron microscopy (TEM) combined with EDS, X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS). The observed structures, ranging from Ge nanocrystals to voids with diameters of several tens of nanometers and Ge rich Si02 films without any nanocrystals is described. A model explaining the void formation is also presented. For certain sets of processing parameters. An accumulation of Ge at the Si-Si02 interface was observed. The effect of this accumulation on the electrical properties of MOS structures made from Ge implanted SiO2 films was investigated using CV-measurements. (Author)

  3. Application of positron annihilation techniques for semiconductor studies

    International Nuclear Information System (INIS)

    Karwasz, G.P.; Zecca, A.; Brusa, R.S.; Pliszka, D.

    2004-01-01

    Positron annihilation techniques, being non-destructive, allowing depth profiling down to a few micrometers and detecting open-volume defects (vacancies, dislocations etc.) at single ppm concentrations constitute a valuable and complementary method, compared to other solid-state-physics studies. We give examples of investigation in the field of semiconductors with different techniques, both with and without use of positron low-energy beams. The Doppler broadening of the 511 keV annihilation line method and the slow positron beam were used to study helium-implanted silicon and the surface reduction processes in semiconducting glasses. The positron lifetime technique and coincidence spectra of the Doppler broadening were used for systematic studies of metals and semiconductors. Doppler-coincidence method was then used to identify the kinetics of oxygen precipitates in Czochralski-grown silicon

  4. Mn-AlInN: a new diluted magnetic semiconductor

    International Nuclear Information System (INIS)

    Majid, Abdul; Ali, Akbar; Sharif, Rehana; Zhu, J.J.

    2009-01-01

    Mn ions have been incorporated into MOCVD grown Al 1-x In x N/GaN thin films by ion implantation to achieve the room temperature ferromagnetism in the samples. Magnetic characterizations revealed the presence of two ferromagnetic transitions: one has Curie points at ∝260 K and the other above room temperature. In-diffusion of indium caused by the Mn implantation leads to the partition of AlInN epilayer into two diluted magnetic semiconductor sub-layers depending on the Mn concentration. The Curie temperature of 260 K is assigned to the layer having lower concentration, whereas T c above room temperature is assumed to be associated to the layer having higher Mn concentration. (orig.)

  5. The electronic structure of impurities in semiconductors

    CERN Multimedia

    Nylandsted larsen, A; Svane, A

    2002-01-01

    The electronic structure of isolated substitutional or interstitial impurities in group IV, IV-IV, and III-V compound semiconductors will be studied. Mössbauer spectroscopy will be used to investigate the incorporation of the implanted isotopes on the proper lattice sites. The data can be directly compared to theoretical calculations using the LMTO scheme. Deep level transient spectroscopy will be used to identify the band gap levels introduced by metallic impurities, mainly in Si~and~Si$ _{x}$Ge$_{1-x}$. \\\\ \\\\

  6. (n,p) emission channeling measurements on ion-implanted beryllium

    CERN Multimedia

    Jakubek, J; Uher, J

    2007-01-01

    We propose to perform emission-channeling measurements using thermal neutron induced proton emission from ion-implanted $^{7}$Be. The physics questions addressed concern the beryllium doping of III-V and II-VI semiconductors and the host dependence of the electron capture half-life of $^{7}$Be.

  7. Optical power transfer and communication methods for wireless implantable sensing platforms.

    Science.gov (United States)

    Mujeeb-U-Rahman, Muhammad; Adalian, Dvin; Chang, Chieh-Feng; Scherer, Axel

    2015-09-01

    Ultrasmall scale implants have recently attracted focus as valuable tools for monitoring both acute and chronic diseases. Semiconductor optical technologies are the key to miniaturizing these devices to the long-sought sub-mm scale, which will enable long-term use of these devices for medical applications. This can also enable the use of multiple implantable devices concurrently to form a true body area network of sensors. We demonstrate optical power transfer techniques and methods to effectively harness this power for implantable devices. Furthermore, we also present methods for optical data transfer from such implants. Simultaneous use of these technologies can result in miniaturized sensing platforms that can allow for large-scale use of such systems in real world applications.

  8. Space charge limitation of the current in implanted SiO2 layers

    International Nuclear Information System (INIS)

    Szydlo, N.; Poirier, R.

    1974-01-01

    Metal-oxide-semiconductor capacitors were studied where the metal is a semitransparent gold layer of 5mm diameter, the oxide is thermal silica whose, thickness depends on the nature of the implant, and the semiconductor is N-type silicon of 5 ohms/cm. The SiO 2 thickness was chosen in such a way that the maximum of the profile of the implanted substance is in the medium of the oxide layer. In the case of virgin silica, the oscillations in the photocurrent versus energy and exponential variations versus the applied voltage show that the photoconduction obeys the model of injection limited current. In the case of the oxide after ion bombardment, the photocurrent similarity, independent of the direction of the electric field in silica, shows that volume transport phenomena become preponderent [fr

  9. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  10. Industrial applications of ion implantation into metal surfaces

    International Nuclear Information System (INIS)

    Williams, J.M.

    1987-07-01

    The modern materials processing technique, ion implantation, has intriguing and attractive features that stimulate the imaginations of scientists and technologists. Success of the technique for introducing dopants into semiconductors has resulted in a stable and growing infrastructure of capital equipment and skills for use of the technique in the economy. Attention has turned to possible use of ion implantation for modification of nearly all surface related properties of materials - optical, chemical and corrosive, tribological, and several others. This presentation provides an introduction to fundamental aspects of equipment, technique, and materials science of ion implantation. Practical and economic factors pertaining to the technology are discussed. Applications and potential applications are surveyed. There are already available a number of ion-implanted products, including ball-and-roller bearings and races, punches-and-dies, injection screws for plastics molding, etc., of potential interest to the machine tool industry

  11. The influence of annealing on manganese implanted GaAs films

    International Nuclear Information System (INIS)

    Buerger, Danilo; Zhou, Shengqiang; Grenzer, Joerg; Reuther, Helfried; Anwand, Wolfgang; Gottschalch, Volker; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Besides low-temperature molecular beam epitaxy, ion implantation provides an alternative route to incorporate Mn into GaAs above the equilibrium solubility limit. Recently, Mn implanted GaAs diluted magnetic semiconductor was obtained by pulsed laser annealing. However, post-implantation annealing can lead to the formation of secondary phases. In order to compare the post-annealing effect, we investigate GaMnAs by implanting up to 6 at% Mn followed by rapid thermal and flashlamp annealing. The structural properties were probed by high resolution X-ray diffraction. The magnetic properties were determined by SQUID measurements. Auger electron spectroscopy has been used to profile the depth distribution of Mn in GaAs after implantation and annealing. We elucidate after implantation a loss of As and that during rapid thermal annealing most of the Mn diffuses towards the surface. Flash lamp annealing prevents out-diffusion, but the recrystallisation efficiency is low. Only the flash lamp annealed samples reveal weak ferromagnetism.

  12. Magnetism in GaN layers implanted by La, Gd, Dy and Lu

    Czech Academy of Sciences Publication Activity Database

    Sofer, Z.; Sedmidubský, D.; Moram, M.; Macková, Anna; Buchal, C.; Hardtdegen, H.; Václavů, M.; Peřina, Vratislav; Groetzschel, R.; Mikulics, M.; Hejtmánek, Jiří; Maryško, Miroslav

    2011-01-01

    Roč. 519, č. 18 (2011), s. 6120-6125 ISSN 0040-6090 R&D Projects: GA ČR GA104/09/1269; GA ČR GA106/09/0125; GA ČR GA104/09/0621 Institutional research plan: CEZ:AV0Z10480505; CEZ:AV0Z10100521 Keywords : Magnetic semiconductors * III-V semiconductors * Ion implantation * X-ray diffraction * Rutherford backscattering spectroscopy Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.890, year: 2011

  13. Swept Line Electron Beam Annealing of Ion Implanted Semiconductors.

    Science.gov (United States)

    1982-07-01

    a pre- liminary study using silicon solar cells. This work was undertaken in cooperation with Dr. J. Eguren of the Instituto De Energia Solar , Madrid...device fabrication has been attempted. To date, resistors, capacitors, diodes, bipolar transistors, MOSFEs, and solar cells have been fabricated with...34 " 48 *Si Solar Cells Ruby PL P+ Ion-Implanted 49 Ruby PL Pulsed Diffused 50 :C

  14. Mn-AlInN: a new diluted magnetic semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Majid, Abdul; Ali, Akbar [Quaid-i-Azam University, Advance Materials Physics Laboratory, Physics Department, Islamabad (Pakistan); Sharif, Rehana [University of Engineering and Technology, Department of Physics, Lahore (Pakistan); Zhu, J.J. [Chinese Academy of Sciences, State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Beijing (China)

    2009-09-15

    Mn ions have been incorporated into MOCVD grown Al{sub 1-x}In{sub x}N/GaN thin films by ion implantation to achieve the room temperature ferromagnetism in the samples. Magnetic characterizations revealed the presence of two ferromagnetic transitions: one has Curie points at {proportional_to}260 K and the other above room temperature. In-diffusion of indium caused by the Mn implantation leads to the partition of AlInN epilayer into two diluted magnetic semiconductor sub-layers depending on the Mn concentration. The Curie temperature of 260 K is assigned to the layer having lower concentration, whereas T{sub c} above room temperature is assumed to be associated to the layer having higher Mn concentration. (orig.)

  15. Ion implantation: [fundamental factors which affect accelerator performance and their implications

    International Nuclear Information System (INIS)

    Armour, D.G.

    1987-01-01

    The use of ion implantation to modify the composition of the near surface layers of solid materials has been widely exploited in the semiconductor industry and is finding increasing application in the treatment of metals, ceramics and polymers. The bombardment of a solid with energetic ions inevitably involves the deposition of energy as well as material and this effect, which results in unwanted effects such as radiation damage in conventional implantation situations, is also being utilized to assist in the deposition of highly adherent or epitaxial layers. The increasing range of applications of ion implantation and ion assisted processing of materials has placed increasingly stringent demands on machine performance; in the present paper implantation techniques and their applications will be discussed. (author)

  16. Transition metal implanted ZnO. A correlation between structure and magnetism

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Shengqiang

    2008-07-01

    Nowadays ferromagnetism is often found in potential diluted magnetic semiconductor systems. However, many authors question the origin of this ferromagnetism, i.e. if the observed ferromagnetism stems from ferromagnetic precipitates rather than from carriermediated magnetic coupling of ionic impurities, as required for a diluted magnetic semiconductor. In this thesis, this question will be answered for transition-metal implanted ZnO single crystals. Magnetic secondary phases, namely metallic Fe, Co and Ni nanocrystals, are formed inside ZnO. They are - although difficult to detect by common approaches of structural analysis - responsible for the observed ferromagnetism. Particularly Co and Ni nanocrystals are crystallographically oriented with respect to the ZnO matrix. Their structure phase transformation and corresponding evolution of magnetic properties upon annealing have been established. Finally, an approach, pre-annealing ZnO crystals at high temperature before implantation, has been demonstrated to sufficiently suppress the formation of metallic secondary phases. (orig.)

  17. Development of a CMOS process using high energy ion implantation

    International Nuclear Information System (INIS)

    Stolmeijer, A.

    1986-01-01

    The main interest of this thesis is the use of complementary metal oxide semiconductors (CMOS) in electronic technology. Problems in developing a CMOS process are mostly related to the isolation well of p-n junctions. It is shown that by using high energy ion implantation, it is possible to reduce lateral dimensions to obtain a rather high packing density. High energy ion implantation is also presented as a means of simplifying CMOS processing, since extended processing steps at elevated temperatures are superfluous. Process development is also simplified. (Auth.)

  18. Statistical 3D damage accumulation model for ion implant simulators

    CERN Document Server

    Hernandez-Mangas, J M; Enriquez, L E; Bailon, L; Barbolla, J; Jaraiz, M

    2003-01-01

    A statistical 3D damage accumulation model, based on the modified Kinchin-Pease formula, for ion implant simulation has been included in our physically based ion implantation code. It has only one fitting parameter for electronic stopping and uses 3D electron density distributions for different types of targets including compound semiconductors. Also, a statistical noise reduction mechanism based on the dose division is used. The model has been adapted to be run under parallel execution in order to speed up the calculation in 3D structures. Sequential ion implantation has been modelled including previous damage profiles. It can also simulate the implantation of molecular and cluster projectiles. Comparisons of simulated doping profiles with experimental SIMS profiles are presented. Also comparisons between simulated amorphization and experimental RBS profiles are shown. An analysis of sequential versus parallel processing is provided.

  19. Statistical 3D damage accumulation model for ion implant simulators

    International Nuclear Information System (INIS)

    Hernandez-Mangas, J.M.; Lazaro, J.; Enriquez, L.; Bailon, L.; Barbolla, J.; Jaraiz, M.

    2003-01-01

    A statistical 3D damage accumulation model, based on the modified Kinchin-Pease formula, for ion implant simulation has been included in our physically based ion implantation code. It has only one fitting parameter for electronic stopping and uses 3D electron density distributions for different types of targets including compound semiconductors. Also, a statistical noise reduction mechanism based on the dose division is used. The model has been adapted to be run under parallel execution in order to speed up the calculation in 3D structures. Sequential ion implantation has been modelled including previous damage profiles. It can also simulate the implantation of molecular and cluster projectiles. Comparisons of simulated doping profiles with experimental SIMS profiles are presented. Also comparisons between simulated amorphization and experimental RBS profiles are shown. An analysis of sequential versus parallel processing is provided

  20. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  1. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  2. Development of industrial ion implantation and ion assisted coating processes: A perspective

    International Nuclear Information System (INIS)

    Legg, K.O.; Solnick-Legg, H.

    1989-01-01

    Ion beam processes have gone through a series of developmental stages, from being the mainstay of the semiconductor industry for production of integrated circuits, to new commercial processes for biomedical, aerospace and other industries. Although research is still continuing on surface modification using ion beam methods, ion implantation and ion assisted coatings for treatment of metals, ceramics, polymers and composites must now be considered viable industrial processes of benefit in a wide variety of applications. However, ion implantation methods face various barriers to acceptability, in terms not only of other surface treatment processes, but for implantation itself. This paper will discuss some of the challenges faced by a small company whose primary business is development and marketing of ion implantation and ion-assisted coating processes. (orig.)

  3. Ion beam synthesis and characterization of metastable group-IV alloy semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, Naoto; Hasegawa, Masataka; Hayashi, Nobuyuki; Makita, Yunosuke; Shibata, Hajime [Electrotechnical Lab., Tsukuba, Ibaraki (Japan); Katsumata, Hiroshi; Uekusa, Shin-ichiro

    1997-03-01

    New Group-IV metastable alloy semiconductors and their heterostructures based on combinations of C-Si-Ge-Sn are recently attracting interest because of feasible new electronic and optoelectronic application in Si-technology and here research works on synthesis and characterization of the epitaxial heterostructures of Si-C, Si-Sn on Si fabricated by ion implantation together either with ion-beam-induced epitaxial crystallization (IBIEC) or solid phase epitaxial growth (SPEG) have been investigated. Formations of layers of Si{sub 1-y}C{sub y} (y=0.014 at peak concentration) on Si(100) have been performed by high-dose implantation of 17 keV C ions and successive IBIEC with 400 keV Ar or Ge ion bombardments at 300-400degC or SPEG up to 750degC. Crystalline growth by IBIEC has shown a lower growth rate in Si{sub 1-y}C{sub y}/Si than in intrinsic Si due mainly to the strain existence, which was observed by the X-ray diffraction (XRD) measurements. Photoluminescence(PL) measurements have revealed I{sub 1} or G line emissions that are relevant to small vacancy clusters or C pair formation, respectively. The crystalline growth of Si{sub 1-z}Sn{sub z} layers by 110 keV {sup 120}Sn ion implantation (z=0.029 and z=0.058 at peak concentration) into Si(100) followed either by IBIEC or by SPEG has been also investigated. PL emission from both IBIEC-grown and SPEG-grown samples with the lower Sn concentration has shown similar peaks to those by ion-implanted and annealed Si samples with intense I{sub 1} or I{sub 1}-related (Ar) peaks. Present results suggest that IBIEC has a feature for the non-thermal equilibrium fabrication of Si-C and Si-Sn alloy semiconductors. (J.P.N.)

  4. Doping of two-dimensional MoS2 by high energy ion implantation

    Science.gov (United States)

    Xu, Kang; Zhao, Yuda; Lin, Ziyuan; Long, Yan; Wang, Yi; Chan, Mansun; Chai, Yang

    2017-12-01

    Two-dimensional (2D) materials have been demonstrated to be promising candidates for next generation electronic circuits. Analogues to conventional Si-based semiconductors, p- and n-doping of 2D materials are essential for building complementary circuits. Controllable and effective doping strategies require large tunability of the doping level and negligible structural damage to ultrathin 2D materials. In this work, we demonstrate a doping method utilizing a conventional high-energy ion-implantation machine. Before the implantation, a Polymethylmethacrylate (PMMA) protective layer is used to decelerate the dopant ions and minimize the structural damage to MoS2, thus aggregating the dopants inside MoS2 flakes. By optimizing the implantation energy and fluence, phosphorus dopants are incorporated into MoS2 flakes. Our Raman and high-resolution transmission electron microscopy (HRTEM) results show that only negligibly structural damage is introduced to the MoS2 lattice during the implantation. P-doping effect by the incorporation of p+ is demonstrated by Photoluminescence (PL) and electrical characterizations. Thin PMMA protection layer leads to large kinetic damage but also a more significant doping effect. Also, MoS2 with large thickness shows less kinetic damage. This doping method makes use of existing infrastructures in the semiconductor industry and can be extended to other 2D materials and dopant species as well.

  5. Prolonged Corrosion Stability of a Microchip Sensor Implant during In Vivo Exposure

    Directory of Open Access Journals (Sweden)

    Paul Glogener

    2018-02-01

    Full Text Available A microelectronic biosensor was subjected to in vivo exposure by implanting it in the vicinity of m. trapezii (Trapezius muscle from cattle. The implant is intended for the continuous monitoring of glucose levels, and the study aimed at evaluating the biostability of exposed semiconductor surfaces. The sensor chip was a microelectromechanical system (MEMS prepared using 0.25 µm complementary metal–oxide–semiconductor CMOS/BiCMOS technology. Sensing is based on the principle of affinity viscometry with a sensoric assay, which is separated by a semipermeable membrane from the tissue. Outer dimensions of the otherwise hermetically sealed biosensor system were 39 × 49 × 16 mm. The test system was implanted into cattle in a subcutaneous position without running it. After 17 months, the device was explanted and analyzed by comparing it with unexposed chips and systems. Investigations focused on the MEMS chip using SEM, TEM, and elemental analysis by EDX mapping. The sensor chip turned out to be uncorroded and no diminishing of the topmost passivation layer could be determined, which contrasts remarkably with previous results on CMOS biosensors. The negligible corrosive attack is understood to be a side effect of the semipermeable membrane separating the assay from the tissue. It is concluded that the separation has enabled a prolonged biostability of the chip, which will be of relevance for biosensor implants in general.

  6. The ion implantation of metals and engineering materials

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1978-01-01

    An entirely new method of metal finishing, by the process of ion implantation, is described. Introduced at first for semiconductor device applications, this method has now been demonstrated to produce major and long-lasting improvements in the durability of material surfaces, as regards both wear and corrosion. The process is distinct from that of ion plating, and it is not a coating technique. After a general description of ion implantation examples are given of its effects on wear behaviour (mostly in steels and cemented carbides) and on corrosion, in a variety of metals and alloys. Its potential for producing decorative finishes is mentioned briefly. The equipment necessary for carrying out ion implantation for engineering applications has now reached the prototype stage, and manufacture of plant for treating a variety of tools and components is about to commence. These developments are outlined. (author)

  7. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N.; Evans, P.J.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L.S. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J. [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1996-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  8. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N; Evans, P J; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L S [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1997-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  9. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  10. Dopant site location in dual-implanted GaP using (111) planar channeling

    International Nuclear Information System (INIS)

    Parikh, N.R.; Kao, C.T.; Lee, D.R.; Muse, J.; Swanson, M.L.; Venkatasubramanian, R.; Timmons, M.

    1990-01-01

    Previous studies have indicated that dual implantation can efficiently introduce group IV dopant onto selected sub-lattice sites in III--V compound semiconductors, thus enhancing electrical activation. The authors have studied this phenomenon in GaP using Rutherford Backscattering Spectroscopy (RBS) to determine the lattice location of Sn atoms. The authors used single crystals of GaP (100) which had been implanted at 400 degrees C with 120 Sn + following previously implanted 69 Ga + or 31 P + . Energies were selected for equivalent projected ranges, and all species were implanted with doses of 1 x 10 15 atoms/cm 2 . Asymmetry in the angular scan of the {111} planar channel was then used to determine the sub-lattice location of the implanted Sn. RBS results indicated that for all implants Sn atoms were substituting Ga and P sites equally. However, Hall effect measurements gave p type conduction for GaP implanted with Sn alone, while those with prior implants of Ga or P resulted in n-type conduction. RBS and Hall effect results are explained by a vacancy complex model

  11. Experimental identification of nitrogen-vacancy complexes in nitrogen implanted silicon

    Science.gov (United States)

    Adam, Lahir Shaik; Law, Mark E.; Szpala, Stanislaw; Simpson, P. J.; Lawther, Derek; Dokumaci, Omer; Hegde, Suri

    2001-07-01

    Nitrogen implantation is commonly used in multigate oxide thickness processing for mixed signal complementary metal-oxide-semiconductor and System on a Chip technologies. Current experiments and diffusion models indicate that upon annealing, implanted nitrogen diffuses towards the surface. The mechanism proposed for nitrogen diffusion is the formation of nitrogen-vacancy complexes in silicon, as indicated by ab initio studies by J. S. Nelson, P. A. Schultz, and A. F. Wright [Appl. Phys. Lett. 73, 247 (1998)]. However, to date, there does not exist any experimental evidence of nitrogen-vacancy formation in silicon. This letter provides experimental evidence through positron annihilation spectroscopy that nitrogen-vacancy complexes indeed form in nitrogen implanted silicon, and compares the experimental results to the ab initio studies, providing qualitative support for the same.

  12. Role of the Muon in Semiconductor Research

    Science.gov (United States)

    Mengyan, Rick (P. W.)

    Muons are used in semiconductor research as an experimentally accessible analog to the isolated Hydrogen (H) impurity - a complex that is very difficult (or impossible) to study by other means. Hydrogen impurities of any concentration can modify the electrical, optical or magnetic properties of the host. For instance, H can be incorporated to remove electrically active levels from the energy gap (i.e. passivation) while some can form isolated centers that tend to be responsible for the trap and release of charge carriers and participate in site and charge-state dynamics which certainly affect the electrical properties of the host. Therefore, it can be quite useful to characterize these impurities in semiconducting materials that are of interest for use in devices. A muon has the same charge and spin as a proton but a mass that is nine times lighter. When implanted in a target material, a positively charged muon can behave as a light proton or bind with an electron to form a complex known as Muonium (Mu) with properties that are very similar to that of ionic or neutral H, respectively. A result of these similarities and direct non-destructive implantation is that Mu provides a direct measure of local electronic structure, thermal stability and charge-state transitions of these impurity centers. Since any material can be subjected to muon implantation and it is the muons themselves that mimic the H impurity centers, these measurements do not depend (at all) on the host's solubility of hydrogen nor do they require some minimum concentration; unlike many other techniques, such as EPR, ENDOR, NMR, or IR vibrational spectroscopy. Here we summarize major contributions muons have made to the field of semiconductor research followed by a few case studies to demonstrate the technique and detailed knowledge of the physical and electronic structures as well as dynamics (e.g.: charge-state and site transitions; local motion; long-range diffusion) of Mu/H that can be obtained.

  13. Implant Angle Monitor System of MC3-II

    International Nuclear Information System (INIS)

    Sato, Fumiaki; Sano, Makoto; Nakaoka, Hiroaki; Fujii, Yoshito; Kudo, Tetuya; Nakanishi, Makoto; Koike, Masazumi; Fujino, Yasushi

    2008-01-01

    Precise implant angle control is required for the latest generation of ion implanters to meet further shrink semiconductor device requirements. Especially, the highest angle accuracy is required for Halo implant process of Logic devices. The Halo implant angle affects the device performance, because slight differences of beam divergence change the overlap profile towards the extension. Additionally, twist angle accuracy is demanded in case of channeling angle implant. Therefore monitoring beam angles and wafer twist angles is important. A new monitoring system for the MC3-II, SEN Corp.'s single wafer type medium current implanter has been developed. This paper describes the angle control performance and monitoring system of the MC3-II. For the twist angle control, we developed a wafer notch angle monitor. The system monitors the wafer notch image on the platen. And the notch angle variation is calculated by using image processing method. It is also able to adjust the notch angle according to the angle error. For the tilt angle control, we developed a vertical beam profile monitor. The monitor system can detect beam profile of vertical directions with horizontally scanning beam. It also measures beam angles of a tilt direction to a wafer. The system configuration and sample beam data are presented.

  14. Ion implantation enhanced metal-Si-metal photodetectors

    Science.gov (United States)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  15. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  16. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  17. Stimulated Brillouin scattering of laser in semiconductor plasma embedded with nano-sized grains

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Giriraj, E-mail: grsharma@gmail.com [SRJ Government Girls’ College, Neemuch (M P) (India); Dad, R. C. [Government P G College, Mandsaur (M P) (India); Ghosh, S. [School of Studies in Physics, Vikram University, Ujjain, (M P) (India)

    2015-07-31

    A high power laser propagating through semiconductor plasma undergoes Stimulated Brillouin scattering (SBS) from the electrostrictively generated acoustic perturbations. We have considered that nano-sized grains (NSGs) ions are embedded in semiconductor plasma by means of ion implantation. The NSGs are bombarded by the surrounding plasma particles and collect electrons. By considering a negative charge on the NSGs, we present an analytically study on the effects of NSGs on threshold field for the onset of SBS and Brillouin gain of generated Brillouin scattered mode. It is found that as the charge on the NSGs builds up, the Brillouin gain is significantly raised and the threshold pump field for the onset of SBS process is lowered.

  18. Automated Implanter Endstation for Combinatorial Materials Science with Ion Beams

    International Nuclear Information System (INIS)

    Grosshans, I.; Karl, H.; Stritzker, B.

    2003-01-01

    The discovery, understanding and optimization of new complex functional materials requires combinatorial synthesis techniques and fast screening instrumentation for the measurement of the samples. In this contribution the synthesis of buried II-VI compound semiconductor nanocrystals by ion-implantation in SiO2 on silicon will be presented. For that we constructed a computer controlled implanter target end station, in which a 4-inch wafer can be implanted with a lateral pattern of distinct dose, composition or energy combinations. The chemical reaction of the constituents is initiated either during the implantation process or ex-situ by a rapid thermal process, where a reactive atmosphere can be applied. The resulting optical photoluminescence properties of the individual fields of the pattern can then be screened in rapid succession in an optical cryostat into which the whole wafer is mounted and cooled down. In this way, complex interdependences of the physical parameters can be studied on a single wafer and the technically relevant properties optimized

  19. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  20. Discrimination of defects in III-V semiconductors by positron lifetime distribution

    CERN Document Server

    Chen, Z Q; Wang, S J

    2000-01-01

    In this paper, the numerical Laplace inversion technique and maximum entropy method are utilized to extract continuous positron lifetime distribution in semiconductors. The result is used to discriminate the native vacancy-type defects in as-grown GaAs and In P with different conduction type. Direct evidence of shallow positron traps were also observed in ion-implanted p-In P. It is demonstrated that the lifetime distribution can give us more detailed information on the native defects.

  1. Cluster-surface interaction: from soft landing to implantation

    DEFF Research Database (Denmark)

    Popok, Vladimir; Barke, Ingo; Campbell, Eleanor E.B.

    2011-01-01

    applications of keV-energy cluster ion beams. This includes ultra-shallow doping of semiconductors and formation of ultrathin insulating layers. A few examples of MeV-energy cluster implantation, leading to the formation of nanosize hillocks or pillars on the surface as well as to local phase transitions (for...... instance, graphite-to-diamond) are also discussed. The review is finalized by an outlook on the future development of cluster beam research....

  2. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  3. Interfacial nondegenerate doping of MoS2 and other two-dimensional semiconductors.

    Science.gov (United States)

    Behura, Sanjay; Berry, Vikas

    2015-03-24

    Controlled nondegenerate doping of two-dimensional semiconductors (2DSs) with their ultraconfined carriers, high quantum capacitance, and surface-sensitive electronics can enable tuning their Fermi levels for rational device design. However, doping techniques for three-dimensional semiconductors, such as ion implantation, cannot be directly applied to 2DSs because they inflict high defect density. In this issue of ACS Nano, Park et al. demonstrate that interfacing 2DSs with substrates having dopants can controllably inject carriers to achieve nondegenerate doping, thus significantly broadening 2DSs' functionality and applications. Futuristically, this can enable complex spatial patterning/contouring of energy levels in 2DSs to form p-n junctions, integrated logic, and opto/electronic devices. The process is also extendable to biocellular-interfaced devices, band-continuum structures, and intricate 2D circuitry.

  4. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  5. Magnetoresistance and Curie temperature of GaAs semiconductor doped with Mn ions

    International Nuclear Information System (INIS)

    Yalishev, V.Sh.

    2006-02-01

    Key words: diluted magnetic semiconductors, magnetoresistance, ferromagnetism, ionic implantation, molecular-beam epitaxy, magnetic clusters, Curie temperature. Subjects of the inquiry: Diluted magnetic semiconductor GaAs:Mn. Aim of the inquiry: determination of the possibility of the increase of Curie temperature in diluted magnetic semiconductors based on GaAs doped with Mn magnetic impurity. Method of inquiry: superconducting quantum interference device (SQUID), Hall effect, magnetoresistance, atomic and magnetic force microscopes. The results achieved and their novelty: 1. The effect of the additional doping of Ga 0,965 Mn 0,035 As magnetic epitaxial layers by nonmagnetic impurity of Be on on the Curie temperature was revealed. 2. The exchange interaction energy in the investigated Ga 0,965 Mn 0,035 As materials was determined by the means of the magnetic impurity dispersion model from the temperature dependence of the resistivity measurements. 3. The effect of magnetic clusters dimensions and illumination on the magnetoresistance of GaAs materials containing nano-dimensional magnetic clusters was studied for the first time. Practical value: Calculated energy of the exchange interaction between local electrons of magnetic ions and free holes in Ga 1-x Mn x As magnetic semiconductors permitted to evaluate the theoretical meaning of Curie temperature depending on concentration of free holes and to compare it with experimental data. Sphere of usage: micro- and nano-electronics, solid state physics, physics of semiconductors, magnetic materials physics, spin-polarized current sources. (author)

  6. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    International Nuclear Information System (INIS)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin

    2010-01-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10 10 -10 11 cm -2 . The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  7. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  8. Continuously Operating Biosensor and Its Integration into a Hermetically Sealed Medical Implant

    Directory of Open Access Journals (Sweden)

    Mario Birkholz

    2016-10-01

    Full Text Available An integration concept for an implantable biosensor for the continuous monitoring of blood sugar levels is presented. The system architecture is based on technical modules used in cardiovascular implants in order to minimize legal certification efforts for its perspective usage in medical applications. The sensor chip operates via the principle of affinity viscometry, which is realized by a fully embedded biomedical microelectromechanical systems (BioMEMS prepared in 0.25-µm complementary metal–oxide–semiconductor (CMOS/BiCMOS technology. Communication with a base station is established in the 402–405 MHz band used for medical implant communication services (MICS. The implant shall operate within the interstitial tissue, and the hermetical sealing of the electronic system against interaction with the body fluid is established using titanium housing. Only the sensor chip and the antenna are encapsulated in an epoxy header closely connected to the metallic housing. The study demonstrates that biosensor implants for the sensing of low-molecular-weight metabolites in the interstitial may successfully rely on components already established in cardiovascular implantology.

  9. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  10. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  11. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  12. Size-dependent structure of CdSe nanoclusters formed after ion implantation in MgO

    NARCIS (Netherlands)

    van Huis, MA; van Veen, A; Schut, H; Eijt, SWH; Kooi, BJ; De Hosson, JTM

    The band gap as well as the optical and structural properties of semiconductor CdSe nanoclusters change as a function of the nanocluster size. Embedded CdSe nanoclusters in MgO were created by means of sequential Cd and Se ion implantation followed by thermal annealing. Changes during annealing were

  13. Phase transitions in solids under high pressure

    CERN Document Server

    Blank, Vladimir Davydovich

    2013-01-01

    Phase equilibria and kinetics of phase transformations under high pressureEquipment and methods for the study of phase transformations in solids at high pressuresPhase transformations of carbon and boron nitride at high pressure and deformation under pressurePhase transitions in Si and Ge at high pressure and deformation under pressurePolymorphic α-ω transformation in titanium, zirconium and zirconium-titanium alloys Phase transformations in iron and its alloys at high pressure Phase transformations in gallium and ceriumOn the possible polymorphic transformations in transition metals under pressurePressure-induced polymorphic transformations in АIBVII compoundsPhase transformations in AIIBVI and AIIIBV semiconductor compoundsEffect of pressure on the kinetics of phase transformations in iron alloysTransformations during deformation at high pressure Effects due to phase transformations at high pressureKinetics and hysteresis in high-temperature polymorphic transformations under pressureHysteresis and kineti...

  14. Suppression of threshold voltage variability in MOSFETs by adjustment of ion implantation parameters

    Science.gov (United States)

    Park, Jae Hyun; Chang, Tae-sig; Kim, Minsuk; Woo, Sola; Kim, Sangsig

    2018-01-01

    In this study, we investigate threshold voltage (VTH) variability of metal-oxide-semiconductor field-effect transistors induced by random dopant fluctuation (RDF). Our simulation work demonstrates not only the influence of the implantation parameters such as its dose, tilt angle, energy, and rotation angle on the RDF-induced VTH variability, but also the solution to reduce the effect of this variability. By adjusting the ion implantation parameters, the 3σ (VTH) is reduced from 43.8 mV to 28.9 mV. This 34% reduction is significant, considering that our technique is very cost effective and facilitates easy fabrication, increasing availability.

  15. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    Energy Technology Data Exchange (ETDEWEB)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir; Bregolin, Felipe L.; Hübner, Rene; Voelskow, Matthias; Helm, Manfred; Skorupa, Wolfgang [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany)

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of the III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.

  16. Comparison of experimental target currents with analytical model results for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    En, W.G.; Lieberman, M.A.; Cheung, N.W.

    1995-01-01

    Ion implantation is a standard fabrication technique used in semiconductor manufacturing. Implantation has also been used to modify the surface properties of materials to improve their resistance to wear, corrosion and fatigue. However, conventional ion implanters require complex optics to scan a narrow ion beam across the target to achieve implantation uniformity. An alternative implantation technique, called Plasma Immersion Ion Implantation (PIII), immerses the target into a plasma. The ions are extracted from the plasma directly and accelerated by applying negative high-voltage pulses to the target. An analytical model of the voltage and current characteristics of a remote plasma is presented. The model simulates the ion, electron and secondary electron currents induced before, during and after a high voltage negative pulse is applied to a target immersed in a plasma. The model also includes analytical relations that describe the sheath expansion and collapse due to negative high voltage pulses. The sheath collapse is found to be important for high repetition rate pulses. Good correlation is shown between the model and experiment for a wide variety of voltage pulses and plasma conditions

  17. Laser action on rare earth doped nitride semiconductor thin layers

    International Nuclear Information System (INIS)

    Oussif, A.; Diaf, M.

    2010-01-01

    Complete text of publication follows. The structure, chemical composition, properties, and their relationships in solids lay the foundation of materials science. Recently, great interest in rare-earth (RE)-doped wide-bandgap semiconductors, which combine the electronic properties of semiconductors with the unique luminescence features of RE ions, is from the fundamental standpoint of structure-composition-properties of solids. At first, a significant amount of work has been reported on the study of infrared emissions from Er 3+- doped semiconductors because Er 3+ exhibits luminescence at 1.54 μm, a wavelength used in optical communications. Since Steckl and Birkhahn first reported visible emission associated with Er from GaN:Er films, the RE-doped semiconductors have received considerable interest for possible application in light emitting devices. Molecular-beam epitaxy (MBE) and metalorganic chemical vapour deposition (MOCVD) have been used mainly to grow GaN host films. The RE dopants were typically incorporated into the host films by in situ doping during the growth or by ion implantation after the growth. GaN doped with rare-earth elements (RE) hold significant potential for applications in optical devices, since they show sharp intense luminescence which is only minimally affected by temperature variations. Among the various RE dopants, Eu seems to be the most interesting, since it yields red luminescence 622 nm which has not been realized in commercially available light emitting devices (LEDs) that use InGaN active layers. We have earlier reported single crystalline growth of Eu-doped GaN and nearly temperature independent red luminescence at 622 nm originating from the intra-4f-4f transition of the Eu 3+ ion. The red luminescence was analyzed and determined to be generated through trap-level-mediated energy transfer from the semiconductor host.

  18. Semiconductor integrated circuits

    International Nuclear Information System (INIS)

    Michel, A.E.; Schwenker, R.O.; Ziegler, J.F.

    1979-01-01

    An improved method involving ion implantation to form non-epitaxial semiconductor integrated circuits. These are made by forming a silicon substrate of one conductivity type with a recessed silicon dioxide region extending into the substrate and enclosing a portion of the silicon substrate. A beam of ions of opposite conductivity type impurity is directed at the substrate at an energy and dosage level sufficient to form a first region of opposite conductivity within the silicon dioxide region. This impurity having a concentration peak below the surface of the substrate forms a region of the one conductivity type which extends from the substrate surface into the first opposite type region to a depth between the concentration peak and the surface and forms a second region of opposite conductivity type. The method, materials and ion beam conditions are detailed. Vertical bipolar integrated circuits can be made this way when the first opposite type conductivity region will function as a collector. Also circuits with inverted bipolar devices when this first region functions as a 'buried'' emitter region. (U.K.)

  19. Reducing the influence of STI on SONOS memory through optimizing added boron implantation technology

    International Nuclear Information System (INIS)

    Xu Yue; Yan Feng; Li Zhiguo; Yang Fan; Wang Yonggang; Chang Jianguang

    2010-01-01

    The influence of shallow trench isolation (STI) on a 90 nm polysilicon-oxide-nitride-oxide-silicon structure non-volatile memory has been studied based on experiments. It has been found that the performance of edge memory cells adjacent to STI deteriorates remarkably. The compressive stress and boron segregation induced by STI are thought to be the main causes of this problem. In order to mitigate the STI impact, an added boron implantation in the STI region is developed as a new solution. Four kinds of boron implantation experiments have been implemented to evaluate the impact of STI on edge cells, respectively. The experimental results show that the performance of edge cells can be greatly improved through optimizing added boron implantation technology. (semiconductor devices)

  20. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  1. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    Energy Technology Data Exchange (ETDEWEB)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin, E-mail: musman@kth.s [Microelectronics and Applied Physics, School of Communication and Information Technology, Royal Institute of Technology (KTH), Electrum 229, 16440 Kista (Sweden)

    2010-11-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10{sup 10}-10{sup 11} cm{sup -2}. The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  2. Application of ion implantation in metals and alloys

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1981-01-01

    Ion implantation first became established as a precise method of introducing dopant elements into semiconductors. It is now appreciated that there may be equally important applications in metallic tools or components with the purpose of improving their resistance to wear, fatigue or corrosion. Nitrogen ions implanted into steels pin dislocations and thereby harden the metal. Some metallic ions such as yttrium reduce the tendency for oxidative wear. There is a fairly good understanding of how both treatments can provide a long-lasting protection that extends to many times the original depth of implantation. Nitrogen implantation also improves the wear resistance of Co-cemented tungsten carbide and of hard chromium electroplated coatings. These treatments have wide application in press tools, molds, dies and other metal-forming tools as well as in a more limited variety of cutting tools. Some striking improvements can be achieved in the corrosion field, but there are economic and technical reasons for concluding that practical applications of ion implantation will be more restricted and specialized in this area. The most promising area is that in which mechanical stress and oxidation coexist. When a metallic species has to be introduced, a promising new development is to bombard a thin coating of the metal at an elevated temperature. Several powerful mechanisms of radiation-enhanced diffusion can bring about a complete intermixing. Examples of how this has been used to produce wear resistant surfaces in titanium are given. Finally, the equipment developed for the large scale application of the ion implantation process in the engineering field is described

  3. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  4. Nanosystems in Ceramic Oxides Created by Means of Ion Implantation

    OpenAIRE

    Van Huis, M.A.

    2003-01-01

    The material properties of nanometer-sized clusters are dependent on the cluster size. Changing the cluster dimensions induces structural phase transformations, metal-insulator transitions, non-linear optical properties and widening of the band gap of semiconductors. In this work, nanoclusters are created by ion implantation followed by thermal annealing. The ceramic oxides MgO and Al2O3 are used as embedding materials because of their stability and optical transparency. All clusters were cre...

  5. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  6. Analysis of fluctuations in semiconductor devices

    Science.gov (United States)

    Andrei, Petru

    The random nature of ion implantation and diffusion processes as well as inevitable tolerances in fabrication result in random fluctuations of doping concentrations and oxide thickness in semiconductor devices. These fluctuations are especially pronounced in ultrasmall (nanoscale) semiconductor devices when the spatial scale of doping and oxide thickness variations become comparable with the geometric dimensions of devices. In the dissertation, the effects of these fluctuations on device characteristics are analyzed by using a new technique for the analysis of random doping and oxide thickness induced fluctuations. This technique is universal in nature in the sense that it is applicable to any transport model (drift-diffusion, semiclassical transport, quantum transport etc.) and it can be naturally extended to take into account random fluctuations of the oxide (trapped) charges and channel length. The technique is based on linearization of the transport equations with respect to the fluctuating quantities. It is computationally much (a few orders of magnitude) more efficient than the traditional Monte-Carlo approach and it yields information on the sensitivity of fluctuations of parameters of interest (e.g. threshold voltage, small-signal parameters, cut-off frequencies, etc.) to the locations of doping and oxide thickness fluctuations. For this reason, it can be very instrumental in the design of fluctuation-resistant structures of semiconductor devices. Quantum mechanical effects are taken into account by using the density-gradient model as well as through self-consistent Poisson-Schrodinger computations. Special attention is paid to the presenting of the technique in a form that is suitable for implementation on commercial device simulators. The numerical implementation of the technique is discussed in detail and numerous computational results are presented and compared with those previously published in literature.

  7. Muon-decay positron channeling in semiconductors

    International Nuclear Information System (INIS)

    Simmler, H.; Eschle, P.; Keller, H.; Kuendig, W.; Odermatt, W.; Patterson, B.D.; Savic, I.M.; Schneider, J.W.; Staeuble-Puempin, B.; Straumann, U.; Truoel, P.

    1992-01-01

    The lattice positions of implanted positive muons (μ + ) in intrinsic semiconductors (Si, GaAs, InP) have been investigated by μ-decay positron channeling at temperatures ranging from 95 K to 400 K. The positrons exhibit planar steering effects with a maximum amplitude of approximately 5% and a width of the order of 0.1deg. In high purity float-zone (FZ) Si a metastable μ site is observed: Below 200 K, the pattern is consistent with a fraction of 40% near a BC (bond-center) site and 60% near a T (tetrahedral) site. Above 200 K, the T-like fraction undergoes a transition to the BC-like site, where virtually all muons are located above 300 K. By comparison with muon-spin-rotation (μSR) measurements, these sites can be associated with the known paramagnetic muonium (μ + e - ) states observed in numerous semiconductors: The metastable site corresponds to the isotropic state (MU), the BC-like configuration is the stable site for both the anisotropic state (MU * ) at low temperatures as well as the final ionized state ('μ + ') at higher temperatures. In GaAs, there is evidence for a similar metastability. In InP, a near-BC configuration is observed throughout the temperature range investigated. There is no indication of a metastable site. Thus a BC-like configuration is found to be most stable in all measurements. (orig.)

  8. The Electrical Characteristics of The N-Organic Semiconductor/P-Inorganic Semiconductor Diode

    International Nuclear Information System (INIS)

    Aydin, M. E.

    2008-01-01

    n-organic semiconductor (PEDOT) / p-inorganic semiconductor Si diode was formed by deep coating method. The method has been achieved by coating n-inorganic semiconductor PEDOT on top of p-inorganic semiconductor. The n-organic semiconductor PEDOT/ p-inorganic semiconductor diode demonstrated rectifying behavior by the current-voltage (I-V) curves studied at room temperature. The barrier height , ideality factor values were obtained as of 0.88 eV and 1.95 respectively. The diode showed non-ideal I-V behavior with an ideality factor greater than unity that could be ascribed to the interfacial layer

  9. Controlled fabrication of Si nanocrystal delta-layers in thin SiO{sub 2} layers by plasma immersion ion implantation for nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse Cedex 04 (France); Spiegel, Y.; Torregrosa, F. [IBS, Rue G Imbert Prolongée, ZI Peynier-Rousset, 13790 Peynier (France); Normand, P.; Dimitrakis, P.; Kapetanakis, E. [NCSRD, Terma Patriarchou Gregoriou, 15310 Aghia Paraskevi (Greece); Sahu, B. S.; Slaoui, A. [ICube, 23 Rue du Loess, 67037 Strasbourg Cedex 2 (France)

    2013-12-16

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO{sub 2} films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories.

  10. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L

    2001-05-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO{sub 2} layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing.

  11. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    International Nuclear Information System (INIS)

    Normand, P.; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L.

    2001-01-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO 2 layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing

  12. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  13. Advances in Materials for Recent Low-Profile Implantable Bioelectronics

    Directory of Open Access Journals (Sweden)

    Yanfei Chen

    2018-03-01

    Full Text Available The rapid development of micro/nanofabrication technologies to engineer a variety of materials has enabled new types of bioelectronics for health monitoring and disease diagnostics. In this review, we summarize widely used electronic materials in recent low-profile implantable systems, including traditional metals and semiconductors, soft polymers, biodegradable metals, and organic materials. Silicon-based compounds have represented the traditional materials in medical devices, due to the fully established fabrication processes. Examples include miniaturized sensors for monitoring intraocular pressure and blood pressure, which are designed in an ultra-thin diaphragm to react with the applied pressure. These sensors are integrated into rigid circuits and multiple modules; this brings challenges regarding the fundamental material’s property mismatch with the targeted human tissues, which are intrinsically soft. Therefore, many polymeric materials have been investigated for hybrid integration with well-characterized functional materials such as silicon membranes and metal interconnects, which enable soft implantable bioelectronics. The most recent trend in implantable systems uses transient materials that naturally dissolve in body fluid after a programmed lifetime. Such biodegradable metallic materials are advantageous in the design of electronics due to their proven electrical properties. Collectively, this review delivers the development history of materials in implantable devices, while introducing new bioelectronics based on bioresorbable materials with multiple functionalities.

  14. Advances in Materials for Recent Low-Profile Implantable Bioelectronics

    Science.gov (United States)

    Kim, Yun-Soung; Tillman, Bryan W.; Chun, Youngjae

    2018-01-01

    The rapid development of micro/nanofabrication technologies to engineer a variety of materials has enabled new types of bioelectronics for health monitoring and disease diagnostics. In this review, we summarize widely used electronic materials in recent low-profile implantable systems, including traditional metals and semiconductors, soft polymers, biodegradable metals, and organic materials. Silicon-based compounds have represented the traditional materials in medical devices, due to the fully established fabrication processes. Examples include miniaturized sensors for monitoring intraocular pressure and blood pressure, which are designed in an ultra-thin diaphragm to react with the applied pressure. These sensors are integrated into rigid circuits and multiple modules; this brings challenges regarding the fundamental material’s property mismatch with the targeted human tissues, which are intrinsically soft. Therefore, many polymeric materials have been investigated for hybrid integration with well-characterized functional materials such as silicon membranes and metal interconnects, which enable soft implantable bioelectronics. The most recent trend in implantable systems uses transient materials that naturally dissolve in body fluid after a programmed lifetime. Such biodegradable metallic materials are advantageous in the design of electronics due to their proven electrical properties. Collectively, this review delivers the development history of materials in implantable devices, while introducing new bioelectronics based on bioresorbable materials with multiple functionalities. PMID:29596359

  15. TEM study of the ion beam induced damage during 14 kev P+ implantation in silicon

    International Nuclear Information System (INIS)

    Rubanov, S.; Tamanyan, G.; Hudson, F.; Jamieson, D.N.; McCallum, J.C.; Prawer, S.

    2005-01-01

    The proposed silicon-based quantum computer architecture comprises an array of phosphorus donor atoms (qubits) positioned with nanometre accuracy beneath the surface of a semiconductor host, using a single ion implantation technique. The average depth of the implanted ions (the projected range R p ), lateral range R p 1, and the distribution of ions about that depth can be approximated as two-dimensional Gaussian with standard deviation ΔR p and ΔR p 1 (lateral straggle). Using transmission electron microscopy (TEM) we studied ion beam induced damage after 14 keV P + implantation in Si. The TEM images allowed us to compare the depth of the amorphous cluster formation to R p , R p 1, ΔR p 1 calculated from SRIM and hence determine evidence for the limitation on the accuracy of the position of the implanted ions imposed by straggling. (author). 4 refs., 3 figs

  16. High dislocation density structures and hardening produced by high fluency pulsed-ion-beam implantation

    International Nuclear Information System (INIS)

    Sharkeev, Yu.P.; Didenko, A.N.; Kozlov, E.V.

    1994-01-01

    The paper presents a review of experimental data on the ''long-range effect'' (a change in dislocation structure and in physicomechanical properties at distances considerably greater than the ion range value in ion-implanted metallic materials and semiconductors). Our results of electron microscopy studies of high density dislocation structure in ion-implanted metallic materials with different initial states are given. It has been shown that the nature of the dislocation structure and its quantitative characteristics in the implanted metals and alloys depend on the target initial state, the ion type and energy and the retained dose. The data obtained by different workers are in good agreement both with our results and with each other as well as with the results of investigation of macroscopic characteristics (wear resistance and microhardness). It has been established that the ''long-range effect'' occurs in metallic materials with a low yield point or high plasticity level and with little dislocation density in their initial state prior to ion implantation. ((orig.))

  17. Significantly enhanced visible light response in single TiO2 nanowire by nitrogen ion implantation

    Science.gov (United States)

    Wu, Pengcheng; Song, Xianyin; Si, Shuyao; Ke, Zunjian; Cheng, Li; Li, Wenqing; Xiao, Xiangheng; Jiang, Changzhong

    2018-05-01

    The metal-oxide semiconductor TiO2 shows enormous potential in the field of photoelectric detection; however, UV-light absorption only restricts its widespread application. It is considered that nitrogen doping can improve the visible light absorption of TiO2, but the effect of traditional chemical doping is far from being used for visible light detection. Herein, we dramatically broadened the absorption spectrum of the TiO2 nanowire (NW) by nitrogen ion implantation and apply the N-doped single TiO2 NW to visible light detection for the first time. Moreover, this novel strategy effectively modifies the surface states and thus regulates the height of Schottky barriers at the metal/semiconductor interface, which is crucial to realizing high responsivity and a fast response rate. Under the illumination of a laser with a wavelength of 457 nm, our fabricated photodetector exhibits favorable responsivity (8 A W-1) and a short response time (0.5 s). These results indicate that ion implantation is a promising method in exploring the visible light detection of TiO2.

  18. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  19. Investigation of the compositional depth profile in epitaxial submicrometer layers of AIIIBV heterostructures

    International Nuclear Information System (INIS)

    Baumbach, T.; Bruehl, H.G.; Rhan, H.; Pietsch, U.

    1988-01-01

    The compositional depth profile in semiconductor heterostructures can be determined from X-ray diffraction patterns. Different grading profiles were studied through theoretical simulations with regard to their features in the rocking curve. It was found that the thickness and the grading of a particular layer cannot be determined independently of each other. A linear grading gives rise to an increased peak width of the layer diffraction peak whereas an exponential grading can be detected from the damping of high-order interference fringes. The exponential model can be applied to determine the abruptness of the heterointerfaces. The proposed evaluation method of experimental rocking curves includes the case of overlapping peaks of the layer and the substrate diffraction. The simulation results are discussed for a GaAs/Ga 1-x Al x As/GaAs[100] double heterostructure. When the experimental resolution is taken into account, the sensitivity of the interface width determination was 100-200 A. (orig.)

  20. Predicting Low Energy Dopant Implant Profiles in Semiconductors using Molecular Dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Beardmore, K.M.; Gronbech-Jensen, N.

    1999-05-02

    The authors present a highly efficient molecular dynamics scheme for calculating dopant density profiles in group-IV alloy, and III-V zinc blende structure materials. Their scheme incorporates several necessary methods for reducing computational overhead, plus a rare event algorithm to give statistical accuracy over several orders of magnitude change in the dopant concentration. The code uses a molecular dynamics (MD) model to describe ion-target interactions. Atomic interactions are described by a combination of 'many-body' and pair specific screened Coulomb potentials. Accumulative damage is accounted for using a Kinchin-Pease type model, inelastic energy loss is represented by a Firsov expression, and electronic stopping is described by a modified Brandt-Kitagawa model which contains a single adjustable ion-target dependent parameter. Thus, the program is easily extensible beyond a given validation range, and is therefore truly predictive over a wide range of implant energies and angles. The scheme is especially suited for calculating profiles due to low energy and to situations where a predictive capability is required with the minimum of experimental validation. They give examples of using the code to calculate concentration profiles and 2D 'point response' profiles of dopants in crystalline silicon and gallium-arsenide. Here they can predict the experimental profile over five orders of magnitude for <100> and <110> channeling and for non-channeling implants at energies up to hundreds of keV.

  1. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  2. Solid spectroscopy: semiconductors

    International Nuclear Information System (INIS)

    Silva, C.E.T.G. da

    1983-01-01

    Photoemission as technique of study of the semiconductor electronic structure is shortly discussed. Homogeneous and heterogeneous semiconductors, where volume and surface electronic structure, core levels and O and H chemisorption in GaAs, Schottky barrier are treated, respectively. Amorphous semiconductors are also discussed. (L.C.) [pt

  3. Semiconductor processing with excimer lasers

    International Nuclear Information System (INIS)

    Young, R.T.; Narayan, J.; Christie, W.H.; van der Leeden, G.A.; Rothe, D.E.; Cheng, L.J.

    1983-01-01

    The advantages of pulsed excimer lasers for semiconductor processing are reviewed. Extensive comparisons of the quality of annealing of ion-implanted Si obtained with XeCl and ruby lasers have been made. The results indicate that irrespective of the large differences in the optical properties of Si at uv and visible wavelengths, the efficiency of usage of the incident energy for annealing is comparable for the two lasers. However, because of the excellent optical beam quality, the XeCl laser can provide superior control of the surface melting and the resulting junction depth. Furthermore, the concentrations of electrically active point defects in the XeCl laser annealed region are 2 to 3 orders of magnitude lower than that obtained from ruby or Nd:YAG lasers. All these results seem to suggest that XeCl lasers should be suitable for fabricating not only solar cells but also the more advanced device structures required for VLSI or VHSIC applications

  4. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method of manufacturing a semiconductor device (10) with a semiconductor body (1) which is provided with at least one semiconductor element, wherein on the surface of the semiconductor body (1) a mesa- shaped semiconductor region (2) is formed, a masking layer (3) is

  5. Maximization of DRAM yield by control of surface charge and particle addition during high dose implantation

    Science.gov (United States)

    Horvath, J.; Moffatt, S.

    1991-04-01

    Ion implantation processing exposes semiconductor devices to an energetic ion beam in order to deposit dopant ions in shallow layers. In addition to this primary process, foreign materials are deposited as particles and surface films. The deposition of particles is a major cause of IC yield loss and becomes even more significant as device dimensions are decreased. Control of particle addition in a high-volume production environment requires procedures to limit beamline and endstation sources, control of particle transport, cleaning procedures and a well grounded preventative maintenance philosophy. Control of surface charge by optimization of the ion beam and electron shower conditions and measurement with a real-time charge sensor has been effective in improving the yield of NMOS and CMOS DRAMs. Control of surface voltages to a range between 0 and -20 V was correlated with good implant yield with PI9200 implanters for p + and n + source-drain implants.

  6. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  7. Cross-section transmission electron microscopy of the ion implantation damage in annealed diamond

    Energy Technology Data Exchange (ETDEWEB)

    Derry, T.E. [DST/NRF Centre of Excellence in Strong Materials and School of Physics, University of the Witwatersrand, Wits 2050, Johannesburg (South Africa)], E-mail: Trevor.Derry@wits.ac.za; Nshingabigwi, E.K. [DST/NRF Centre of Excellence in Strong Materials and School of Physics, University of the Witwatersrand, Wits 2050, Johannesburg (South Africa); Department of Physics, National University of Rwanda, P.O. Box 117, Huye (Rwanda); Levitt, M. [DST/NRF Centre of Excellence in Strong Materials and School of Physics, University of the Witwatersrand, Wits 2050, Johannesburg (South Africa); Neethling, J. [DST/NRF CoE-SM and Physics Department, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Naidoo, S.R. [DST/NRF Centre of Excellence in Strong Materials and School of Physics, University of the Witwatersrand, Wits 2050, Johannesburg (South Africa)

    2009-08-15

    It has formerly been shown that low-damage levels, produced during the implantation doping of diamond as a semiconductor, anneal easily while high levels 'graphitize' (above about 5.2 x 10{sup 15} ions/cm{sup 2}). The difference in the defect types and their profiles, in the two cases, has never been directly observed. We have succeeded in using cross-section transmission electron microscopy to do so. The experiments were difficult because the specimens must be polished to {approx}40 {mu}m thickness, then implanted on edge and annealed, before final ion beam thinning to electron transparency. The low-damage micrographs reveal some deeply penetrating dislocations, whose existence had been predicted in earlier work.

  8. Muon-decay positron channeling in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Simmler, H.; Eschle, P.; Keller, H.; Kuendig, W.; Odermatt, W.; Patterson, B.D.; Savic, I.M.; Schneider, J.W.; Staeuble-Puempin, B.; Straumann, U.; Truoel, P. (Physics Inst., Univ. Zurich (Switzerland))

    1992-01-01

    The lattice positions of implanted positive muons ({mu}{sup +}) in intrinsic semiconductors (Si, GaAs, InP) have been investigated by {mu}-decay positron channeling at temperatures ranging from 95 K to 400 K. The positrons exhibit planar steering effects with a maximum amplitude of approximately 5% and a width of the order of 0.1deg. In high purity float-zone (FZ) Si a metastable {mu} site is observed: Below 200 K, the pattern is consistent with a fraction of 40% near a BC (bond-center) site and 60% near a T (tetrahedral) site. Above 200 K, the T-like fraction undergoes a transition to the BC-like site, where virtually all muons are located above 300 K. By comparison with muon-spin-rotation ({mu}SR) measurements, these sites can be associated with the known paramagnetic muonium ({mu}{sup +}e{sup -}) states observed in numerous semiconductors: The metastable site corresponds to the isotropic state (MU), the BC-like configuration is the stable site for both the anisotropic state (MU{sup *}) at low temperatures as well as the final ionized state ('{mu}{sup +}') at higher temperatures. In GaAs, there is evidence for a similar metastability. In InP, a near-BC configuration is observed throughout the temperature range investigated. There is no indication of a metastable site. Thus a BC-like configuration is found to be most stable in all measurements. (orig.).

  9. Cross-section transmission electron microscopy of the ion implantation damage in annealed diamond

    International Nuclear Information System (INIS)

    Derry, T.E.; Nshingabigwi, E.K.; Levitt, M.; Neethling, J.; Naidoo, S.R.

    2009-01-01

    It has formerly been shown that low-damage levels, produced during the implantation doping of diamond as a semiconductor, anneal easily while high levels 'graphitize' (above about 5.2 x 10 15 ions/cm 2 ). The difference in the defect types and their profiles, in the two cases, has never been directly observed. We have succeeded in using cross-section transmission electron microscopy to do so. The experiments were difficult because the specimens must be polished to ∼40 μm thickness, then implanted on edge and annealed, before final ion beam thinning to electron transparency. The low-damage micrographs reveal some deeply penetrating dislocations, whose existence had been predicted in earlier work.

  10. Semiconductor spintronics

    International Nuclear Information System (INIS)

    Fabian, J.; Abiague, A.M.; Ertler, Ch.; Stano, P.; Zutic, I.

    2007-01-01

    Spintronics refers commonly to phenomena in which the spin of electrons in a solid state environment plays the determining role. In a more narrow sense spintronics is an emerging research field of electronics: spintronics devices are based on a spin control of electronics, or on an electrical and optical control of spin of magnetism. While metal spintronics has already found its niche in the computer industry - giant magnetoresistance systems are used as hard disk read heads - semiconductor spintronics is vet demonstrate its full potential. This review presents selected themes of semiconductor spintronics, introducing important concepts in spin transport, spin transport, spin injection. Silsbee-Johnson spin-charge coupling, and spin-dependent tunneling, as well as spin relaxation and spin dynamics. The most fundamental spin-dependent interaction in nonmagnetic semiconductors is spin-orbit coupling. Depending on the crystal symmetries of the material, as well as on the structural properties of semiconductor based heterostructures, the spin-orbit coupling takes on different functional forms, giving a nice playground of effective spin-orbit Hamiltonians. The effective Hamiltonians for the most relevant classes of materials and heterostructures are derived here from realistic electronic band structure descriptions. Most semiconductor device systems are still theoretical concepts, waiting for experimental demonstrations. A review of selected proposed, and a few demonstrated devices is presented, with detailed description of two important classes: magnetic resonant tunnel structures and bipolar magnetic diodes and transistors. In view of the importance of ferromagnetic semiconductor material, a brief discussion of diluted magnetic semiconductors is included. In most cases the presentation is of tutorial style, introducing the essential theoretical formalism at an accessible level, with case-study-like illustrations of actual experimental results, as well as with brief

  11. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  12. Lattice location of implanted As in ZnO

    CERN Document Server

    Wahl, U; Correia, J G; Marques, A C; Alves, E; Soares, J C

    2007-01-01

    Radioactive 73As ions were implanted into a ZnO single crystal at room temperature with 60 keV up to a fluence of 2×1013 cm−2. Subsequently, the angular emission channeling patterns of emitted conversion electrons were recorded by means of a position-sensitive detector in the as-implanted state and following annealing up to 900 C, and were compared to simulated emission yields for a variety of different lattice sites. We find that As does not occupy substitutional O sites, but mainly occupies the substitutional Zn sites. The fraction of As on O sites was at most a few per cent. Arsenic in ZnO is thus an interesting example of an impurity in a semiconductor where the major impurity lattice site is determined by atomic size and electronegativity rather than its position in the periodic system. Possible consequences with respect to the role of arsenic as a p-type dopant in ZnO are being discussed.

  13. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1987-01-01

    In-depth exploration of the implications of carrier populations and Fermi energies examines distribution of electrons in energy bands and impurity levels of semiconductors. Also: kinetics of semiconductors containing excess carriers, particularly in terms of trapping, excitation, and recombination.

  14. High-dose V+ implantation in ZnO thin film structures

    International Nuclear Information System (INIS)

    Vyatkin, A.F.; Zinenko, V.I.; Agaphonov, Yu.A.; Pustovit, A.N.; Roshchupkin, D.V.; Reuss, F.; Kirchner, C.; Kling, R.; Waag, A.

    2005-01-01

    In the last two decades, diluted magnetic semiconductors have attracted great attention as promising materials for spintronics applications. [K. Sato, H. Katyama-Yoshida, Jpn. J. Phys., Part 2 39 (2000) L555] theoretically predicted that ZnO doped with V, Cr, Fe, Co, and Ni can be ferromagnetic. This has been recently confirmed experimentally for vanadium doped ZnO films which were grown on sapphire substrates, using laser deposition technique [H. Saeki, H.N. Tabata, T. Kawai, Solid State Commun. 120 (2001) 439]. In the present work, high-dose vanadium implantation was used to produce Zn 1-x V x O (x ∼ 0.10) thin film structures (250 nm thick) that had been epitaxially grown on sapphire substrates. Implantation with the dose 2 x 10 16 cm -2 was performed to reach a maximum vanadium concentration of 10 at%. To avoid ZnO film amorphization due to radiation damage accumulation [S.O. Kucheyev, J.S. Williams, C. Jagadish, J. Zou, C. Evans, A.J. Nelson, A.V. Hamza, Phys. Rev. B 67 (2003) 094115], all implants were done at elevated temperatures 300 and 400 deg. C and ion current density 10 μA/cm 2 . X-ray diffraction, SIMS and photoluminescence techniques were exploited to study the implanted samples. No luminescence was observed in the implanted samples after implantation procedures. However, annealing at 800 deg. C for 30 min gave rise to ZnO crystal structure improvement. This implies that healing of implantation induced defects is possible even after heavy-ion bombardment. As a result, the photoluminescence peak at 3.359 eV related to the donorbound exiton was detected

  15. Effects of the inversion layer thickness and 10B distribution in it on the characteristics of ion-doped semiconductor neutron counters

    International Nuclear Information System (INIS)

    Diasamidze, Eh.M.; Solov'ev, Yu.A.; Shmakov, A.N.

    1984-01-01

    The technique for calculating the dependence of energy spectrum of the 10 B(n, α) 7 Li reaction products in the thickness of the inversion layer in a semiconductor counter fabricated using the diffusion method is proposed. The inversion layer is formed as a result of the 10 B ion implantation into n-type silicon. The cases of uniform and Gaussian distributions of 10 B impurity are considered. Corrections for neutron fluence calculation by α-peak, taking into account α-particle absorption in the inversion layer are obtained. It is concluded that the suggested calculational technique can be used for semiconductor counters fabricated by the diffusion method

  16. Semiconductor laser shearing interferometer

    International Nuclear Information System (INIS)

    Ming Hai; Li Ming; Chen Nong; Xie Jiaping

    1988-03-01

    The application of semiconductor laser on grating shearing interferometry is studied experimentally in the present paper. The method measuring the coherence of semiconductor laser beam by ion etching double frequency grating is proposed. The experimental result of lens aberration with semiconductor laser shearing interferometer is given. Talbot shearing interferometry of semiconductor laser is also described. (author). 2 refs, 9 figs

  17. Electric-field gradients at Ta donor impurities in Cr2O3(Ta) semiconductor

    International Nuclear Information System (INIS)

    Darriba, G.N.; Errico, L.A.; Munoz, E.L; Richard, D.; Eversheim, P.D.; Renteria, M.

    2009-01-01

    We report perturbed-angular-correlation (PAC) experiments on 181 Hf(→ 181 Ta)-implanted corundum Cr 2 O 3 powder samples in order to determine the magnitude and symmetry of the electric-field gradient (EFG) tensor at Ta donor impurity sites of this semiconductor. These results are analyzed in the framework of ab initio full-potential augmented-plane wave plus local orbitals (FP-APW+lo) calculations. The results are also compared with EFG results coming from PAC experiments in isomorphous α-Al 2 O 3 and α-Fe 2 O 3 doped with 111 In→ 111 Cd and 181 Hf→ 181 Ta tracers. This combined analysis enables us to quantify the magnitude of the lattice relaxations induced by the presence of the impurity and to determine the charge state of the impurity donor level introduced by Ta in the band gap of the semiconductor.

  18. Accelerating action of stresses on crystallization kinetics in silicon ion-implanted layers during pulsed heating

    International Nuclear Information System (INIS)

    Aleksandrov, L.N.

    1985-01-01

    Numerical simulation of the effect of stressed in ion-implanted layers on kinetics of amorphous phase transformations is performed. The suggested model of accounting stresses including concentration ones is based on the locality of action of interstitial addition atoms and on general structural inhomogeneity of amorphous semiconductor leading to the formation of areas of the facilitated phase transition. Accounting of effect of energy variation of silicon atoms interaction on probability of displacement events and atoms building in lattice points or atomic bonds disintegration allows one to trace the accelerating action of introduced by ion implantation stresses on the kinetics of layer crystallization during pulsed heating

  19. Spiral-Shaped Piezoelectric MEMS Cantilever Array for Fully Implantable Hearing Systems

    Directory of Open Access Journals (Sweden)

    Péter Udvardi

    2017-10-01

    Full Text Available Fully implantable, self-powered hearing aids with no external unit could significantly increase the life quality of patients suffering severe hearing loss. This highly demanding concept, however, requires a strongly miniaturized device which is fully implantable in the middle/inner ear and includes the following components: frequency selective microphone or accelerometer, energy harvesting device, speech processor, and cochlear multielectrode. Here we demonstrate a low volume, piezoelectric micro-electromechanical system (MEMS cantilever array which is sensitive, even in the lower part of the voice frequency range (300–700 Hz. The test array consisting of 16 cantilevers has been fabricated by standard bulk micromachining using a Si-on-Insulator (SOI wafer and aluminum nitride (AlN as a complementary metal-oxide-semiconductor (CMOS and biocompatible piezoelectric material. The low frequency and low device footprint are ensured by Archimedean spiral geometry and Si seismic mass. Experimentally detected resonance frequencies were validated by an analytical model. The generated open circuit voltage (3–10 mV is sufficient for the direct analog conversion of the signals for cochlear multielectrode implants.

  20. Electronic properties of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Einevoll, G.T.

    1991-02-01

    Ten papers on the electronic properties of semiconductors and semiconductor heterostructures constitute the backbone of this thesis. Four papers address the form and validity of the single-band effective mass approximation for semiconductor heterostructures. In four other papers properties of acceptor states in bulk semiconductors and semiconductor heterostructures are studied using the novel effective bond-orbital model. The last two papers deal with localized excitions. 122 refs

  1. Organic semiconductor crystals.

    Science.gov (United States)

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  2. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  3. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  4. Semiconductor spintronics

    CERN Document Server

    Xia, Jianbai; Chang, Kai

    2012-01-01

    Semiconductor Spintronics, as an emerging research discipline and an important advanced field in physics, has developed quickly and obtained fruitful results in recent decades. This volume is the first monograph summarizing the physical foundation and the experimental results obtained in this field. With the culmination of the authors' extensive working experiences, this book presents the developing history of semiconductor spintronics, its basic concepts and theories, experimental results, and the prospected future development. This unique book intends to provide a systematic and modern foundation for semiconductor spintronics aimed at researchers, professors, post-doctorates, and graduate students, and to help them master the overall knowledge of spintronics.

  5. Modification of the refractive index and the dielectric constant of silicon dioxide by means of ion implantation

    International Nuclear Information System (INIS)

    Swart, J.W.; Diniz, J.A.; Doi, I.; Moraes, M.A.B. de

    2000-01-01

    The modification of silicon dioxide films by means of ion implantation of fluorine and carbon was studied. 19 F + and 12 C + ions were separately and sequentially implanted in 250 nm thick thermal SiO 2 films with energies ranging from 10 to 50 keV and fluences in the interval 5x10 15 to 5x10 16 cm -2 . Metal/oxide/semiconductor (MOS) capacitors were fabricated on half side of the wafers. The implanted SiO 2 /Si samples were characterized by means of ellipsometry and Fourier transform infrared (FTIR) spectroscopy. The MOS capacitors were used to determine the relative dielectric constant. Our results indicate a considerable reduction of the dielectric constant and refractive index. The refractive index was reduced from 1.46 to 1.29 when only fluorine was implanted or when fluorine with a higher dose was implanted in combination with carbon. For the same conditions, a relative dielectric constant of 3.4 was obtained and a shift in the Si-O bond stretching mode from 1085 to 1075 cm -1 was observed by FTIR spectroscopy

  6. Method of doping a semiconductor

    International Nuclear Information System (INIS)

    Yang, C.Y.; Rapp, R.A.

    1983-01-01

    A method is disclosed for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient

  7. Current and capacitance measurements as a fast diagnostic tool for evaluation of semiconductor parameters

    CERN Document Server

    Kemmer, J; Krause, N; Krieglmeyer, C; Yang Yi

    2000-01-01

    A fast qualitative method is described for evaluation of semiconductor parameters by analyzing both the capacitance/voltage (C/V) and current/voltage (I/V) characteristics of pn- or Schottky-diodes, which are fabricated on the material under investigation. The method is applied for measurement of recombination and generation lifetimes of minority charge carriers and for determination of doping profiles and distribution of active generation/recombination (G/R) centers after irradiation with Am-alpha particles and deep phosphorus implantation. Measurements on epitaxial silicon result in doping profiles and distributions of active impurities within the epi-layer.

  8. Nonlinear optical properties of Sn+ ion-implanted silica glass

    International Nuclear Information System (INIS)

    Takeda, Y.; Hioki, T.; Motohiro, T.; Noda, S.; Kurauchi, T.

    1994-01-01

    The absolute value of the third-order nonlinear optical susceptibility, vertical stroke χ (3) vertical stroke , of Sn + ion-implanted silica glass was found to be similar 10 -6 esu. This value is as large as those reported for semiconductor-doped glasses. Silica glass substrates were implanted with Sn + ions at an acceleration energy of 400 keV to a dose of 2x10 17 ions/cm 2 at room temperature. Metallic Sn microcrystallites of 4-20 nm in diameter were found to be embedded in the silica glass matrix. The average volume fraction of the Sn microcrystallites was evaluated to be 28%. vertical stroke χ (3) vertical stroke and the imaginary part of the dielectric function, Im ε, had peaks at the same wavelength of 500 nm owing to surface plasmon resonance. The peak width of vertical stroke χ (3) vertical stroke was nearly half of that of Im ε, which can be explained by an effective medium theory. ((orig.))

  9. Optical Nonlinearities and Ultrafast Carrier Dynamics in Semiconductor Quantum Dots

    Energy Technology Data Exchange (ETDEWEB)

    Klimov, V.; McBranch, D.; Schwarz, C.

    1998-08-10

    Low-dimensional semiconductors have attracted great interest due to the potential for tailoring their linear and nonlinear optical properties over a wide-range. Semiconductor nanocrystals (NC's) represent a class of quasi-zero-dimensional objects or quantum dots. Due to quantum cordhement and a large surface-to-volume ratio, the linear and nonlinear optical properties, and the carrier dynamics in NC's are significantly different horn those in bulk materials. napping at surface states can lead to a fast depopulation of quantized states, accompanied by charge separation and generation of local fields which significantly modifies the nonlinear optical response in NC's. 3D carrier confinement also has a drastic effect on the energy relaxation dynamics. In strongly confined NC's, the energy-level spacing can greatly exceed typical phonon energies. This has been expected to significantly inhibit phonon-related mechanisms for energy losses, an effect referred to as a phonon bottleneck. It has been suggested recently that the phonon bottleneck in 3D-confined systems can be removed due to enhanced role of Auger-type interactions. In this paper we report femtosecond (fs) studies of ultrafast optical nonlinearities, and energy relaxation and trap ping dynamics in three types of quantum-dot systems: semiconductor NC/glass composites made by high temperature precipitation, ion-implanted NC's, and colloidal NC'S. Comparison of ultrafast data for different samples allows us to separate effects being intrinsic to quantum dots from those related to lattice imperfections and interface properties.

  10. The effects of cosmic radiation on implantable medical devices

    International Nuclear Information System (INIS)

    Bradley, P.

    1996-01-01

    Metal oxide semiconductor (MOS) integrated circuits, with the benefits of low power consumption, represent the state of the art technology for implantable medical devices. Three significant sources of radiation are classified as having the ability to damage or alter the behavior of implantable electronics; Secondary neutron cosmic radiation, alpha particle radiation from the device packaging and therapeutic doses(up to 70 Gγ) of high energy radiation used in radiation oncology. The effects of alpha particle radiation from the packaging may be eliminated by the use of polyimide or silicone rubber die coatings. The relatively low incidence of therapeutic radiation incident on an implantable device and the use of die coating leaves cosmic radiation induced secondary neutron single event upset (SEU) as the main pervasive ionising radiation threat to the reliability of implantable devices. A theoretical model which predicts the susceptibility of a RAM cell to secondary neutron cosmic radiation induced SEU is presented. The model correlates well within the statistical uncertainty associated with both the theoretical and field estimate. The predicted Soft Error Rate (SER) is 4.8 x l0 -12 upsets/(bit hr) compared to an observed upset rate of 8.5 x 10 -12 upsets/(bit hr) from 20 upsets collected over a total of 284672 device days. The predicted upset rate may increase by up to 20% when consideration is given to patients flying in aircraft The upset rate is also consistent with the expected geographical variations of the secondary cosmic ray neutron flux, although insufficient upsets precluded a statistically significant test. This is the first clinical data set obtained indicating the effects of cosmic radiation on implantable devices. Importantly, it may be used to predict the susceptibility of future to the implantable device designs to the effects of cosmic radiation

  11. Dimensional effects in semiconductor nanowires; Dimensionseffekte in Halbleiternanodraehten

    Energy Technology Data Exchange (ETDEWEB)

    Stichtenoth, Daniel

    2008-06-23

    Nanomaterials show new physical properties, which are determined by their size and morphology. These new properties can be ascribed to the higher surface to volume ratio, to quantum size effects or to a form anisotropy. They may enable new technologies. The nanowires studied in this work have a diameter of 4 to 400 nm and a length up to 100 {mu}m. The semiconductor material used is mainly zinc oxide (ZnO), zinc sulfide (ZnS) and gallium arsenide (GaAs). All nanowires were synthesized according to the vapor liquid solid mechanism, which was originally postulated for the growth of silicon whiskers. Respective modifications for the growth of compound semiconductor nanowires are discussed. Detailed luminescence studies on ZnO nanowires with different diameters show pronounced size effects which can be attributed to the origins given above. Similar to bulk material, a tuning of the material properties is often essential for a further functionalization of the nanowires. This is typical realized by doping the source material. It becomes apparent, that a controlled doping of nanowires during the growth process is not successful. Here an alternative method is chosen: the doping after the growth by ion implantation. However, the doping by ion implantation goes always along with the creation of crystal defects. The defects have to be annihilated in order to reach an activation of th introduced dopants. At high ion fluences and ion masses the sputtering of surface atoms becomes more important. This results in a characteristic change in the morphology of the nanowires. In detail, the doping of ZnO and ZnS nanowires with color centers (manganese and rare earth elements) is demonstrated. Especially, the intra 3d luminescence of manganese implanted ZnS nanostructures shows a strong dependence of the nanowire diameter and morphology. This dependence can be described by expanding Foersters model (which describes an energy transfer to the color centers) by a dimensional parameter

  12. Field isolation for GaN MOSFETs on AlGaN/GaN heterostructure with boron ion implantation

    International Nuclear Information System (INIS)

    Jiang, Y; Wang, Q P; Wang, D J; Tamai, K; Li, L A; Ao, J-P; Ohno, Y; Shinkai, S; Miyashita, T; Motoyama, S-I

    2014-01-01

    We report the investigation of boron ion implantation as a device field isolation process for GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure. In the mesa isolation region of a bar-type MOSFET, a parasitic MOS-channel existed and widened the designed channel width, which would result in an overestimated mobility compared with a ring-type MOSFET. After boron ions implantation in the isolation region, the overestimation of field-effect mobility of bar-type MOSFETs was eliminated. The sub-threshold characteristics and on-state drain current of the bar-type MOSFETs coincide with the ring-type devices. Long-channel ring-type MOSFETs, with and without ion implantation, were fabricated on the recess region to evaluate the sub-threshold characteristics. The MOSFETs with boron ions implanted into the recess region showed a low drain current up to the gate bias of 10V. The result indicated that boron ion implantation prevented the formation of parasitic MOS-channel in the isolation region and achieved field isolation. The current–voltage characteristics of MOSFETs with the normal recess condition demonstrated no degradation of device performance after boron ions implanted into the isolation region. Boron ion implantation by further optimization can be a field isolation method for GaN MOSFETs. (paper)

  13. Production of solid deuterium targets by ion implantation

    International Nuclear Information System (INIS)

    Csikai, J.; Szegedi, S.; Olah, L.; El-Megrab, A.M.; Molla, N.I.; Rahman, M.M.; Miah, R.U.; Habbani, F.; Shaddad, I.

    1997-01-01

    Solid metal, semiconductor and metallic glass samples were irradiated with deuteron atomic ions between 60 and 180 keV incident energies. Accumulation rates of deuterons in different targets were recorded by the detection of protons and neutrons via the 2 H(d,p) and 2 H(d,n) reactions. A simple analytical expression is given to describe the kinetics of the accumulation. The dependence of the reaction rate on the deuteron energy gives information on the concentration profile in addition to the neutron flux density spectra. A varying distortion of the implanted deuteron profiles by a change in the beam energy were also observed for different targets. (orig.)

  14. Micromachining of semiconductor by femtosecond laser for integrated circuit defect analysis

    Energy Technology Data Exchange (ETDEWEB)

    Halbwax, M. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France); Sarnet, T. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France)], E-mail: sarnet@lp3.univ-mrs.fr; Hermann, J.; Delaporte, Ph.; Sentis, M. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France); Fares, L.; Haller, G. [STMicroelectronics, 190 Avenue Celestin Coq, ZI, 13106 Rousset Cedex (France)

    2007-12-15

    The latest International Technology Roadmap for Semiconductors (ITRS) has highlighted the detection and analysis of defects in Integrated Circuits (IC) as a major challenge faced by the semiconductor industry. Advanced tools used today for defect cross sectioning include dual beams (focused ion- and electron-beam technologies) with resolution down to the sub-Angstrom level. However ion milling an IC with a FIB is time consuming because of the need to open wide cavities in front of the cross-sections that need to be analyzed. Therefore the use of a femtosecond laser as a tool for direct material removal is discussed in this paper. Experiments were performed on IC structures to reveal the different layers of fabrication: selective or total ablation can occur depending on the laser energy density, without delamination of the layers. Different laser irradiation conditions like pressure (air, vacuum), polarization, beam shaping, and scanning parameters have been used to produce different types of cavities. The femtosecond laser engraving of silicon-based structures could be useful for cross-sectioning devices but also for other applications like direct-write lithography, photomask repair, maskless implantation or reverse engineering/restructuring.

  15. Micromachining of semiconductor by femtosecond laser for integrated circuit defect analysis

    International Nuclear Information System (INIS)

    Halbwax, M.; Sarnet, T.; Hermann, J.; Delaporte, Ph.; Sentis, M.; Fares, L.; Haller, G.

    2007-01-01

    The latest International Technology Roadmap for Semiconductors (ITRS) has highlighted the detection and analysis of defects in Integrated Circuits (IC) as a major challenge faced by the semiconductor industry. Advanced tools used today for defect cross sectioning include dual beams (focused ion- and electron-beam technologies) with resolution down to the sub-Angstrom level. However ion milling an IC with a FIB is time consuming because of the need to open wide cavities in front of the cross-sections that need to be analyzed. Therefore the use of a femtosecond laser as a tool for direct material removal is discussed in this paper. Experiments were performed on IC structures to reveal the different layers of fabrication: selective or total ablation can occur depending on the laser energy density, without delamination of the layers. Different laser irradiation conditions like pressure (air, vacuum), polarization, beam shaping, and scanning parameters have been used to produce different types of cavities. The femtosecond laser engraving of silicon-based structures could be useful for cross-sectioning devices but also for other applications like direct-write lithography, photomask repair, maskless implantation or reverse engineering/restructuring

  16. Processing of Silver-Implanted Aluminum Nitride for Energy Harvesting Devices

    Science.gov (United States)

    Alleyne, Fatima Sierre

    One of the more attractive sources of green energy has roots in the popular recycling theme of other green technologies, now known by the term "energy scavenging." In its most promising conformation, energy scavenging converts cyclic mechanical vibrations in the environment or random mechanical pressure pulses, caused by sources ranging from operating machinery to human footfalls, into electrical energy via piezoelectric transducers. While commercial piezoelectrics have evolved to favor lead zirconate titanate (PZT) for its combination of superior properties, the presence of lead in these ceramic compounds raises resistance to their application in anything "green" due to potential health implications during their manufacturing, recycling, or in-service application, if leaching occurs. Therefore in this study we have pursued the application of aluminum nitride (AlN) as a non-toxic alternative to PZT, seeking processing pathways to augment the modest piezoelectric performance of AlN and exploit its compatibility with complementary-metal-oxide semiconductor (CMOS) manufacturing. Such piezoelectric transducers have been categorized as microelectromechanical systems (MEMS), which despite more than a decade of research in this field, is plagued by delamination at the electrode/piezoelectric interface. Consequently the electric field essential to generate and sustain the piezoelectric response of these devices is lost, resulting in device failure. Working on the hypothesis that buried conducting layers can both mitigate the delamination problem and generate sufficient electric field to engage the operation of resonator devices, we have undertaken a study of silver ion implantation to experimentally assess its feasibility. As with most ion implantation procedures employed in semiconductor fabrication, the implanted sample is subjected to a thermal treatment, encouraging diffusion-assisted precipitation of the implanted species at high enough concentrations. The objective

  17. Semiconductor lasers stability, instability and chaos

    CERN Document Server

    Ohtsubo, Junji

    2017-01-01

    This book describes the fascinating recent advances made concerning the chaos, stability and instability of semiconductor lasers, and discusses their applications and future prospects in detail. It emphasizes the dynamics in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Applications of semiconductor laser chaos, control and noise, and semiconductor lasers are also demonstrated. Semiconductor lasers with new structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are intriguing and promising devices. Current topics include fast physical number generation using chaotic semiconductor lasers for secure communication, development of chaos, quantum-dot semiconductor lasers and quantum-cascade semiconductor lasers, and vertical-cavity surface-emitting lasers. This fourth edition has been significantly expanded to reflect the latest developments. The fundamental theory of laser chaos and the chaotic dynamics in se...

  18. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  19. Industrial hygiene and control technology assessment of ion implantation operations

    International Nuclear Information System (INIS)

    Ungers, L.J.; Jones, J.H.

    1986-01-01

    Ion implantation is a process used to create the functional units (pn junctions) of integrated circuits, photovoltaic (solar) cells and other semiconductor devices. During the process, ions of an impurity or a dopant material are created, accelerated and imbedded in wafers of silicon. Workers responsible for implantation equipment are believed to be at risk from exposure to both chemical (dopant compounds) and physical (ionizing radiation) agents. In an effort to characterize the chemical exposures, monitoring for chemical hazards was conducted near eleven ion implanters at three integrated circuit facilities, while ionizing radiation was monitored near four of these units at two of the facilities. The workplace monitoring suggests that ion implantation operators routinely are exposed to low-level concentrations of dopants. Although the exact nature of dopant compounds released to the work environment was not determined, area and personal samples taken during normal operating activities found concentrations of arsenic, boron and phosphorous below OSHA Permissible Exposure Limits (PELs) for related compounds; area samples collected during implanter maintenance activities suggest that a potential exists for more serious exposures. The results of badge dosimetry monitoring for ionizing radiation indicate that serious exposures are unlikely to occur while engineering controls remain intact. All emissions were detected at levels unlikely to result in exposures above the OSHA standard for the whole body (1.25 rems per calendar quarter). The success of existing controls in preventing worker exposures is discussed. Particular emphasis is given to the differential exposures likely to be experienced by operators and maintenance personnel.(ABSTRACT TRUNCATED AT 250 WORDS)

  20. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  1. Analysis techniques of charging damage studied on three different high-current ion implanters

    Science.gov (United States)

    Felch, S. B.; Larson, L. A.; Current, M. I.; Lindsey, D. W.

    1989-02-01

    One of the Greater Silicon Valley Implant Users' Group's recent activities has been to sponsor a round-robin on charging damage, where identical wafers were implanted on three different state-of-the-art, high-current ion implanters. The devices studied were thin-dielectric (250 Å SiO2), polysilicon-gate MOS capacitors isolated by thick field oxide. The three implanters involved were the Varian/Extrion 160XP, the Eaton/Nova 10-80, and the Applied Materials PI9000. Each implanter vendor was given 48 wafers to implant with 100 keV As+ ions at a dose of 1 × 1016 cm-2. Parameters that were varied include the beam current, electron flood gun current, and chamber pressure. The charge-to-breakdown, breakdown voltage, and leakage current of several devices before anneal have been measured. The results from these tests were inconclusive as to the physical mechanism of charging and as to the effectiveness of techniques to reduce its impact on devices. However, the methodology of this study is discussed in detail to aid in the planning of future experiments. Authors' industrial affiliations: S.B. Felch, Varian Research Center, 611 Hansen Way, Palo Alto, CA 94303, USA; L.A. Larson, National Semiconductor Corp., P.O. Box 58090, Santa Clara, CA 95052-8090, USA; M.I. Current, Applied Materials, 3050 Bowers Ave., Santa Clara, CA 95054, USA; D.W. Lindsey, Eaton/NOVA, 931 Benicia Ave, Sunnyvale, CA 94086, USA.

  2. Titanium Implant Osseointegration Problems with Alternate Solutions Using Epoxy/Carbon-Fiber-Reinforced Composite

    Directory of Open Access Journals (Sweden)

    Richard C. Petersen

    2014-12-01

    Full Text Available The aim of the article is to present recent developments in material research with bisphenyl-polymer/carbon-fiber-reinforced composite that have produced highly influential results toward improving upon current titanium bone implant clinical osseointegration success. Titanium is now the standard intra-oral tooth root/bone implant material with biocompatible interface relationships that confer potential osseointegration. Titanium produces a TiO2 oxide surface layer reactively that can provide chemical bonding through various electron interactions as a possible explanation for biocompatibility. Nevertheless, titanium alloy implants produce corrosion particles and fail by mechanisms generally related to surface interaction on bone to promote an inflammation with fibrous aseptic loosening or infection that can require implant removal. Further, lowered oxygen concentrations from poor vasculature at a foreign metal surface interface promote a build-up of host-cell-related electrons as free radicals and proton acid that can encourage infection and inflammation to greatly influence implant failure. To provide improved osseointegration many different coating processes and alternate polymer matrix composite (PMC solutions have been considered that supply new designing potential to possibly overcome problems with titanium bone implants. Now for important consideration, PMCs have decisive biofunctional fabrication possibilities while maintaining mechanical properties from addition of high-strengthening varied fiber-reinforcement and complex fillers/additives to include hydroxyapatite or antimicrobial incorporation through thermoset polymers that cure at low temperatures. Topics/issues reviewed in this manuscript include titanium corrosion, implant infection, coatings and the new epoxy/carbon-fiber implant results discussing osseointegration with biocompatibility related to nonpolar molecular attractions with secondary bonding, carbon fiber in vivo

  3. Experimental and ab initio study of Ta-doped ZnO semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Munoz, E. L., E-mail: munoz@fisica.unlp.edu.ar; Richard, D., E-mail: richard@fisica.unlp.edu.ar [UNLP, Departamento de Fisica and Instituto de Fisica La Plata (IFLP, CCT La Plata, CONICET), Fac. de Ciencias Exactas (Argentina); Eversheim, P. D. [Universitaet Bonn, Helmholtz-Institut fuer Strahlen-und Kernphysik (H-ISKP) (Germany); Renteria, M., E-mail: renteria@fisica.unlp.edu.ar [UNLP, Departamento de Fisica and Instituto de Fisica La Plata (IFLP, CCT La Plata, CONICET), Fac. de Ciencias Exactas (Argentina)

    2010-04-15

    In this work, we present {gamma}-{gamma} Perturbed-Angular-Correlation results in polycrystalline ZnO semiconductor implanted with {sup 181}Hf({yields}{sup 181}Ta) probes. Calculations in Ta-doped ZnO were carried out using the Full-Potential Augmented Plane Wave plus local orbital method in a supercell and varying self-consistently the charge state of the impurity. Ta is a triple donor impurity with respect to Zn{sup 2 + } in ZnO and thus it can loose 1, 2 or 3 donor electrons under certain circumstances. As expected, the comparison between the experimental Electric-Field-Gradient tensor results and our ab initio predictions shows that the Ta impurity is in an ionized charge state at room temperature.

  4. Experimental and ab initio study of Ta-doped ZnO semiconductor

    International Nuclear Information System (INIS)

    Muñoz, E. L.; Richard, D.; Eversheim, P. D.; Rentería, M.

    2010-01-01

    In this work, we present γ–γ Perturbed-Angular-Correlation results in polycrystalline ZnO semiconductor implanted with 181 Hf(→ 181 Ta) probes. Calculations in Ta-doped ZnO were carried out using the Full-Potential Augmented Plane Wave plus local orbital method in a supercell and varying self-consistently the charge state of the impurity. Ta is a triple donor impurity with respect to Zn 2 +  in ZnO and thus it can loose 1, 2 or 3 donor electrons under certain circumstances. As expected, the comparison between the experimental Electric-Field-Gradient tensor results and our ab initio predictions shows that the Ta impurity is in an ionized charge state at room temperature.

  5. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  6. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  7. Propagation of electro-kinetic waves in magnetized GaN semiconductor with nano-sized ion colloids

    Energy Technology Data Exchange (ETDEWEB)

    Saxena, Ajay [Government College, Garoth, Dist. Mandsaur (M P) (India); Sharma, Giriraj, E-mail: grsharma@gmail.com [SRJ Government Girls’ College, Neemuch (M P) (India); Jat, K. L. [Swami Vivekanand Government P G College, Neemuch (M P) (India); Rishi, M. P. [Shahid Bhagat Singh Government P G College, Jaora, Dist Ratlam (M P) (India)

    2015-07-31

    Based on hydrodynamic model of multi-component plasma, an analytical study on propagation of longitudinal electro-kinetic (LEK) waves in wurtzite and zincblende structures of GaN is carried out. Nano-sized ion colloids (NICs) are embedded in the sample by the technique of ion-implantation. The implanted NICs are considered massive by an order as compared to the host lattice points and do not participate in Based LEK perturbations. Though, the NICs are continuously bombarded by the electrons as well as the holes yet, the former acquires a net negative charge owing to relatively higher mobility of electrons and consequently results into depletion of electron density in the medium. It i s found that the presence of charged NICs significantly modifies the dispersion and amplification characteristics of LEK waves in magnetized GaN semiconductor plasma and their role becomes increasingly effective as the fraction of charge on them increases.

  8. Propagation of electro-kinetic waves in magnetized GaN semiconductor with nano-sized ion colloids

    International Nuclear Information System (INIS)

    Saxena, Ajay; Sharma, Giriraj; Jat, K. L.; Rishi, M. P.

    2015-01-01

    Based on hydrodynamic model of multi-component plasma, an analytical study on propagation of longitudinal electro-kinetic (LEK) waves in wurtzite and zincblende structures of GaN is carried out. Nano-sized ion colloids (NICs) are embedded in the sample by the technique of ion-implantation. The implanted NICs are considered massive by an order as compared to the host lattice points and do not participate in Based LEK perturbations. Though, the NICs are continuously bombarded by the electrons as well as the holes yet, the former acquires a net negative charge owing to relatively higher mobility of electrons and consequently results into depletion of electron density in the medium. It i s found that the presence of charged NICs significantly modifies the dispersion and amplification characteristics of LEK waves in magnetized GaN semiconductor plasma and their role becomes increasingly effective as the fraction of charge on them increases

  9. Physical principles of semiconductor detectors

    International Nuclear Information System (INIS)

    Micek, S.L.

    1979-01-01

    The general properties of semiconductors with respect to the possibilities of their use as the ionization radiation detectors are discussed. Some chosen types of semiconductor junctions and their characteristics are briefly presented. There are also discussed the physical phenomena connected with the formation of barriers in various types of semiconductor counters. Finally, the basic properties of three main types of semiconductor detectors are given. (author)

  10. X-ray photoelectron spectroscopic depth profilometry of nitrogen implanted in materials for modification of their surface properties

    International Nuclear Information System (INIS)

    Sarkissian, A.H.; Paynter, R.; Stansfield, B.L.

    1996-01-01

    The modification of the surface properties of materials has a wide range of industrial applications. For example, the authors change the electrical characteristics of semiconductors, improve surface hardness, decrease friction, increase resistance to corrosion, improve adhesion, etc. Nitriding is one of the most common processes used in industry for surface treatment. Nitrogen ion implantation is one technique often used to achieve this goal. Ion implantation offers the power to control the deposition profile, and can be achieved by either conventional ion beam implantation or plasma assisted ion implantation. They have used the technique of plasma assisted ion implantation to implant nitrogen in several materials, including titanium, silicon and stainless steel. The plasma source is a surface ECR source developed at INRS-Energie et Materiaux. The depth profile of the implanted ions has been measured by X-ray photoelectron spectroscopy. They have also conducted simulations using the TRIM-95 code to predict the depth profile of the implanted ions. Comparisons of the measured results with those from simulations are used to deduce information regarding the plasma composition and the collisional effects in the plasma. A fast responding, current and voltage measuring circuit with fiber optic links is being developed, which allows more accurate quantitative measurements. Further experiments to study the characteristics of the plasma, and their effects on the characteristics of the implanted surfaces are in progress, and the results are presented at this meeting

  11. Hall effect mobility for SiC MOSFETs with increasing dose of nitrogen implantation into channel region

    Science.gov (United States)

    Noguchi, Munetaka; Iwamatsu, Toshiaki; Amishiro, Hiroyuki; Watanabe, Hiroshi; Kita, Koji; Yamakawa, Satoshi

    2018-04-01

    The Hall effect mobility (μHall) of the Si-face 4H-SiC metal–oxide–semiconductor field effect transistor (MOSFET) with a nitrogen (N)-implanted channel region was investigated by increasing the N dose. The μHall in the channel region was systematically examined regarding channel structures, that is, the surface and buried channels. It was experimentally demonstrated that increasing the N dose results in an improvement in μHall in the channel region due to the formation of the buried channel. However, further increase in N dose was found to decrease the μHall in the channel region, owing to the decrease in the electron mobility in the N-implanted bulk region.

  12. Semiconductors data handbook

    CERN Document Server

    Madelung, Otfried

    2004-01-01

    This volume Semiconductors: Data Handbook contains frequently used data from the corresponding larger Landolt-Börnstein handbooks in a low price book for the individual scientist working in the laboratory. The Handbook contain important information about a large number of semiconductors

  13. 4H-SiC vertical double implanted metal–oxide–semiconductor drift region—energy aspects of its formation and analysis

    International Nuclear Information System (INIS)

    Alkhem, Abdel; Šašić, Rajko M; Ostojić, Stanko M; Lukić, Petar M

    2014-01-01

    A conventional vertical double implanted metal–oxide–semiconductor structure contains two n +  regions beneath two symmetrically posed source biases. These n +  regions are surrounded by a p-doped layer, which itself has an abrupt transition to the vertical drift region. Owing to the existence of these p-layers, the ‘drift’ region has varying cross sections: it is reduced going upward from the bottom (drain bias) to the top. Such a drift region is usually described either by a three piecewise model, which begins with constant cross section that at some point starts narrowing until at some other point it becomes reduced to the region between two p-regions, or by a two piecewise model, whose narrowing region starts right above the drain bias and finishes in the manner described before. The crucial geometrical parameters of the flow profile in the drift region, such as the slope of the cross-section reducing region and the length of the narrowest (accumulation) region are widely used but never determined, or even estimated, in the available literature. In this paper, the least-action principle has been utilized successfully in order to determine the exact values of these parameters and so make the existing models closed. The proof has also been provided, which shows that the three piecewise model described the flow profile better than a two piecewise model more adequately as long as it was permitted by the length of the entire drift region (the energy necessary to restore the specific value of drain current is smaller than for the three piecewise model). The two piecewise model can be accepted in practical calculations only for higher values of drain current far from a triode regime. (paper)

  14. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  15. Optical orientation in ferromagnet/semiconductor hybrids

    International Nuclear Information System (INIS)

    Korenev, V L

    2008-01-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin–spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism

  16. Optical orientation in ferromagnet/semiconductor hybrids

    Science.gov (United States)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  17. Optical Orientation in Ferromagnet/Semiconductor Hybrids

    OpenAIRE

    Korenev, V. L.

    2008-01-01

    The physics of optical pumping of semiconductor electrons in the ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of the ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of the semiconductor. Spin-spin interactions near the interface ferromagnet/semiconductor play crucial role in the optical readout and the manipulation of ferromagnetism.

  18. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  19. Mössbauer Studies of dilute Magnetic Semiconductors

    CERN Multimedia

    Gislason, H P; Debernardi, A; Dlamini, W B

    2002-01-01

    The recent discovery of (dilute) magnetic semiconductors with wide band gaps, e.g. GaN, ZnO and other oxides, having Curie temperatures, T$_{\\textrm{c}}$, well above room temperature, has prompted extraordinary experimental and theoretical efforts to understand, control and exploit this unexpected finding not least in view of the obvious potential of such materials for the fabrication of "spin-(elec)tronic" or magneto-optic devices. Ferromagnetism (FM) was achieved mostly by doping with dilute 3d transition metal impurities, notably Mn, Fe, and Co (in \\% concentrations), during growth or by subsequent ion implantation. However, it is fair to state that experimentally the conditions for the occurrence of ferro-, antiferro- or paramagnetism with these impurities are not yet controlled as generally at least two conflicting forms of magnetism or none have been reported for each system - albeit often produced by different techniques. Theory is challenged as "conventional" models seem to fail and no generally accep...

  20. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  1. Semiconductor Laser Measurements Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Semiconductor Laser Measurements Laboratory is equipped to investigate and characterize the lasing properties of semiconductor diode lasers. Lasing features such...

  2. Lasing and ion beam doping of semiconductor nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Geburt, Sebastian

    2013-01-31

    Semiconductor nanowires exhibit extraordinary optical properties like highly localized light emission, efficient waveguiding and light amplification. Even the stimulation of laser oscillations can be achieved at optical pumping, making nanowires promising for optoelectronic applications. For successful integration into future devices, three major key challenges have to be faced: (1) the understanding of the fundamental properties, (2) the modification of the emission characteristics and (3) the investigation of the efficiency-limiting factors. All key challenges are addressed in this thesis: (1) The fundamental properties of CdS nanowire have been investigated to uncover the size limits for photonic nanowire lasers. Laser oscillations were observed at room temperature and the emission characteristics were correlated to the morphology, which allowed the determination of a minimum diameter and length necessary for lasing. (2) The emission characteristics of ZnO nanowires have been successfully modified by ion beam doping with Co. The structural investigations revealed a good recovery of the ion induced damage in the crystal lattice. Optical activation of the implanted Co ions was achieved and an intense intra-3d-emission confirmed successful modification. (3) The temporal decay of excited luminescence centers strongly depends on the interplay of luminescent ions and defects, thus offering an approach to investigate the efficiency-limiting processes. Mn implanted ZnS nanowires were investigated, as the temporal decay of the incorporated Mn ions can be described by a Foerster energy transfer model modified for nanostructures. The defect concentration was varied systematically by several approaches and the model could successfully fit the transients in all cases. The emission properties of Tb implanted ZnS nanowires were investigated and the temporal decay of the intra-4f-emission could also be fitted by the model, proving its accuracy for an additional element.

  3. Lasing and ion beam doping of semiconductor nanowires

    International Nuclear Information System (INIS)

    Geburt, Sebastian

    2013-01-01

    Semiconductor nanowires exhibit extraordinary optical properties like highly localized light emission, efficient waveguiding and light amplification. Even the stimulation of laser oscillations can be achieved at optical pumping, making nanowires promising for optoelectronic applications. For successful integration into future devices, three major key challenges have to be faced: (1) the understanding of the fundamental properties, (2) the modification of the emission characteristics and (3) the investigation of the efficiency-limiting factors. All key challenges are addressed in this thesis: (1) The fundamental properties of CdS nanowire have been investigated to uncover the size limits for photonic nanowire lasers. Laser oscillations were observed at room temperature and the emission characteristics were correlated to the morphology, which allowed the determination of a minimum diameter and length necessary for lasing. (2) The emission characteristics of ZnO nanowires have been successfully modified by ion beam doping with Co. The structural investigations revealed a good recovery of the ion induced damage in the crystal lattice. Optical activation of the implanted Co ions was achieved and an intense intra-3d-emission confirmed successful modification. (3) The temporal decay of excited luminescence centers strongly depends on the interplay of luminescent ions and defects, thus offering an approach to investigate the efficiency-limiting processes. Mn implanted ZnS nanowires were investigated, as the temporal decay of the incorporated Mn ions can be described by a Foerster energy transfer model modified for nanostructures. The defect concentration was varied systematically by several approaches and the model could successfully fit the transients in all cases. The emission properties of Tb implanted ZnS nanowires were investigated and the temporal decay of the intra-4f-emission could also be fitted by the model, proving its accuracy for an additional element.

  4. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  5. Handbook of luminescent semiconductor materials

    CERN Document Server

    Bergman, Leah

    2011-01-01

    Photoluminescence spectroscopy is an important approach for examining the optical interactions in semiconductors and optical devices with the goal of gaining insight into material properties. With contributions from researchers at the forefront of this field, Handbook of Luminescent Semiconductor Materials explores the use of this technique to study semiconductor materials in a variety of applications, including solid-state lighting, solar energy conversion, optical devices, and biological imaging. After introducing basic semiconductor theory and photoluminescence principles, the book focuses

  6. Reducing leakage current in semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  7. Semiconductor device comprising a pn-heterojunction

    NARCIS (Netherlands)

    2007-01-01

    An electric device is disclosed comprising a pn-heterojunction ( 4 ) formed by a nanowire ( 3 ) of 111 -V semiconductor material and a semiconductor body ( 1 ) comprising a group IV semiconductor material. The nanowire ( 3 ) is positioned in direct contact with the surface ( 2 ) of the semiconductor

  8. Dissolution chemistry and biocompatibility of silicon- and germanium-based semiconductors for transient electronics.

    Science.gov (United States)

    Kang, Seung-Kyun; Park, Gayoung; Kim, Kyungmin; Hwang, Suk-Won; Cheng, Huanyu; Shin, Jiho; Chung, Sangjin; Kim, Minjin; Yin, Lan; Lee, Jeong Chul; Lee, Kyung-Mi; Rogers, John A

    2015-05-06

    Semiconducting materials are central to the development of high-performance electronics that are capable of dissolving completely when immersed in aqueous solutions, groundwater, or biofluids, for applications in temporary biomedical implants, environmentally degradable sensors, and other systems. The results reported here include comprehensive studies of the dissolution by hydrolysis of polycrystalline silicon, amorphous silicon, silicon-germanium, and germanium in aqueous solutions of various pH values and temperatures. In vitro cellular toxicity evaluations demonstrate the biocompatibility of the materials and end products of dissolution, thereby supporting their potential for use in biodegradable electronics. A fully dissolvable thin-film solar cell illustrates the ability to integrate these semiconductors into functional systems.

  9. Electric-field gradients at Ta donor impurities in Cr{sub 2}O{sub 3}(Ta) semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Darriba, G.N. [Departamento de Fisica and IFLP (CONICET-UNLP), Facultad de Ciencias Exactas, Universidad Nacional de La Plata, CC 67, 1900 La Plata (Argentina); Errico, L.A. [Departamento de Fisica and IFLP (CONICET-UNLP), Facultad de Ciencias Exactas, Universidad Nacional de La Plata, CC 67, 1900 La Plata (Argentina); Universidad Nacional del Noroeste Bonaerense (UNNOBA), Monteagudo 2772, 2700 Pergamino (Argentina); Munoz, E.L; Richard, D. [Departamento de Fisica and IFLP (CONICET-UNLP), Facultad de Ciencias Exactas, Universidad Nacional de La Plata, CC 67, 1900 La Plata (Argentina); Eversheim, P.D. [Helmholtz-Institut fuer Strahlen-und Kernphysik (H-ISKP), Universitaet Bonn, Nussallee 14-16, 53115 Bonn (Germany); Renteria, M., E-mail: renteria@fisica.unlp.edu.a [Departamento de Fisica and IFLP (CONICET-UNLP), Facultad de Ciencias Exactas, Universidad Nacional de La Plata, CC 67, 1900 La Plata (Argentina)

    2009-10-01

    We report perturbed-angular-correlation (PAC) experiments on {sup 181}Hf(->{sup 181}Ta)-implanted corundum Cr{sub 2}O{sub 3} powder samples in order to determine the magnitude and symmetry of the electric-field gradient (EFG) tensor at Ta donor impurity sites of this semiconductor. These results are analyzed in the framework of ab initio full-potential augmented-plane wave plus local orbitals (FP-APW+lo) calculations. The results are also compared with EFG results coming from PAC experiments in isomorphous alpha-Al{sub 2}O{sub 3} and alpha-Fe{sub 2}O{sub 3} doped with {sup 111}In->{sup 111}Cd and {sup 181}Hf->{sup 181}Ta tracers. This combined analysis enables us to quantify the magnitude of the lattice relaxations induced by the presence of the impurity and to determine the charge state of the impurity donor level introduced by Ta in the band gap of the semiconductor.

  10. Depletion field focusing in semiconductors

    NARCIS (Netherlands)

    Prins, M.W.J.; Gelder, Van A.P.

    1996-01-01

    We calculate the three-dimensional depletion field profile in a semiconductor, for a planar semiconductor material with a spatially varying potential upon the surface, and for a tip-shaped semiconductor with a constant surface potential. The nonuniform electric field gives rise to focusing or

  11. Behavior of ion-implanted cesium in silicon dioxide films

    International Nuclear Information System (INIS)

    Fishbein, B.J.

    1988-01-01

    Charged impurities in silicon dioxide can be used to controllably shift the flatband voltage of metal-oxide-semiconductor devices independently of the substrate doping, the gate oxide thickness and the gate-electrode work function. Cesium is particularly well suited for this purpose because it is immobile in SiO 2 at normal device operating temperatures, and because it can be controllably introduced into oxide films by ion implantation. Cesium is positively charged in silicon dioxide, resulting in a negative flatband voltage shift. Possible applications for cesium technology include solar cells, devices operated at liquid nitrogen temperature, and power devices. The goal of this work has been to characterize as many aspects of cesium behavior in silicon dioxide as are required for practical applications. Accordingly, cesium-ion implantation, cesium diffusion, and cesium electrical activation in SiO 2 were studied over a broad range of processing conditions. The electrical properties of cesium-containing oxides, including current-voltage characteristics, interface trap density, and inversion-layer carrier mobility were examined, and several potential applications for cesium technology have been experimentally demonstrated

  12. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    Science.gov (United States)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  13. The Biolink Implantable Telemetry System

    Science.gov (United States)

    Betancourt-Zamora, Rafael J.

    1999-01-01

    Most biotelemetry applications deal with the moderated data rates of biological signals. Few people have studied the problem of transcutaneous data transmission at the rates required by NASA's Life Sciences-Advanced BioTelemetry System (LS-ABTS). Implanted telemetry eliminate the problems associated with wire breaking the skin, and permits experiments with awake and unrestrained subjects. Our goal is to build a low-power 174-216MHz Radio Frequency (RF) transmitter suitable for short range biosensor and implantable use. The BioLink Implantable Telemetry System (BITS) is composed of three major units: an Analog Data Module (ADM), a Telemetry Transmitter Module (TTM), and a Command Receiver Module (CRM). BioLink incorporates novel low-power techniques to implement a monolithic digital RF transmitter operating at 100kbps, using quadrature phase shift keying (QPSK) modulation in the 174-216MHz ISM band. As the ADM will be specific for each application, we focused on solving the problems associated with a monolithic implementation of the TTM and CRM, and this is the emphasis of this report. A system architecture based on a Frequency-Locked Loop (FLL) Frequency Synthesizer is presented, and a novel differential frequency that eliminates the need for a frequency divider is also shown. A self sizing phase modulation scheme suitable for low power implementation was also developed. A full system-level simulation of the FLL was performed and loop filter parameters were determined. The implantable antenna has been designed, simulated and constructed. An implant package compatible with the ABTS requirements is also being proposed. Extensive work performed at 200MHz in 0.5um complementary metal oxide semiconductors (CMOS) showed the feasibility of integrating the RF transmitter circuits in a single chip. The Hajimiri phase noise model was used to optimize the Voltage Controlled Oscillator (VCO) for minimum power consumption. Two test chips were fabricated in a 0.5pm, 3V CMOS

  14. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  15. Electrodes for Semiconductor Gas Sensors

    Science.gov (United States)

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  16. Optimization of Vertical Double-Diffused Metal-Oxide Semiconductor (VDMOS) Power Transistor Structure for Use in High Frequencies and Medical Devices.

    Science.gov (United States)

    Farhadi, Rozita; Farhadi, Bita

    2014-01-01

    Power transistors, such as the vertical, double-diffused, metal-oxide semiconductor (VDMOS), are used extensively in the amplifier circuits of medical devices. The aim of this research was to construct a VDMOS power transistor with an optimized structure to enhance the operation of medical devices. First, boron was implanted in silicon by implanting unclamped inductive switching (UIS) and a Faraday shield. The Faraday shield was implanted in order to replace the gate-field parasitic capacitor on the entry part of the device. Also, implanting the UIS was used in order to decrease the effect of parasitic bipolar junction transistor (BJT) of the VDMOS power transistor. The research tool used in this study was Silvaco software. By decreasing the transistor entry resistance in the optimized VDMOS structure, power losses and noise at the entry of the transistor were decreased, and, by increasing the breakdown voltage, the lifetime of the VDMOS transistor lifetime was increased, which resulted in increasing drain flow and decreasing Ron. This consequently resulted in enhancing the operation of high-frequency medical devices that use transistors, such as Radio Frequency (RF) and electrocardiograph machines.

  17. Paramagnetism and antiferromagnetic interactions in single-phase Fe-implanted ZnO

    CERN Document Server

    Pereira, Lino Miguel da Costa; Correia, João Guilherme; Van Bael, M J; Temst, Kristiaan; Vantomme, André; Araújo, João Pedro

    2013-01-01

    As the intrinsic origin of the high temperature ferromagnetism often observed in wide-gap dilute magnetic semiconductors becomes increasingly debated, there is a growing need for comprehensive studies on the single-phase region of the phase diagram of these materials. Here we report on the magnetic and structural properties of Fe-doped ZnO prepared by ion implantation of ZnO single crystals. A detailed structural characterization shows that the Fe impurities substitute for Zn in ZnO in a wurtzite Zn$_{1−x}$Fe$_{x}$O phase which is coherent with the ZnO host. In addition, the density of beam-induced defects is progressively decreased by thermal annealing up to 900$^{\\circ}$C, from highly disordered after implantation to highly crystalline upon subsequent annealing. Based on a detailed analysis of the magnetometry data, we demonstrate that isolated Fe impurities occupying Zn substitutional sites behave as localized paramagnetic moments down to 2$^{\\circ}$K, irrespective of the Fe concentration and the density...

  18. Study of ionic movements during anodic oxidation of nitrogen-implanted aluminium

    International Nuclear Information System (INIS)

    Terwagne, G.; Lucas, S.; Bodart, F.; Sorensen, G.; Jensen, H.

    1990-01-01

    In recent years there has been a considerable interest in synthesizing aluminium nitrides by ion implantation in order to modify the tribological properties of aluminium. The growth of an oxide layer by anodic process on these synthesized aluminium nitrides gives an interesting oxide-on-semiconductor material with surprising dynamic and decorative properties. During the anodic oxidation, ionic movements are involved in the near-surface region of the aluminium material; these ionic movements have been studied by Rutherford backscattering spectrometry (RBS) and nuclear reaction analysis (NRA) on thin aluminium foils (7000 A) preimplanted with nitrogen and post-oxidized in an ammonium pentaborate solution. The growth of the oxide layer is reduced when the aluminium is preimplanted with nitrogen: the speed of oxidation depends on the implantation conditions (energy and fluence). Moreover, the aluminium nitride can be dissolved when all metallic aluminium staying between the surface and the AlN are consumed by the anodic process. (orig.)

  19. Study of radiation defects in semiconductors by means of positron annihilation

    International Nuclear Information System (INIS)

    Krause-Rehberg, R.; Bondarenko, V.; Redmann, F.

    2003-01-01

    In a nuclear environment, a strong degradation of important properties is observed for many materials which are otherwise very reliable. This is especially valid for silicon, the most important semiconductor. In the presented paper, two examples for the study of lattice defects in silicon by means of positron annihilation will be given. Firstly, the degradation of silicon detectors used for the particle detection in high-luminosity collider experiments starts to limit the lifetime of the whole experiment. An annealing experiment on n-irradiated Si will be presented. Beside the destructive effect of high-radiation conditions, such radiation-induced defects can have a beneficial result. This will be demonstrated for the creation of new gettering zones by high-energy self-implantation of silicon. (author)

  20. Low preveance ion source bridges low and high intensities in ion implantation

    International Nuclear Information System (INIS)

    Orr, F.D.; Mayhall, D.

    1976-01-01

    The Low Perveance Ion Source developed by Accelerators, Inc. offers the Semiconductor Industry the advantage of processing medium to high intensity implants on a system which will also implant 200 to 300 wafers an hour at MOS doses. Stable source beam currents can be varied over three orders of magnitude by variation of a single source parameter. This source uses a new computer designed Low Perveance extraction optics which is completely new to the Ion Implantation Industry. Test data and calculations are shown which define the versatility of this system. Scanned currents from 1 microamp to 400 microamps allow for a variety of production processing. Beam characteristics feature low energy spread (less than 10 eV) and low divergence (less than 3 degrees). Beam control optics consist of a double focusing analyzing magnet and two triplet quadrupoles. The source may be fitted with an oven for feeding of solid materials and analyzed beam currents in the milliamp range for development purposes. The batch processing, hybrid scanning end station is most applicable for high current beams as well as high volume batch processings of MOS Implants. Results of development work toward increased currents using both solid and gas feed material with the Low Perveance source are presented. System improvements including Accel-Decel and a third extraction element are discussed

  1. Short Implants: New Horizon in Implant Dentistry.

    Science.gov (United States)

    Jain, Neha; Gulati, Manisha; Garg, Meenu; Pathak, Chetan

    2016-09-01

    The choice of implant length is an essential factor in deciding the survival rates of these implants and the overall success of the prosthesis. Placing an implant in the posterior part of the maxilla and mandible has always been very critical due to poor bone quality and quantity. Long implants can be placed in association with complex surgical procedures such as sinus lift and bone augmentation. These techniques are associated with higher cost, increased treatment time and greater morbidity. Hence, there is need for a less invasive treatment option in areas of poor bone quantity and quality. Data related to survival rates of short implants, their design and prosthetic considerations has been compiled and structured in this manuscript with emphasis on the indications, advantages of short implants and critical biomechanical factors to be taken into consideration when choosing to place them. Studies have shown that comparable success rates can be achieved with short implants as those with long implants by decreasing the lateral forces to the prosthesis, eliminating cantilevers, increasing implant surface area and improving implant to abutment connection. Short implants can be considered as an effective treatment alternative in resorbed ridges. Short implants can be considered as a viable treatment option in atrophic ridge cases in order to avoid complex surgical procedures required to place long implants. With improvement in the implant surface geometry and surface texture, there is an increase in the bone implant contact area which provides a good primary stability during osseo-integration.

  2. Size-dependent structure of CdSe nanoclusters formed after ion implantation in MgO

    OpenAIRE

    van Huis, MA; van Veen, A; Schut, H; Eijt, SWH; Kooi, BJ; De Hosson, JTM

    2005-01-01

    The band gap as well as the optical and structural properties of semiconductor CdSe nanoclusters change as a function of the nanocluster size. Embedded CdSe nanoclusters in MgO were created by means of sequential Cd and Se ion implantation followed by thermal annealing. Changes during annealing were monitored using optical absorption and positron annihilation spectroscopy. High-resolution TEM on cross-sections after annealing at a temperature of 1300 K showed that clusters with a size below 5...

  3. Influence of Palatal Coverage and Implant Distribution on Implant Strain in Maxillary Implant Overdentures.

    Science.gov (United States)

    Takahashi, Toshihito; Gonda, Tomoya; Mizuno, Yoko; Fujinami, Yozo; Maeda, Yoshinobu

    2016-01-01

    Maxillary implant overdentures are often used in clinical practice. However, there is no agreement or established guidelines regarding prosthetic design or optimal implant placement configuration. The purpose of this study was to examine the influence of palatal coverage and implant number and distribution in relation to impact strain under maxillary implant overdentures. A maxillary edentulous model with implants and experimental overdentures with and without palatal coverage was fabricated. Four strain gauges were attached to each implant, and they were positioned in the anterior, premolar, and molar areas. A vertical occlusal load of 98 N was applied through a mandibular complete denture, and the implant strains were compared using one-way analysis of variance (P = .05). The palatolabial strain was much higher on anterior implants than on other implants in both denture types. Although there was no significant difference between the strain under dentures with and without palatal coverage, palateless dentures tended to result in higher implant strain than dentures with palatal coverage. Dentures supported by only two implants registered higher strain than those supported by four or six implants. Implants under palateless dentures registered higher strain than those under dentures with palatal coverage. Anterior implants exhibited higher palatolabial strain than other implants regardless of palatal coverage and implant configuration; it is therefore recommended that maxillary implant overdentures should be supported by six implants with support extending to the distal end of the arch.

  4. High-current and low acceleration voltage arsenic ion implanted polysilicon-gate and source-drain electrode Si mos transistor

    International Nuclear Information System (INIS)

    Saito, Yasuyuki; Sugimura, Yoshiro; Sugihara, Michiyuki

    1993-01-01

    The fabrication process of high current arsenic (As) ion implanted polysilicon (Si) gate and source drain (SD) electrode Si n-channel metal oxide-semiconductor field effect transistor (MOSFET) was examined. Poly Si film n-type doping was performed by using high current (typical current: 2mA) and relatively low acceleration voltage (40keV) As ion implantation technique (Lintott series 3). It was observed that high dose As implanted poly Si films as is show refractoriness against radical fluorine excited by microwave. Using GCA MANN4800 (m/c ID No.2, resist: OFPR) mask pattern printing technique, the high current As ion implantation technique and radical fluorine gas phase etching (Chemical dry etching: CDE) technique, the n-channel Poly Si gate (ρs = ≅100Ω/□) enhancement MQSFETs(ρs source drain = ≅50Ω/□, SiO 2 gate=380 angstrom) with off-leak-less were obtained on 3 inch Czochralski grown 2Ωcm boron doped p type wafers (Osaka titanium). By the same process, a 8 bit single chip μ-processor with 26MHz full operation was performed

  5. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  6. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  7. Self-assembling peptide semiconductors

    Science.gov (United States)

    Tao, Kai; Makam, Pandeeswar; Aizen, Ruth; Gazit, Ehud

    2017-01-01

    Semiconductors are central to the modern electronics and optics industries. Conventional semiconductive materials bear inherent limitations, especially in emerging fields such as interfacing with biological systems and bottom-up fabrication. A promising candidate for bioinspired and durable nanoscale semiconductors is the family of self-assembled nanostructures comprising short peptides. The highly ordered and directional intermolecular π-π interactions and hydrogen-bonding network allow the formation of quantum confined structures within the peptide self-assemblies, thus decreasing the band gaps of the superstructures into semiconductor regions. As a result of the diverse architectures and ease of modification of peptide self-assemblies, their semiconductivity can be readily tuned, doped, and functionalized. Therefore, this family of electroactive supramolecular materials may bridge the gap between the inorganic semiconductor world and biological systems. PMID:29146781

  8. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  9. Effects of weak magnetic fields on post-implantation damage in superconducting oxides

    International Nuclear Information System (INIS)

    Khait, Y.L.

    1996-01-01

    Experimentally verifiable effects of weak permanent magnetic fields (PMF's) acting during ion implantation in high-T c superconducting (HTSC) materials at T∼300 K on post-implantation damage (PID) and material parameters are considered. The presence of PMF's of H∼10 3 Oe during ion implantation can enlarge substantially the PID in HTSC materials implanted with ions of moderate energies (e.g. 200-400 keV) and dosage (10 11- 10 12 cm -3 ) at room temperature. The PMF-induced increase in the radiation damage causes the corresponding enhancement in the material resistivity R and reduction in the critical current j cir (measured after the cooling of the HTSC material down to T (L) c after the ion implantation). This is an extension of the PMF effects found experimentally (and explained theoretically) in semiconductors in our previous work. The experimentally verifiable PMF effects on the defect (atomic) migration and radiation damage is a generic consequence of the kinetic electron-related theory of atomic rate processes in solids. The theory links the PMF effects with electron transitions occurring in the nanometer vicinity of atoms overcoming energy barriers which affect exponentially rates of atomic (defect) diffusion. The magnetic field can enhance the number of downward electron transitions that accompany atomic (defect) jumps over energy barriers and synchronize with the jumps. This enhances exponentially the rates of defect migration out of thermal spikes that prevents the defects from fast recombination, and thus, the PMF increases the PID and changes correspondingly R and j cir . (orig.)

  10. Spin physics in semiconductors

    CERN Document Server

    2017-01-01

    This book offers an extensive introduction to the extremely rich and intriguing field of spin-related phenomena in semiconductors. In this second edition, all chapters have been updated to include the latest experimental and theoretical research. Furthermore, it covers the entire field: bulk semiconductors, two-dimensional semiconductor structures, quantum dots, optical and electric effects, spin-related effects, electron-nuclei spin interactions, Spin Hall effect, spin torques, etc. Thanks to its self-contained style, the book is ideally suited for graduate students and researchers new to the field.

  11. Positron annihilation studies in the field induced depletion regions of metal-oxide-semiconductor structures

    Science.gov (United States)

    Asoka-Kumar, P.; Leung, T. C.; Lynn, K. G.; Nielsen, B.; Forcier, M. P.; Weinberg, Z. A.; Rubloff, G. W.

    1992-06-01

    The centroid shifts of positron annihilation spectra are reported from the depletion regions of metal-oxide-semiconductor (MOS) capacitors at room temperature and at 35 K. The centroid shift measurement can be explained using the variation of the electric field strength and depletion layer thickness as a function of the applied gate bias. An estimate for the relevant MOS quantities is obtained by fitting the centroid shift versus beam energy data with a steady-state diffusion-annihilation equation and a derivative-gaussian positron implantation profile. Inadequacy of the present analysis scheme is evident from the derived quantities and alternate methods are required for better predictions.

  12. Positron annihilation studies in the field induced depletion regions of metal-oxide-semiconductor structures

    International Nuclear Information System (INIS)

    Asoka-Kumar, P.; Leung, T.C.; Lynn, K.G.; Nielsen, B.; Forcier, M.P.; Weinberg, Z.A.; Rubloff, G.W.

    1992-01-01

    The centroid shifts of positron annihilation spectra are reported from the depletion regions of metal-oxide-semiconductor (MOS) capacitors at room temperature and at 35 K. The centroid shift measurement can be explained using the variation of the electric field strength and depletion layer thickness as a function of the applied gate bias. An estimate for the relevant MOS quantities is obtained by fitting the centroid shift versus beam energy data with a steady-state diffusion-annihilation equation and a derivative-gaussian positron implantation profile. Inadequacy of the present analysis scheme is evident from the derived quantities and alternate methods are required for better predictions

  13. Oxide semiconductors

    CERN Document Server

    Svensson, Bengt G; Jagadish, Chennupati

    2013-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scient

  14. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1962-01-01

    Semiconductor Statistics presents statistics aimed at complementing existing books on the relationships between carrier densities and transport effects. The book is divided into two parts. Part I provides introductory material on the electron theory of solids, and then discusses carrier statistics for semiconductors in thermal equilibrium. Of course a solid cannot be in true thermodynamic equilibrium if any electrical current is passed; but when currents are reasonably small the distribution function is but little perturbed, and the carrier distribution for such a """"quasi-equilibrium"""" co

  15. Carrier concentration induced ferromagnetism in semiconductors

    International Nuclear Information System (INIS)

    Story, T.

    2007-01-01

    In semiconductor spintronics the key materials issue concerns ferromagnetic semiconductors that would, in particular, permit an integration (in a single multilayer heterostructure) of standard electronic functions of semiconductors with magnetic memory function. Although classical semiconductor materials, such as Si or GaAs, are nonmagnetic, upon substitutional incorporation of magnetic ions (typically of a few atomic percents of Mn 2+ ions) and very heavy doping with conducting carriers (at the level of 10 20 - 10 21 cm -3 ) a ferromagnetic transition can be induced in such diluted magnetic semiconductors (also known as semimagnetic semiconductors). In the lecture the spectacular experimental observations of carrier concentration induced ferromagnetism will be discussed for three model semiconductor crystals. p - Ga 1-x Mn x As currently the most actively studied and most perspective ferromagnetic semiconductor of III-V group, in which ferromagnetism appears due to Mn ions providing both local magnetic moments and acting as acceptor centers. p - Sn 1-x Mn x Te and p - Ge 1-x Mn x Te classical diluted magnetic semiconductors of IV-VI group, in which paramagnet-ferromagnet and ferromagnet-spin glass transitions are found for very high hole concentration. n - Eu 1-x Gd x Te mixed magnetic crystals, in which the substitution of Gd 3+ ions for Eu 2+ ions creates very high electron concentration and transforms antiferromagnetic EuTe (insulating compound) into ferromagnetic n-type semiconductor alloy. For each of these materials systems the key physical features will be discussed concerning: local magnetic moments formation, magnetic phase diagram as a function of magnetic ions and carrier concentration as well as Curie temperature and magnetic anisotropy engineering. Various theoretical models proposed to explain the effect of carrier concentration induced ferromagnetism in semiconductors will be briefly discussed involving mean field approaches based on Zener and RKKY

  16. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  17. Organic semiconductors in a spin

    CERN Document Server

    Samuel, I

    2002-01-01

    A little palladium can go a long way in polymer-based light-emitting diodes. Inorganic semiconductors such as silicon and gallium arsenide are essential for countless applications in everyday life, ranging from PCs to CD players. However, while they offer unrivalled computational speed, inorganic semiconductors are also rigid and brittle, which means that they are less suited to applications such as displays and flexible electronics. A completely different class of materials - organic semiconductors - are being developed for these applications. Organic semiconductors have many attractive features: they are easy to make, they can emit visible light, and there is tremendous scope for tailoring their properties to specific applications by changing their chemical structure. Research groups and companies around the world have developed a wide range of organic-semiconductor devices, including transistors, light-emitting diodes (LEDs), solar cells and lasers. (U.K.)

  18. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1992-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups: Classical semiconductor diode detectors and semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported

  19. The analysis of Rutherford scattering-channelling measurements of disorder production and annealing in ion irradiated semiconductors

    International Nuclear Information System (INIS)

    Carter, G.; Elliman, R.G.

    1983-01-01

    Rutherford scattering and channelling of light probe ions (e.g. He + ) has been extensively used for studies of disorder production in ion implanted semiconductors. Various authors have analysed models of amorphousness accumulation and Carter and Webb have indicated the general difficulties in assessing disorder production models from RBS/channelling studies if the production modes are complex and the manner in which the technique responds to different defect structures is unspecified. For less complex disorder production modes and by making reasonable assumptions about the technique response however, some insight into the form of backscattering yield - ion implant fluence functions can be obtained as is discussed in the present communication. It thus becomes possible to infer the importance of different disorder generation processes from RBS/channelling - ion influence studies. It will also be shown how simple annealing processes modify disorder accumulation and thus again how the operation of such processes may be inferred from RBS/channelling - ion fluence measurements. (author)

  20. Simulation of ion implantation for ULSI technology

    International Nuclear Information System (INIS)

    Hoessinger, A.

    2000-07-01

    In modern semiconductor technology ion implantation has turned out to be the most important technique to introduce dopant atoms into semiconducting materials. The major advantage of the ion implantation technique is the high controllability and reproducibility of the process parameters influencing the doping distributions. Furthermore, very shallow doping profiles can be formed, which are a prerequisite for ULSI (ultra large scale integration) technology. Since it is mainly ion implantation which determines the distribution of the dopants and thereby the electrical properties of the semiconductor devices highly accurate simulation methods for ion implantation processes are required to be able to predict and optimize the behavior of integrated circuits. In recent years successively shrinking device dimensions and new design concepts have shown the necessity of a full three-dimensional treatment of simulation problems, e.g. the simulation of MOS transistors with narrow gates, or vertical transistors. Three-dimensional simulations obviously require large computation times and a lot of memory. Therefore, it is a waste of computational resources if a three-dimensional simulation would be applied to all applications. Several problems, like the buried layer or the well formation of an MOS transistor can be analyzed as accurate by simpler two-dimensional or even one-dimensional simulations. Since it should be easy to switch the dimension of the simulation without recalibrating a simulator, it is not desirable to use different simulators, which eventually use different models, for the simulation of one-dimensional, two-dimensional and three-dimensional problems. The goal of this work was to further improve a Monte-Carlo ion implantation simulator developed over the last fifteen years within the scope of several PhD theses. As part of this work several new models and methods have been developed and implemented to improve the accuracy and the efficiency of the simulator, in

  1. Nonlinear Elasticity of Doped Semiconductors

    Science.gov (United States)

    2017-02-01

    AFRL-RY-WP-TR-2016-0206 NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS Mark Dykman and Kirill Moskovtsev Michigan State University...2016 4. TITLE AND SUBTITLE NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS 5a. CONTRACT NUMBER FA8650-16-1-7600 5b. GRANT NUMBER 5c. PROGRAM...vibration amplitude. 15. SUBJECT TERMS semiconductors , microresonators, microelectromechanical 16. SECURITY CLASSIFICATION OF: 17. LIMITATION OF

  2. Physics of semiconductor lasers

    CERN Document Server

    Mroziewicz, B; Nakwaski, W

    2013-01-01

    Written for readers who have some background in solid state physics but do not necessarily possess any knowledge of semiconductor lasers, this book provides a comprehensive and concise account of fundamental semiconductor laser physics, technology and properties. The principles of operation of these lasers are therefore discussed in detail with the interrelations between their design and optical, electrical and thermal properties. The relative merits of a large number of laser structures and their parameters are described to acquaint the reader with the various aspects of the semiconductor l

  3. Semiconductor radiation detection systems

    CERN Document Server

    2010-01-01

    Covers research in semiconductor detector and integrated circuit design in the context of medical imaging using ionizing radiation. This book explores other applications of semiconductor radiation detection systems in security applications such as luggage scanning, dirty bomb detection and border control.

  4. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1995-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups; (i) classical semiconductor diode detectors and (ii) semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported. copyright 1995 American Institute of Physics

  5. Planar nucleation and crystallization in the annealing process of ion implanted silicon

    International Nuclear Information System (INIS)

    Luo Yimin; Chen Zhenhua; Chen Ding

    2010-01-01

    According to thermodynamic and kinetic theory, considering the variation of bulk free energy and superficial energy after nucleation as well as the migration of atoms, we study systematically the planar nucleation and crystallization that relate to two possible transition mechanisms in the annealing process of ion implanted Si: (1) liquid/solid transition: the critical nucleation work is equal to half the increased superficial energy and inversely proportional to the supercooling ΔT. Compared with bulk nucleation, the radius of the critical nucleus decreases by half, and the nucleation rate attains its maximum at T = T m /2. (2) amorphous/crystalline transition: the atoms contained in the critical nucleus and situated on its surface, as well as critical nucleation work, are all directly proportional to the height of the nucleus, and the nucleation barrier is equal to half the superficial energy too. In addition, we take SiGe semiconductor as a specific example for calculation; a value of 0.03 eV/atom is obtained for the elastic strain energy, and a more reasonable result can be gotten after taking into account its effect on transition Finally, we reach the following conclusion as a result of the calculation: for the annealing of ion implanted Si, no matter what the transition method is-liquid or solid planar nucleation-the recrystallization process is actually carried out layer by layer on the crystal substrate, and the probability of forming a 'rod-like' nucleus is much larger than that of a 'plate-like' nucleus. (semiconductor materials)

  6. II-VI semiconductor compounds

    CERN Document Server

    1993-01-01

    For condensed matter physicists and electronic engineers, this volume deals with aspects of II-VI semiconductor compounds. Areas covered include devices and applications of II-VI compounds; Co-based II-IV semi-magnetic semiconductors; and electronic structure of strained II-VI superlattices.

  7. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  8. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  9. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  10. Ferromagnetism in with Fe implanted GaN and TiO{sub 2}; Ferromagnetismus in mit Fe implantierten GaN und TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Talut, Georg

    2009-12-15

    In the present study it was tried to create a diluted magnetic semiconductor on the basis of GaN and TiO{sub 2} by means of ion beam implantation. In most cases, by characterization of structural and magnetic properties, it was possible to prove that the ferromagnetic state is related to either spinodal decomposition or secondary phase formation. In case of Fe implanted GaN spinodal decomposition, epitaxially oriented {alpha}-Fe or {epsilon}-Fe{sub 3}N nanocrystals were found to be responsible for the ferromagnetic behavior. In addition, the formation of {gamma}-Fe clusters was observed. Similarly, in TiO{sub 2} the ferromagnetism is related to the formation of epitaxially oriented {alpha}-Fe clusters. Dependent on the process parameters during annealing experiments several various secondary phases were formed. A critical examination of the references in literature points out the significance of usage of sensitive and complementary probe techniques (like CEMS, SQUID, XRD, EXAFS), in order to be able to discuss the origin of ferromagnetism in the field of diluted magnetic semiconductors in a proper way. (orig.)

  11. Room-temperature ductile inorganic semiconductor

    Science.gov (United States)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  12. Roadmap on semiconductor-cell biointerfaces

    Science.gov (United States)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  13. Direct observation of the lattice sites of implanted manganese in silicon

    CERN Document Server

    Silva, Daniel; Wahl, Ulrich; Martins Correia, Joao; Amorim, Lígia; Decoster, Stefan; Castro Ribeiro Da Silva, Manuel; Da Costa Pereira, Lino Miguel; Esteves De Araujo, Araujo Joao Pedro

    2016-01-01

    Mn-doped Si has attracted significant interest in the context of dilute magnetic semiconductors. We investigated the lattice location of implanted Mn in silicon of different doping types (n, n+ and p+) in the highly dilute regime. Three different lattice sites were identified by means of emission channeling experiments: ideal substitutional sites; sites displaced from bond-centered towards substitutional sites and sites displaced from anti-bonding towards tetrahedral interstitial sites. For all doping types investigated, the substitutional fraction remained below ∼ 30%. We discuss the origin of the observed lattice sites as well as the implications of such structures on the understanding of Mn-doped Si systems.

  14. Emission Channeling Studies on the Behaviour of Light Alkali Atoms in Wide-Band-Gap Semiconductors

    CERN Multimedia

    Recknagel, E; Quintel, H

    2002-01-01

    % IS342 \\\\ \\\\ A major problem in the development of electronic devices based on diamond and wide-band-gap II-VI compound semiconductors, like ZnSe, is the extreme difficulty of either n- or p-type doping. The only reports of successful n-type doping of diamond involves ion implanted Li, which was found to be an intersititial donor. Recent theoretical calculations suggest that Na, P and N dopant atoms are also good candidates for n-type doping of diamond. No experimental evidence has been obtained up to now, mainly because of the complex and partly unresolved defect situation created during ion implantation, which is necessary to incorporate potential donor atoms into diamond. \\\\ \\\\In the case of ZnSe, considerable effort has been invested in trying to fabricate pn-junctions in order to make efficient, blue-light emitting diodes. However, it has proved to be very difficult to obtain p-type ZnSe, mainly because of electrical compensation related to background donor impurities. Li and Na are believed to be ampho...

  15. Ion-beam modification of 2-D materials - single implant atom analysis via annular dark-field electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bangert, U., E-mail: Ursel.Bangert@ul.ie [Department of Physics, School of Sciences & Bernal Institute, University of Limerick, Limerick (Ireland); Stewart, A.; O’Connell, E.; Courtney, E. [Department of Physics, School of Sciences & Bernal Institute, University of Limerick, Limerick (Ireland); Ramasse, Q.; Kepaptsoglou, D. [SuperSTEM Laboratory, STFC Daresbury Campus, Daresbury WA4 4AD (United Kingdom); Hofsäss, H.; Amani, J. [II. Physikalisches Institut, Georg-August-Universität Göttingen, Friedrich-Hund-PLatz 1, 37077 Göttingen (Germany); Tu, J.-S.; Kardynal, B. [Peter Grünberg Institut 9, Forschungszentrum Jülich, 52425 Jülich (Germany)

    2017-05-15

    Functionalisation of two-dimensional (2-D) materials via low energy ion implantation could open possibilities for fabrication of devices based on such materials. Nanoscale patterning and/or electronically doping can thus be achieved, compatible with large scale integrated semiconductor technologies. Using atomic resolution High Angle Annular Dark Field (HAADF) scanning transmission electron microscopy supported by image simulation, we show that sites and chemical nature of individual implants/ dopants in graphene, as well as impurities in hBN, can uniquely and directly be identified on grounds of their position and their image intensity in accordance with predictions from Z-contrast theories. Dopants in graphene (e.g., N) are predominantly substitutional. In other 2-Ds, e.g. dichalcogenides, the situation is more complicated since implants can be embedded in different layers and substitute for different elements. Possible configurations of Se-implants in MoS{sub 2} are discussed and image contrast calculations performed. Implants substituting for S in the top or bottom layer can undoubtedly be identified. We show, for the first time, using HAADF contrast measurement that successful Se-integration into MoS{sub 2} can be achieved via ion implantation, and we demonstrate the possibility of HAADF image contrast measurements for identifying impurities and dopants introduced into in 2-Ds. - Highlights: • Ion implantation of 2-dimensional materials. • Targeted and controlled functionalisation of graphene and 2-D dichalcocenides. • Atomic resolution High Angle Dark Field scanning transmission electron microscopy. • Determination of atomic site and elemental nature of dopants in 2-D materials. • Quantitative information from Z-contrast images.

  16. Introduction to Semiconductor Devices

    Science.gov (United States)

    Brennan, Kevin F.

    2005-03-01

    This volume offers a solid foundation for understanding the most important devices used in the hottest areas of electronic engineering today, from semiconductor fundamentals to state-of-the-art semiconductor devices in the telecommunications and computing industries. Kevin Brennan describes future approaches to computing hardware and RF power amplifiers, and explains how emerging trends and system demands of computing and telecommunications systems influence the choice, design and operation of semiconductor devices. In addition, he covers MODFETs and MOSFETs, short channel effects, and the challenges faced by continuing miniaturization. His book is both an excellent senior/graduate text and a valuable reference for practicing engineers and researchers.

  17. Scanning electron microscopy of semiconductor materials

    International Nuclear Information System (INIS)

    Bresse, J.F.; Dupuy, M.

    1978-01-01

    The use of scanning electron microscopy in semiconductors opens up a large field of use. The operating modes lending themselves to the study of semiconductors are the induced current, cathodoluminescence and the use of the potential contrast which can also be applied very effectively to the study of the devices (planar in particular). However, a thorough knowledge of the mechanisms of the penetration of electrons, generation and recombination of generated carriers in a semiconductor is necessary in order to attain a better understanding of the operating modes peculiar to semiconductors [fr

  18. Metallurgy and purification of semiconductor materials

    International Nuclear Information System (INIS)

    Mughal, G.R.; Ali, M.M.; Ali, I.

    1996-01-01

    In this article the metallurgical aspects of semiconductor science and technology have been stressed here rather than of the physical and electronic aspect of the subject. Semiconductor technology has not merely presented the metallurgist with new challenges. The ease with which the semiconductor planes cleave make possible, the preparation and study of virgin surface. Semiconductor materials were being widely employed in the study of sub-boundaries and structures and can largely contribute to the study of certain aspects of nucleation and growth, precipitation phenomena, mechanical behaviour, in metallurgy. (A.B.)

  19. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2008-01-01

    This monograph describes fascinating recent progress in the field of chaos, stability and instability of semiconductor lasers. Applications and future prospects are discussed in detail. The book emphasizes the various dynamics induced in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Recent results of both theoretical and experimental investigations are presented. Demonstrating applications of semiconductor laser chaos, control and noise, Semiconductor Lasers describes suppression and chaotic secure communications. For those who are interested in optics but not familiar with nonlinear systems, a brief introduction to chaos analysis is presented.

  20. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1990-01-01

    The state of the art in semiconductor detectors for elementary particle physics and X-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; i) classical semiconductor diode detectors and ii) semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. (orig.)

  1. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1989-01-01

    The state of the art in semiconductor detectors for elementary particle physics and x-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; classical semiconductor diode detectors; and semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. 13 refs., 8 figs

  2. Solid-state NMR of inorganic semiconductors.

    Science.gov (United States)

    Yesinowski, James P

    2012-01-01

    Studies of inorganic semiconductors by solid-state NMR vary widely in terms of the nature of the samples investigated, the techniques employed to observe the NMR signal, and the types of information obtained. Compared with the NMR of diamagnetic non-semiconducting substances, important differences often result from the presence of electron or hole carriers that are the hallmark of semiconductors, and whose theoretical interpretation can be involved. This review aims to provide a broad perspective on the topic for the non-expert by providing: (1) a basic introduction to semiconductor physical concepts relevant to NMR, including common crystal structures and the various methods of making samples; (2) discussions of the NMR spin Hamiltonian, details of some of the NMR techniques and strategies used to make measurements and theoretically predict NMR parameters, and examples of how each of the terms in the Hamiltonian has provided useful information in bulk semiconductors; (3) a discussion of the additional considerations needed to interpret the NMR of nanoscale semiconductors, with selected examples. The area of semiconductor NMR is being revitalized by this interest in nanoscale semiconductors, the great improvements in NMR detection sensitivity and resolution that have occurred, and the current interest in optical pumping and spintronics-related studies. Promising directions for future research will be noted throughout.

  3. Spin physics in semiconductors

    CERN Document Server

    Dyakonov, Mikhail I

    2008-01-01

    This book describes beautiful optical and transport phenomena related to the electron and nuclear spins in semiconductors with emphasis on a clear presentation of the physics involved. Recent results on quantum wells and quantum dots are reviewed. The book is intended for students and researchers in the fields of semiconductor physics and nanoelectronics.

  4. Radiation annealing of gallium arsenide implanted with sulphur

    CERN Document Server

    Ardyshev, V M

    2002-01-01

    Sulfur ions were implanted in a semi-insulating GaAs. Photon annealing (805 deg C/(10-12) s) and the thermal one (800 deg C/30 min) were conducted under SiO sub 2 -films coating obtained by different ways. Contents of GaAs components in films were determined from Rutherford backscattering spectra; concentration profiles of electrons were measured by the voltage-capacitance method. Diffusion of sulfur was shown to go in two directions - to the surface and into bulk of GaAs. The first process was induced by vacancies that had been formed near the surface of semiconductors during the dielectric coating. The coefficient of the bulk-diffusion and diffusion-to-surface of sulfur ions under photon annealing was twice as much as that under thermal one. The doping efficiency was also larger

  5. Charge regulation at semiconductor-electrolyte interfaces.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2015-07-01

    The interface between a semiconductor material and an electrolyte solution has interesting and complex electrostatic properties. Its behavior will depend on the density of mobile charge carriers that are present in both phases as well as on the surface chemistry at the interface through local charge regulation. The latter is driven by chemical equilibria involving the immobile surface groups and the potential determining ions in the electrolyte solution. All these lead to an electrostatic potential distribution that propagate such that the electrolyte and the semiconductor are dependent on each other. Hence, any variation in the charge density in one phase will lead to a response in the other. This has significant implications on the physical properties of single semiconductor-electrolyte interfaces and on the electrostatic interactions between semiconductor particles suspended in electrolyte solutions. The present paper expands on our previous publication (Fleharty et al., 2014) and offers new results on the electrostatics of single semiconductor interfaces as well as on the interaction of charged semiconductor colloids suspended in electrolyte solution. Copyright © 2014 Elsevier Inc. All rights reserved.

  6. Magnetic excitations in ferromagnetic semiconductors

    International Nuclear Information System (INIS)

    Furdyna, J.K.; Liu, X.; Zhou, Y.Y.

    2009-01-01

    Magnetic excitations in a series of GaMnAs ferromagnetic semiconductor films were studied by ferromagnetic resonance (FMR). Using the FMR approach, multi-mode spin wave resonance spectra have been observed, whose analysis provides information on magnetic anisotropy (including surface anisotropy), distribution of magnetization precession within the GaMnAs film, dynamic surface spin pinning (derived from surface anisotropy), and the value of exchange stiffness constant D. These studies illustrate a combination of magnetism and semiconductor physics that is unique to magnetic semiconductors

  7. Injection of spin-polarized current into semiconductor

    International Nuclear Information System (INIS)

    Vedyayev, A.V.; Dieny, B.; Ryzhanova, N.V.; Zhukov, I.V.; Zhuravlev, M.Ye.; Lutz, H.O.

    2003-01-01

    A quantum-statistical theory of injection of spin-polarized current into a semiconductor in ferromagnet/tunnel barrier/semiconductor system is presented. The presence of Schottky barrier in the semiconductor is taken into account. The case of degenerated and non-degenerated semiconductors are considered. Both the diffusive and ballistic transport regime are investigated. The dependence of current polarization on barrier thickness and temperature is calculated

  8. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    Directory of Open Access Journals (Sweden)

    Yoshihiro Irokawa

    2011-01-01

    Full Text Available In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C-V characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C-V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C-V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I-V characterization, suggesting that low-frequency C-V method would be effective in detecting very low hydrogen concentrations.

  9. Automation and Integration in Semiconductor Manufacturing

    OpenAIRE

    Liao, Da-Yin

    2010-01-01

    Semiconductor automation originates from the prevention and avoidance of frauds in daily fab operations. As semiconductor technology and business continuously advance and grow, manufacturing systems must aggressively evolve to meet the changing technical and business requirements in this industry. Semiconductor manufacturing has been suffering pains from islands of automation. The problems associated with these systems are limited

  10. Thiophene-Based Organic Semiconductors.

    Science.gov (United States)

    Turkoglu, Gulsen; Cinar, M Emin; Ozturk, Turan

    2017-10-24

    Thiophene-based π-conjugated organic small molecules and polymers are the research subject of significant current interest owing to their potential use as organic semiconductors in material chemistry. Despite simple and similar molecular structures, the hitherto reported properties of thiophene-based organic semiconductors are rather diverse. Design of high performance organic semiconducting materials requires a thorough understanding of inter- and intra-molecular interactions, solid-state packing, and the influence of both factors on the charge carrier transport. In this chapter, thiophene-based organic semiconductors, which are classified in terms of their chemical structures and their structure-property relationships, are addressed for the potential applications as organic photovoltaics (OPVs), organic field-effect transistors (OFETs) and organic light emitting diodes (OLEDs).

  11. The application of low angle Rutherford backscattering and channelling techniques to determine implantation induced disorder profile distributions in semiconductors

    International Nuclear Information System (INIS)

    Ahmed, N.A.G.; Christodoulides, C.E.; Carter, G.; Nobes, M.J.; Titov, A.I.

    1980-01-01

    Low angle exit (9 0 ) Rutherford backscattering geometry and channelling of 2 MeV 4 He + are employed to investigate the disorder depth profiles created by 40 keV N + implantation in (111) silicon and (100) GaAs targets. Parameters which can influence the disordering rate and its spatial distribution, such as ion fluence flux, substrate type and substrate temperature are examined. Under certain implantation conditions, the damage profile distributions are asymmetric - exhibiting a bimodal form in silicon targets or confined much closer to the GaAs surface than the normally expected mean range of 40 keV N + ions. (orig.)

  12. Device Physics of Narrow Gap Semiconductors

    CERN Document Server

    Chu, Junhao

    2010-01-01

    Narrow gap semiconductors obey the general rules of semiconductor science, but often exhibit extreme features of these rules because of the same properties that produce their narrow gaps. Consequently these materials provide sensitive tests of theory, and the opportunity for the design of innovative devices. Narrow gap semiconductors are the most important materials for the preparation of advanced modern infrared systems. Device Physics of Narrow Gap Semiconductors offers descriptions of the materials science and device physics of these unique materials. Topics covered include impurities and defects, recombination mechanisms, surface and interface properties, and the properties of low dimensional systems for infrared applications. This book will help readers to understand not only the semiconductor physics and materials science, but also how they relate to advanced opto-electronic devices. The last chapter applies the understanding of device physics to photoconductive detectors, photovoltaic infrared detector...

  13. Manipulating semiconductor colloidal stability through doping.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2014-10-10

    The interface between a doped semiconductor material and electrolyte solution is of considerable fundamental interest, and is relevant to systems of practical importance. Both adjacent domains contain mobile charges, which respond to potential variations. This is exploited to design electronic and optoelectronic sensors, and other enabling semiconductor colloidal materials. We show that the charge mobility in both phases leads to a new type of interaction between semiconductor colloids suspended in aqueous electrolyte solutions. This interaction is due to the electrostatic response of the semiconductor interior to disturbances in the external field upon the approach of two particles. The electrostatic repulsion between two charged colloids is reduced from the one governed by the charged groups present at the particles surfaces. This type of interaction is unique to semiconductor particles and may have a substantial effect on the suspension dynamics and stability.

  14. Diode having trenches in a semiconductor region

    Energy Technology Data Exchange (ETDEWEB)

    Palacios, Tomas Apostol; Lu, Bin; Matioli, Elison de Nazareth

    2016-03-22

    An electrode structure is described in which conductive regions are recessed into a semiconductor region. Trenches may be formed in a semiconductor region, such that conductive regions can be formed in the trenches. The electrode structure may be used in semiconductor devices such as field effect transistors or diodes. Nitride-based power semiconductor devices are described including such an electrode structure, which can reduce leakage current and otherwise improve performance.

  15. Laser semiconductor diode integrated with frequency doubler

    International Nuclear Information System (INIS)

    Tighineanu, I.; Dorogan, V.; Suruceanu, G.

    2003-01-01

    The invention relates to the technology of optoelectronic semiconductor devices and may be used in the production of laser semiconductor diodes integrated with optical nonlinear elements. The laser semiconductor diode integrated with frequency doubler includes a semiconductor substrate, a laser structure with waveguide. metal contacts in the waveguide of the laser structure it is formed a nanostructured field so that the nanostructure provides for the fulfillment of the phase synchronism conditions

  16. Method of beryllium implantation in germanium substrate

    International Nuclear Information System (INIS)

    Kagawa, S.; Baba, Y.; Kaneda, T.; Shirai, T.

    1983-01-01

    A semiconductor device is disclosed, as well as a method for manufacturing it in which ions of beryllium are implanted into a germanium substrate to form a layer containing p-type impurity material. There after the substrate is heated at a temperature in the range of 400 0 C. to 700 0 C. to diffuse the beryllium ions into the substrate so that the concentration of beryllium at the surface of the impurity layer is in the order of 10 17 cm- 3 or more. In one embodiment, a p-type channel stopper is formed locally in a p-type germanium substrate and an n-type active layer is formed in a region surrounded by, and isolated from, the channel stopper region. In another embodiment, a relatively shallow p-type active layer is formed at one part of an n-type germanium substrate and p-type guard ring regions are formed surrounding, and partly overlapping said p-type active layer. In a further embodiment, a p-type island region is formed at one part of an n-type germanium substrate, and an n-type region is formed within said p-type region. In these embodiments, the p-type channel stopper region, p-type guard ring regions and the p-type island region are all formed by implanting ions of beryllium into the germanium substrate

  17. Short dental implants: an emerging concept in implant treatment.

    Science.gov (United States)

    Al-Hashedi, Ashwaq Ali; Taiyeb Ali, Tara Bai; Yunus, Norsiah

    2014-06-01

    Short implants have been advocated as a treatment option in many clinical situations where the use of conventional implants is limited. This review outlines the effectiveness and clinical outcomes of using short implants as a valid treatment option in the rehabilitation of edentulous atrophic alveolar ridges. Initially, an electronic search was performed on the following databases: Medline, PubMed, Embase, Cochrane Database of Systematic Reviews, and DARE using key words from January 1990 until May 2012. An additional hand search was included for the relevant articles in the following journals: International Journal of Oral and Maxillofacial Implants, Clinical Oral Implants Research, Journal of Clinical Periodontology, International Journal of Periodontics, Journal of Periodontology, and Clinical Implant Dentistry and Related Research. Any relevant papers from the journals' references were hand searched. Articles were included if they provided detailed data on implant length, reported survival rates, mentioned measures for implant failure, were in the English language, involved human subjects, and researched implants inserted in healed atrophic ridges with a follow-up period of at least 1 year after implant-prosthesis loading. Short implants demonstrated a high rate of success in the replacement of missing teeth in especially atrophic alveolar ridges. The advanced technology and improvement of the implant surfaces have encouraged the success of short implants to a comparable level to that of standard implants. However, further randomized controlled clinical trials and prospective studies with longer follow-up periods are needed.

  18. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  19. Ultrafast THz Saturable Absorption in Doped Semiconductors

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hoffmann, Matthias C.

    2011-01-01

    We demonstrate ultrafast THz saturable absorption in n-doped semiconductors by nonlinear THz time-domain spectroscopy. This effect is caused by the semiconductor conductivity modulation due to electron heating and satellite-valley scattering in strong THz fields.......We demonstrate ultrafast THz saturable absorption in n-doped semiconductors by nonlinear THz time-domain spectroscopy. This effect is caused by the semiconductor conductivity modulation due to electron heating and satellite-valley scattering in strong THz fields....

  20. Semiconductor radiation detectors. Device physics

    International Nuclear Information System (INIS)

    Lutz, G.

    2007-01-01

    Starting from basic principles, the author, whose own contributions to these developments have been significant, describes the rapidly growing field of modern semiconductor detectors used for energy and position measurement radiation. This development was stimulated by requirements in elementary particle physics where it has led to important scientific discoveries. It has now spread to many other fields of science and technology. The book is written in a didactic way and includes an introduction to semiconductor physics. The working principles of semiconductor radiation detectors are explained in an intuitive way, followed by formal quantitative analysis. Broad coverage is also given to electronic signal readout and to the subject of radiation damage. The book is the first to comprehensively cover the semiconductor radiation detectors currently in use. It is useful as a teaching guide and as a reference work for research and applications. (orig.)

  1. Number of implants for mandibular implant overdentures: a systematic review

    Science.gov (United States)

    Lee, Jeong-Yol; Kim, Ha-Young; Bryant, S. Ross

    2012-01-01

    PURPOSE The aim of this systematic review is to address treatment outcomes of Mandibular implant overdentures relative to implant survival rate, maintenance and complications, and patient satisfaction. MATERIALS AND METHODS A systematic literature search was conducted by a PubMed search strategy and hand-searching of relevant journals from included studies. Randomized Clinical Trials (RCT) and comparative clinical trial studies on mandibular implant overdentures until August, 2010 were selected. Eleven studies from 1098 studies were finally selected and data were analyzed relative to number of implants. RESULTS Six studies presented the data of the implant survival rate which ranged from 95% to 100% for 2 and 4 implant group and from 81.8% to 96.1% for 1 and 2 implant group. One study, which statistically compared implant survival rate showed no significant differences relative to the number of implants. The most common type of prosthetic maintenance and complications were replacement or reattaching of loose clips for 2 and 4 implant group, and denture repair due to the fracture around an implant for 1 and 2 implant groups. Most studies showed no significant differences in the rate of prosthetic maintenance and complication, and patient satisfaction regardless the number of implants. CONCLUSION The implant survival rate of mandibular overdentures is high regardless of the number of implants. Denture maintenance is likely not inflenced substantially by the number of implants and patient satisfaction is typically high again regardless os the number of implants. PMID:23236572

  2. Epitaxy of semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Krogstrup, P.; Ziino, N.L.B.; Chang, W.

    2015-01-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface...

  3. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2013-01-01

    This third edition of “Semiconductor Lasers, Stability, Instability and Chaos” was significantly extended.  In the previous edition, the dynamics and characteristics of chaos in semiconductor lasers after the introduction of the fundamental theory of laser chaos and chaotic dynamics induced by self-optical feedback and optical injection was discussed. Semiconductor lasers with new device structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are interesting devices from the viewpoint of chaotic dynamics since they essentially involve chaotic dynamics even in their free-running oscillations. These topics are also treated with respect to the new developments in the current edition. Also the control of such instabilities and chaos control are critical issues for applications. Another interesting and important issue of semiconductor laser chaos in this third edition is chaos synchronization between two lasers and the application to optical secure communication. One o...

  4. Improving Sustainability of Ion Implant Modules

    Science.gov (United States)

    Mayer, Jim

    2011-01-01

    Semiconductor fabs have long been pressured to manage capital costs, reduce energy consumption and increasingly improve efforts to recycle and recover resources. Ion implant tools have been high-profile offenders on all three fronts. They draw such large volumes of air for heat dissipation and risk reduction that historically, they are the largest consumer of cleanroom air of any process tool—and develop energy usage and resource profiles to match. This paper presents a documented approach to reduce their energy consumption and dramatically downsize on-site facilities support for cleanroom air manufacture and abatement. The combination produces significant capital expenditure savings. The case entails applying SAGS Type 1 (sub-atmospheric gas systems) toxic gas packaging to enable engineering adaptations that deliver the energy savings and cost benefits without any reduction in environmental health and safety. The paper also summarizes benefits as they relate to reducing a fabs carbon emission footprint (and longer range advantages relative to potential cap and trade programs) with existing technology.

  5. Tunable radiation emitting semiconductor device

    NARCIS (Netherlands)

    2009-01-01

    A tunable radiation emitting semiconductor device includes at least one elongated structure at least partially fabricated from one or more semiconductor materials exhibiting a bandgap characteristic including one or more energy transitions whose energies correspond to photon energies of light

  6. Optical coherent control in semiconductors

    DEFF Research Database (Denmark)

    Østergaard, John Erland; Vadim, Lyssenko; Hvam, Jørn Märcher

    2001-01-01

    of quantum control including the recent applications to semiconductors and nanostructures. We study the influence of inhomogeneous broadening in semiconductors on CC results. Photoluminescence (PL) and the coherent emission in four-wave mixing (FWM) is recorded after resonant excitation with phase...

  7. Semiconductor materials and their properties

    NARCIS (Netherlands)

    Reinders, Angelina H.M.E.; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre; Reinders, Angele; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre

    2017-01-01

    Semiconductor materials are the basic materials which are used in photovoltaic (PV) devices. This chapter introduces solid-state physics and semiconductor properties that are relevant to photovoltaics without spending too much time on unnecessary information. Usually atoms in the group of

  8. Semiconductor opto-electronics

    CERN Document Server

    Moss, TS; Ellis, B

    1972-01-01

    Semiconductor Opto-Electronics focuses on opto-electronics, covering the basic physical phenomena and device behavior that arise from the interaction between electromagnetic radiation and electrons in a solid. The first nine chapters of this book are devoted to theoretical topics, discussing the interaction of electromagnetic waves with solids, dispersion theory and absorption processes, magneto-optical effects, and non-linear phenomena. Theories of photo-effects and photo-detectors are treated in detail, including the theories of radiation generation and the behavior of semiconductor lasers a

  9. Primary prevention of peri-implantitis: managing peri-implant mucositis.

    Science.gov (United States)

    Jepsen, Søren; Berglundh, Tord; Genco, Robert; Aass, Anne Merete; Demirel, Korkud; Derks, Jan; Figuero, Elena; Giovannoli, Jean Louis; Goldstein, Moshe; Lambert, France; Ortiz-Vigon, Alberto; Polyzois, Ioannis; Salvi, Giovanni E; Schwarz, Frank; Serino, Giovanni; Tomasi, Cristiano; Zitzmann, Nicola U

    2015-04-01

    Over the past decades, the placement of dental implants has become a routine procedure in the oral rehabilitation of fully and partially edentulous patients. However, the number of patients/implants affected by peri-implant diseases is increasing. As there are--in contrast to periodontitis--at present no established and predictable concepts for the treatment of peri-implantitis, primary prevention is of key importance. The management of peri-implant mucositis is considered as a preventive measure for the onset of peri-implantitis. Therefore, the remit of this working group was to assess the prevalence of peri-implant diseases, as well as risks for peri-implant mucositis and to evaluate measures for the management of peri-implant mucositis. Discussions were informed by four systematic reviews on the current epidemiology of peri-implant diseases, on potential risks contributing to the development of peri-implant mucositis, and on the effect of patient and of professionally administered measures to manage peri-implant mucositis. This consensus report is based on the outcomes of these systematic reviews and on the expert opinion of the participants. Key findings included: (i) meta-analysis estimated a weighted mean prevalence for peri-implant mucositis of 43% (CI: 32-54%) and for peri-implantitis of 22% (CI: 14-30%); (ii) bleeding on probing is considered as key clinical measure to distinguish between peri-implant health and disease; (iii) lack of regular supportive therapy in patients with peri-implant mucositis was associated with increased risk for onset of peri-implantitis; (iv) whereas plaque accumulation has been established as aetiological factor, smoking was identified as modifiable patient-related and excess cement as local risk indicator for the development of peri-implant mucositis; (v) patient-administered mechanical plaque control (with manual or powered toothbrushes) has been shown to be an effective preventive measure; (vi) professional intervention

  10. Nuclear radiation detection by a variband semiconductor

    International Nuclear Information System (INIS)

    Volkov, A.S.

    1981-01-01

    Possibilities of using a variband semiconductor for detecting nuclear radiations are considered. It is shown that the variaband quasielectric field effectively collects charges induced by a nuclear particle only at a small mean free path in the semiconductor (up to 100 μm), the luminescence spectrum of the variband semiconductor when a nuclear particle gets into it, in principle, permits to determine both the energy and mean free path in the semiconductor (even at large mean free paths) [ru

  11. Review of wide band-gap semiconductors technology

    Directory of Open Access Journals (Sweden)

    Jin Haiwei

    2016-01-01

    Full Text Available Silicon carbide (SiC and gallium nitride (GaN are typical representative of the wide band-gap semiconductor material, which is also known as third-generation semiconductor materials. Compared with the conventional semiconductor silicon (Si or gallium arsenide (GaAs, wide band-gap semiconductor has the wide band gap, high saturated drift velocity, high critical breakdown field and other advantages; it is a highly desirable semiconductor material applied under the case of high-power, high-temperature, high-frequency, anti-radiation environment. These advantages of wide band-gap devices make them a hot spot of semiconductor technology research in various countries. This article describes the research agenda of United States and European in this area, focusing on the recent developments of the wide band-gap technology in the US and Europe, summed up the facing challenge of the wide band-gap technology.

  12. Investigation of ferromagnetic spinel semiconductors by hyperfine interactions of implanted nuclear probes

    CERN Document Server

    Samokhvalov, V; Dietrich, M; Schneider, F; Tiginyanu, I M; Tsurkan, V; Unterricker, S

    2003-01-01

    The semiconducting ferromagnetic spinel compounds CdCr//2Se //4, CdCr //2S//4, HgCr//2Se//4 and CuCr//2Se//4 (metallic) were investigated by the perturbed angular correlations (PAC) method with the radioactive probes **1**1**1In, **1**1**1**mCd, **1**1**1Ag, **1**1**7Cd, **1**9**9**mHg and **7**7Br. The probes were implanted at the ISOLDE on-line separator (CERN-Geneva) into single crystals. From the time dependence of the PAC spectra and the measured hyperfine interaction parameters: electric field gradient and magnetic hyperfine field, the probe positions and the thermal behavior of the probes could be determined. Cd, Ag and Hg are substituted at the A-site, In at the A- and B-site in the semiconducting compounds and Br at the anion position. Electric and magnetic hyperfine fields were used as test quantities for theoretical charge and spin density distributions of LAPW calculations (WIEN97).

  13. Modification of oxide films by ion implantation: TiO2-films modified by Ti+ and O+ as example

    International Nuclear Information System (INIS)

    Schultze, J.W.; Elfenthal, L.; Leitner, K.; Meyer, O.

    1988-01-01

    Oxide films can be modified by ion implantation. Changes in the electrochemical properties of the films are due to the deposition profile of the implanted ion, ie doping and stoichiometric changes, as well as to the radiation damage. The latter is due to the formation of Frenkel defects and at high concentrations to a complete amorphization of the oxide film. TiOsub(x)-films with 1 + - and O + -ions into anodic oxide films on titanium. The electrode capacity shows always the behaviour of an n-type semiconductor with an almost constant flatband potential but a strong maximum donor concentration at about 3% Ti + concentration. Oxygen implantation, on the other hand, causes a small increase of donor concentration only at high concentration of O + . Electron transfer reactions show strong modifications of the electronic behaviour of the oxide film with a maximum again at 3% titanium. Photocurrent spectra prove the increasing amorphization and show interband states 2.6 eV above the VB or below the CB. During repassivation measurements at various potentials different defects formed by Ti + - and O + -implantation become mobile. A tentative model of the band structure is constructed which takes into account the interband states due to localised Ti + - and O + -ions. The modification of ion implanted oxide films is compared with the effects of other preparation techniques. (author)

  14. Positron annihilation in a metal-oxide semiconductor studied by using a pulsed monoenergetic positron beam

    Science.gov (United States)

    Uedono, A.; Wei, L.; Tanigawa, S.; Suzuki, R.; Ohgaki, H.; Mikado, T.; Ohji, Y.

    1993-12-01

    The positron annihilation in a metal-oxide semiconductor was studied by using a pulsed monoenergetic positron beam. Lifetime spectra of positrons were measured as a function of incident positron energy for a polycrystalline Si(100 nm)/SiO2(400 nm)/Si specimen. Applying a gate voltage between the polycrystalline Si film and the Si substrate, positrons implanted into the specimen were accumulated at the SiO2/Si interface. From the measurements, it was found that the annihilation probability of ortho-positronium (ortho-Ps) drastically decreased at the SiO2/Si interface. The observed inhibition of the Ps formation was attributed to an interaction between positrons and defects at the SiO2/Si interface.

  15. Quantum transport in semiconductor nanowires

    NARCIS (Netherlands)

    Van Dam, J.

    2006-01-01

    This thesis describes a series of experiments aimed at understanding the low-temperature electrical transport properties of semiconductor nanowires. The semiconductor nanowires (1-100 nm in diameter) are grown from nanoscale gold particles via a chemical process called vapor-liquid-solid (VLS)

  16. Scalloped Implant-Abutment Connection Compared to Conventional Flat Implant-Abutment Connection

    DEFF Research Database (Denmark)

    Starch-Jensen, Thomas; Christensen, Ann-Eva; Lorenzen, Henning

    2017-01-01

    OBJECTIVES: The objective was to test the hypothesis of no difference in implant treatment outcome after installation of implants with a scalloped implant-abutment connection compared to a flat implant-abutment connection. MATERIAL AND METHODS: A MEDLINE (PubMed), Embase and Cochrane library search...... of suprastructures has never been compared within the same study. High implant survival rate was reported in all the included studies. Significantly more peri-implant marginal bone loss, higher probing depth score, bleeding score and gingival score was observed around implants with a scalloped implant-abutment...... loss around implants with a scalloped implant-abutment connection. CONCLUSIONS: A scalloped implant-abutment connection seems to be associated with higher peri-implant marginal bone loss compared to a flat implant-abutment connection. Therefore, the hypothesis of the present systematic review must...

  17. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    Science.gov (United States)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  18. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  19. Ternary chalcopyrite semiconductors

    CERN Document Server

    Shay, J L; Pamplin, B R

    2013-01-01

    Ternary Chalcopyrite Semiconductors: Growth, Electronic Properties, and Applications covers the developments of work in the I-III-VI2 and II-IV-V2 ternary chalcopyrite compounds. This book is composed of eight chapters that focus on the crystal growth, characterization, and applications of these compounds to optical communications systems. After briefly dealing with the status of ternary chalcopyrite compounds, this book goes on describing the crystal growth of II-IV-V2 and I-III-VI2 single crystals. Chapters 3 and 4 examine the energy band structure of these semiconductor compounds, illustrat

  20. 46 CFR 183.360 - Semiconductor rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 183.360 Section 183.360... TONS) ELECTRICAL INSTALLATION Power Sources and Distribution Systems § 183.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents...

  1. Deep level transient spectroscopy studies of charge traps introduced into silicon by channeling ion implantation of phosphorus

    International Nuclear Information System (INIS)

    McCallum, J.C.; Lay, M.; Deenapanray, P.N.K.; Jagadish, C.

    2002-01-01

    Full text: The operating conditions of a silicon-based quantum computer are expected to place stringent requirements on the quality of the material and the processes used to make it. In the Special Research Centre for Quantum Computer Technology, ion implantation is one of the principle processing techniques under investigation for forming an ordered array of phosphorus atoms. This technique introduces defect centres in silicon which act as charge traps. Charge traps are expected to be detrimental to operation of the device. These defect centres, their dependence on ion implantation and thermal annealing conditions are being quantified using Deep Level Transient Spectroscopy (DLTS). Since the aspect ratio of the masks required for the top-down fabrication process restrict the incident ions to a range of angles in which they may undergo channeling implantation in the silicon substrate, we have examined the effect of channeling implantation on the nature and quantity of the charge traps produced. This is the first time that DLTS studies have been performed for channeling implantation of a dopant species in silicon. DLTS is well-suited to the dose regime of ∼10 11 P/cm 3 required for the quantum computer, however, a standard DLTS measurement is unable to probe the shallow depth range of ∼ 20 nm required for the P atoms (∼ 10-15 keV implantation energy). Our aim has therefore been to perform P implants in the appropriate dose regime but using higher implantation energies, ∼ 75-450 keV, where DLTS can directly identify and profile the charge traps induced by the implantation step and monitor their annealing characteristics during subsequent processing. To map the behaviour observed in this energy regime onto the low energy range required for the quantum computer we are comparing the DLTS results to damage profiles predicted by the Monte Carlo code Crystal Trim which is used in the semiconductor industry to simulate ion implantation processes in crystalline

  2. Mixing of III-V compound semiconductor superlattices

    International Nuclear Information System (INIS)

    Mei, Ping.

    1989-01-01

    In this work, the methods as well as mechanisms of III-V compound superlattice mixing are discussed, with particular attention on the AlGaAs based superlattice system. Comparative studies of ion-induced mixing showed two distinct effects resulting from ion implantation followed by a thermal anneal; i.e. collisional mixing and impurity induced mixing. It was found that Ga and As ion induced mixing are mainly due to the collisional effect, where the extent of the mixing can be estimated theoretically, with the parameters of ion mass, incident energy and the implant dose. The impurity effect was dominant for Si, Ge, Be, Zn and Te. Quantitative studies of impurity induced mixing have been conducted on samples doped with Si or Te during the growth process. It was discovered that Si induced AlGaAs superlattice mixing yielded an activation energy of approximately 4 eV for the Al diffusion coefficient with a high power law dependence of the prefactor on the Si concentration. In the Te doped AlGaAs superlattice the Al diffusion coefficient exhibited an activation energy of ∼3.0 eV, with a prefactor approximately proportional to the Te concentration. These results are of importance in examining the current diffusion models. Zn and Si induced InP/InGaAs superlattice mixing are examined. It was found that Zn predominantly induces cation interdiffusion, while Si induces comparable cation and anion interdiffusion. In addition, widely dispersed Zn rich islands form with Zn residing in the InP layers in the form of Zn 3 P 2 . With unstrained starting material, the layer bandgap disparity increases due to mixing induced strain, while in the Si diffused sample the mixed region would be expected to exhibit bandgaps intermediate between those of the original layers. Semiconductor superlattice mixing shows technological potential for optoelectronic device fabrication

  3. Semiconductor high-energy radiation scintillation detector

    International Nuclear Information System (INIS)

    Kastalsky, A.; Luryi, S.; Spivak, B.

    2006-01-01

    We propose a new scintillation-type detector in which high-energy radiation generates electron-hole pairs in a direct-gap semiconductor material that subsequently recombine producing infrared light to be registered by a photo-detector. The key issue is how to make the semiconductor essentially transparent to its own infrared light, so that photons generated deep inside the semiconductor could reach its surface without tangible attenuation. We discuss two ways to accomplish this, one based on doping the semiconductor with shallow impurities of one polarity type, preferably donors, the other by heterostructure bandgap engineering. The proposed semiconductor scintillator combines the best properties of currently existing radiation detectors and can be used for both simple radiation monitoring, like a Geiger counter, and for high-resolution spectrography of the high-energy radiation. An important advantage of the proposed detector is its fast response time, about 1 ns, essentially limited only by the recombination time of minority carriers. Notably, the fast response comes without any degradation in brightness. When the scintillator is implemented in a qualified semiconductor material (such as InP or GaAs), the photo-detector and associated circuits can be epitaxially integrated on the scintillator slab and the structure can be stacked-up to achieve virtually any desired absorption capability

  4. 46 CFR 129.360 - Semiconductor-rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor-rectifier systems. 129.360 Section 129.360... INSTALLATIONS Power Sources and Distribution Systems § 129.360 Semiconductor-rectifier systems. (a) Each semiconductor-rectifier system must have an adequate heat-removal system to prevent overheating. (b) If a...

  5. 46 CFR 120.360 - Semiconductor rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 120.360 Section 120.360... INSTALLATION Power Sources and Distribution Systems § 120.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents overheating. (b) Where a...

  6. Coherent dynamics in semiconductors

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher

    1998-01-01

    enhanced in quantum confined lower-dimensional systems, where exciton and biexciton effects dominate the spectra even at room temperature. The coherent dynamics of excitons are at modest densities well described by the optical Bloch equations and a number of the dynamical effects known from atomic......Ultrafast nonlinear optical spectroscopy is used to study the coherent dynamics of optically excited electron-hole pairs in semiconductors. Coulomb interaction implies that the optical inter-band transitions are dominated, at least at low temperatures, by excitonic effects. They are further...... and molecular systems are found and studied in the exciton-biexciton system of semiconductors. At densities where strong exciton interactions, or many-body effects, become dominant, the semiconductor Bloch equations present a more rigorous treatment of the phenomena Ultrafast degenerate four-wave mixing is used...

  7. Ag-based semiconductor photocatalysts in environmental purification

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jiade; Fang, Wen [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Yu, Changlin, E-mail: yuchanglinjx@163.com [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); School of Environment Engineering and biology Engineering, Guangdong University of Petrochemical Technology, Maoming, 525000 Guangdong Province (China); Zhou, Wanqin [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); State Key Laboratory of Photocatalysis on Energy and Environment, Fuzhou University, Fuzhou, 350002 (China); Zhu, Lihua [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Xie, Yu, E-mail: xieyu_121@163.com [College of Environment and Chemical Engineering, Nanchang Hangkong University, Nanchang 330063, Jiangxi (China)

    2015-12-15

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO{sub 2}, ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  8. Ag-based semiconductor photocatalysts in environmental purification

    International Nuclear Information System (INIS)

    Li, Jiade; Fang, Wen; Yu, Changlin; Zhou, Wanqin; Zhu, Lihua; Xie, Yu

    2015-01-01

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO 2 , ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  9. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    Science.gov (United States)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  10. Mechanisms of current flow in metal-semiconductor ohmic contacts

    International Nuclear Information System (INIS)

    Blank, T. V.; Gol'dberg, Yu. A.

    2007-01-01

    Published data on the properties of metal-semiconductor ohmic contacts and mechanisms of current flow in these contacts (thermionic emission, field emission, thermal-field emission, and also current flow through metal shunts) are reviewed. Theoretical dependences of the resistance of an ohmic contact on temperature and the charge-carrier concentration in a semiconductor were compared with experimental data on ohmic contacts to II-VI semiconductors (ZnSe, ZnO), III-V semiconductors (GaN, AlN, InN, GaAs, GaP, InP), Group IV semiconductors (SiC, diamond), and alloys of these semiconductors. In ohmic contacts based on lightly doped semiconductors, the main mechanism of current flow is thermionic emission with the metal-semiconductor potential barrier height equal to 0.1-0.2 eV. In ohmic contacts based on heavily doped semiconductors, the current flow is effected owing to the field emission, while the metal-semiconductor potential barrier height is equal to 0.3-0.5 eV. In alloyed In contacts to GaP and GaN, a mechanism of current flow that is not characteristic of Schottky diodes (current flow through metal shunts formed by deposition of metal atoms onto dislocations or other imperfections in semiconductors) is observed

  11. Life-cycle assessment of semiconductors

    CERN Document Server

    Boyd, Sarah B

    2012-01-01

    Life-Cycle Assessment of Semiconductors presents the first and thus far only available transparent and complete life cycle assessment of semiconductor devices. A lack of reliable semiconductor LCA data has been a major challenge to evaluation of the potential environmental benefits of information technologies (IT). The analysis and results presented in this book will allow a higher degree of confidence and certainty in decisions concerning the use of IT in efforts to reduce climate change and other environmental effects. Coverage includes but is not limited to semiconductor manufacturing trends by product type and geography, unique coverage of life-cycle assessment, with a focus on uncertainty and sensitivity analysis of energy and global warming missions for CMOS logic devices, life cycle assessment of flash memory and life cycle assessment of DRAM. The information and conclusions discussed here will be highly relevant and useful to individuals and institutions. The book also: Provides a detailed, complete a...

  12. Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing

    Directory of Open Access Journals (Sweden)

    Feng Sun

    2018-03-01

    Full Text Available Dopant-segregated source/drain contacts in a p-channel Schottky-barrier metal-oxide semiconductor field-effect transistor (SB-MOSFET require further hole Schottky barrier height (SBH regulation toward sub-0.1 eV levels to improve their competitiveness with conventional field-effect transistors. Because of the solubility limits of dopants in silicon, the requirements for effective hole SBH reduction with dopant segregation cannot be satisfied using mono-implantation. In this study, we demonstrate a potential solution for further SBH tuning by implementing the dual implantation of boron (B and aluminum (Al in combination with microwave annealing (MWA. By using such a method, not only has the lowest hole SBH ever with 0.07 eV in NiSi/n-Si contacts been realized, but also the annealing duration of MWA was sharply reduced to 60 s. Moreover, we investigated the SBH tuning mechanisms of the dual-implanted diodes with microwave annealing, including the dopant segregation, activation effect, and dual-barrier tuning effect of Al. With the selection of appropriate implantation conditions, the dual implantation of B and Al combined with the MWA technique shows promise for the fabrication of future p-channel SB-MOSFETs with a lower thermal budget.

  13. Apparatus for testing semiconductor devices and capacitors

    International Nuclear Information System (INIS)

    York, R.A.

    1984-01-01

    An apparatus is provided for testing semiconductor devices. The apparatus tests the impedance of the semiconductor devices in both conducting and non-conducting states to detect semiconductors whose impedance in the conducting state is too high or whose impedance in the non-conducting state is too low. The apparatus uses a battery source for low voltage d.c. The circuitry for detecting when the impedance is too high in the conducting state includes a lamp in series with the battery source and the semiconductor device, whereby the impedance of the semiconductor device determines whether sufficient current will flow through the lamp to cause the lamp to illuminate. A d.c. to d.c. converter is provided to boost the voltage from the battery source to a relatively high voltage d.c. The relatively high voltage d.c. can be connected by a switch to circuitry for detecting when the impedance of the semiconductor device in the non-conducting state is too low. The circuitry for detecting when the impedance of the semiconductor device is too low includes a resistor which senses the current flowing in the device and converts the current into a voltage proportional to the leakage current. This voltage is then compared against a fixed reference. Further circuitry is provided for providing a visual indication when the voltage representative of leakage in relation to the reference signal indicates that there is excessive current flow through the semiconductor device

  14. Rectification at Graphene-Semiconductor Interfaces: Zero-Gap Semiconductor-Based Diodes

    Directory of Open Access Journals (Sweden)

    S. Tongay

    2012-01-01

    Full Text Available Using current-voltage (I-V, capacitance-voltage (C-V, and electric-field-modulated Raman measurements, we report on the unique physics and promising technical applications associated with the formation of Schottky barriers at the interface of a one-atom-thick zero-gap semiconductor (graphene and conventional semiconductors. When chemical-vapor-deposited graphene is transferred onto n-type Si, GaAs, 4H-SiC, and GaN semiconductor substrates, there is a strong van-der-Waals attraction that is accompanied by charge transfer across the interface and the formation of a rectifying (Schottky barrier. Thermionic-emission theory in conjunction with the Schottky-Mott model within the context of bond-polarization theory provides a surprisingly good description of the electrical properties. Applications can be made to sensors, where in forward bias there is exponential sensitivity to changes in the Schottky-barrier height due to the presence of absorbates on the graphene, and to analog devices, for which Schottky barriers are integral components. Such applications are promising because of graphene’s mechanical stability, its resistance to diffusion, its robustness at high temperatures, and its demonstrated capability to embrace multiple functionalities.

  15. Reflection technique for thermal mapping of semiconductors

    Science.gov (United States)

    Walter, Martin J.

    1989-06-20

    Semiconductors may be optically tested for their temperatures by illuminating them with tunable monochromatic electromagnetic radiation and observing the light reflected off of them. A transition point will occur when the wavelength of the light corresponds with the actual band gap energy of the semiconductor. At the transition point, the image of the semiconductor will appreciably darken as the light is transmitted through it, rather than being reflected off of it. The wavelength of the light at the transition point corresponds to the actual band gap energy and the actual temperature of the semiconductor.

  16. Porous and Nanoporous Semiconductors and Emerging Applications

    Directory of Open Access Journals (Sweden)

    Helmut Föll

    2006-01-01

    Full Text Available Pores in single-crystalline semiconductors can be produced in a wide range of geometries and morphologies, including the “nanometer” regime. Porous semiconductors may have properties completely different from the bulk, and metamaterials with, for example, optical properties not encountered in natural materials are emerging. Possible applications of porous semiconductors include various novel sensors, but also more “exotic” uses as, for example, high explosives or electrodes for micro-fuel cells. The paper briefly reviews pore formation (including more applied aspects of large area etching, properties of porous semiconductors, and emerging applications.

  17. Emission and Absorption Entropy Generation in Semiconductors

    DEFF Research Database (Denmark)

    Reck, Kasper; Varpula, Aapo; Prunnila, Mika

    2013-01-01

    While emission and absorption entropy generation is well known in black bodies, it has not previously been studied in semiconductors, even though semiconductors are widely used for solar light absorption in modern solar cells [1]. We present an analysis of the entropy generation in semiconductor...... materials due to emission and absorption of electromagnetic radiation. It is shown that the emission and absorption entropy generation reduces the fundamental limit on the efficiency of any semiconductor solar cell even further than the Landsberg limit. The results are derived from purely thermodynamical...

  18. From plasma immersion ion implantation to deposition: A historical perspective on principles and trends

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2001-06-14

    Plasma immersion techniques of surface modification are known under a myriad of names. The family of techniques reaches from pure plasma ion implantation, to ion implantation and deposition hybrid modes, to modes that are essentially plasma film deposition with substrate bias. In the most general sense, all plasma immersion techniques have in common that the surface of a substrate (target) is exposed to plasma and that relatively high substrate bias is applied. The bias is usually pulsed. In this review, the roots of immersion techniques are explored, some going back to the 1800s, followed by a discussion of the groundbreaking works of Adler and Conrad in the 1980s. In the 1990s, plasma immersion techniques matured in theoretical understanding, scaling, and the range of applications. First commercial facilities are now operational. Various immersion concepts are compiled and explained in this review. While gas (often nitrogen) ion implantation dominated the early years, film-forming immersion techniques and semiconductor processing gained importance. In the 1980s and 1990s we have seen exponential growth of the field but signs of slowdown are clear since 1998. Nevertheless, plasma immersion techniques have found, and will continue to have, an important place among surface modification techniques.

  19. Forschungszentrum Rossendorf, Institute of Ion Beam Physics and Materials Research. Annual report 2004

    International Nuclear Information System (INIS)

    Borany, J. von; Heera, V.; Helm, M.; Jaeger, H.U.; Moeller, W.

    2005-01-01

    The following topics are dealt with: Silicon based electrically driven microcavity LED, ultraviolet electroluminescence from a Gd-implanted Si-metal-oxide-semiconductor device, semiconductor quantum-cascade lasers, ion beam synthesis and morphology of semiconductor memories, ion implantation, films, sputtering, ion-beam induced destabilization of nanoparticles. (HSI)

  20. Forschungszentrum Rossendorf, Institute of Ion Beam Physics and Materials Research. Annual report 2004

    Energy Technology Data Exchange (ETDEWEB)

    Borany, J. von; Heera, V.; Helm, M.; Jaeger, H.U.; Moeller, W. (eds.)

    2005-07-01

    The following topics are dealt with: Silicon based electrically driven microcavity LED, ultraviolet electroluminescence from a Gd-implanted Si-metal-oxide-semiconductor device, semiconductor quantum-cascade lasers, ion beam synthesis and morphology of semiconductor memories, ion implantation, films, sputtering, ion-beam induced destabilization of nanoparticles. (HSI)

  1. Advances in semiconductor photodetectors for scintillators

    International Nuclear Information System (INIS)

    Farrell, R.; Olschner, F.; Shah, K.; Squillante, M.R.

    1997-01-01

    Semiconductors photodetectors have long seemed an attractive alternative for scintillation detection, but only recently have semiconductor photodiodes been proven suitable for some room temperature applications. There are many applications, however for which the performance of standard silicon p-i-n photodiodes is not satisfactory. This article reviews recent progress in two different families of novel semiconductor photodetectors: (1) wide bandgap compound semiconductors and (2) silicon photodetectors with enhanced signal-to-noise ratio. The compounds discussed and compared in this paper are HgI 2 , PbI 2 , InI, TlBr, TlBr 1-x I x and HgBr 1-x I x . The paper will also examine unity gain silicon drift diodes and avalanche photodiodes with maximum room temperature gain greater than 10000. (orig.)

  2. Why are mini-implants lost: the value of the implantation technique!

    Science.gov (United States)

    Romano, Fabio Lourenço; Consolaro, Alberto

    2015-01-01

    The use of mini-implants have made a major contribution to orthodontic treatment. Demand has aroused scientific curiosity about implant placement procedures and techniques. However, the reasons for instability have not yet been made totally clear. The aim of this article is to establish a relationship between implant placement technique and mini-implant success rates by means of examining the following hypotheses: 1) Sites of poor alveolar bone and little space between roots lead to inadequate implant placement; 2) Different sites require mini-implants of different sizes! Implant size should respect alveolar bone diameter; 3) Properly determining mini-implant placement site provides ease for implant placement and contributes to stability; 4) The more precise the lancing procedures, the better the implant placement technique; 5) Self-drilling does not mean higher pressures; 6) Knowing where implant placement should end decreases the risk of complications and mini-implant loss.

  3. Why are mini-implants lost: The value of the implantation technique!

    Directory of Open Access Journals (Sweden)

    Fabio Lourenço Romano

    2015-02-01

    Full Text Available The use of mini-implants have made a major contribution to orthodontic treatment. Demand has aroused scientific curiosity about implant placement procedures and techniques. However, the reasons for instability have not yet been made totally clear. The aim of this article is to establish a relationship between implant placement technique and mini-implant success rates by means of examining the following hypotheses: 1 Sites of poor alveolar bone and little space between roots lead to inadequate implant placement; 2 Different sites require mini-implants of different sizes! Implant size should respect alveolar bone diameter; 3 Properly determining mini-implant placement site provides ease for implant placement and contributes to stability; 4 The more precise the lancing procedures, the better the implant placement technique; 5 Self-drilling does not mean higher pressures; 6 Knowing where implant placement should end decreases the risk of complications and mini-implant loss.

  4. Implantation induced electrical isolation of sulphur doped GaN xAs1-x layers

    International Nuclear Information System (INIS)

    Ahmed, S.; Lin, J.; Haq, A.; Sealy, B.

    2005-01-01

    The study of III-N-V semiconductor alloys, especially GaN x As 1-x has been increasing in the last few years. The strong dependence of the band gap on the nitrogen content has made this material important for a variety of applications, including long wavelength optoelectronic devices and high efficiency solar cells. We report on the effects of sulphur doping implants on the achieved electrical isolation in GaN x As 1-x layers using proton bombardment. Sulphur ions were implanted in MOCVD-grown GaN x As 1-x layers (1.4 μm thick with nominal x = 1%) with multiple energies creating approximately uniform doping profiles in the range of about 1 x 10 18 -5 x 10 19 cm -3 . Several proton implants were performed in order to find the threshold dose (minimum dose to achieve maximum sheet resistivity) for the electrical isolation of n-type GaN x As 1-x layers. Results show that the sheet resistance of n-type layers can be increased by about five orders of magnitude by proton implantation and the threshold dose to convert a conductive layer to a highly resistive one depends on the original free carrier concentration. The study of annealing temperature dependence of sheet resistivity in proton-isolated GaN x As 1-x layers shows that the electrical isolation can be preserved up to 450 and 500 deg. C when the implantation is performed at RT and 77 K with threshold dose, respectively. These results for n-type GaN x As 1-x layers are novel and have ramifications for device engineers

  5. Laser Cooling of 2-6 Semiconductors

    Science.gov (United States)

    2016-08-12

    AFRL-AFOSR-JP-TR-2016-0067 Laser Cooling of II-VI Semiconductors Qihua Xiong NANYANG TECHNOLOGICAL UNIVERSITY Final Report 08/12/2016 DISTRIBUTION A...From - To) 15 May 2013 to 14 May 2016 4. TITLE AND SUBTITLE Laser Cooling of II-VI Semiconductors 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA2386-13-1...13. SUPPLEMENTARY NOTES 14. ABSTRACT The breakthrough of laser cooling in semiconductor has stimulated strong interest in further scaling up towards

  6. Isotopically controlled semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Haller, Eugene E.

    2006-06-19

    The following article is an edited transcript based on the Turnbull Lecture given by Eugene E. Haller at the 2005 Materials Research Society Fall Meeting in Boston on November 29, 2005. The David Turnbull Lectureship is awarded to recognize the career of a scientist who has made outstanding contributions to understanding materials phenomena and properties through research, writing, and lecturing, as exemplified by the life work of David Turnbull. Haller was named the 2005 David Turnbull Lecturer for his 'pioneering achievements and leadership in establishing the field of isotopically engineered semiconductors; for outstanding contributions to materials growth, doping and diffusion; and for excellence in lecturing, writing, and fostering international collaborations'. The scientific interest, increased availability, and technological promise of highly enriched isotopes have led to a sharp rise in the number of experimental and theoretical studies with isotopically controlled semiconductor crystals. This article reviews results obtained with isotopically controlled semiconductor bulk and thin-film heterostructures. Isotopic composition affects several properties such as phonon energies, band structure, and lattice constant in subtle, but, for their physical understanding, significant ways. Large isotope-related effects are observed for thermal conductivity in local vibrational modes of impurities and after neutron transmutation doping. Spectacularly sharp photoluminescence lines have been observed in ultrapure, isotopically enriched silicon crystals. Isotope multilayer structures are especially well suited for simultaneous self- and dopant-diffusion studies. The absence of any chemical, mechanical, or electrical driving forces makes possible the study of an ideal random-walk problem. Isotopically controlled semiconductors may find applications in quantum computing, nanoscience, and spintronics.

  7. Primary prevention of peri-implantitis: Managing peri-implant mucositis

    OpenAIRE

    Jepsen, Søren; Berglundh, Tord; Genco, Robert; Aass, Anne Merete; Demirel, Korkud; Derks, Jan; Figuero, Elena; Giovannoli, Jean Louis; Goldstein, Moshe; LAMBERT, France; Ortiz-Vigon, Alberto; Polyzois, Ioannis; Salvi, Giovanni; Schwarz, Frank; Serino, Giovanni

    2015-01-01

    Abstract AIMS: Over the past decades, the placement of dental implants has become a routine procedure in the oral rehabilitation of fully and partially edentulous patients. However, the number of patients/implants affected by peri-implant diseases is increasing. As there are--in contrast to periodontitis--at present no established and predictable concepts for the treatment of peri-implantitis, primary prevention is of key importance. The management of peri-implant mucositis is considere...

  8. Semiconductor Nanocrystals for Biological Imaging

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Aihua; Gu, Weiwei; Larabell, Carolyn; Alivisatos, A. Paul

    2005-06-28

    Conventional organic fluorophores suffer from poor photo stability, narrow absorption spectra and broad emission feature. Semiconductor nanocrystals, on the other hand, are highly photo-stable with broad absorption spectra and narrow size-tunable emission spectra. Recent advances in the synthesis of these materials have resulted in bright, sensitive, extremely photo-stable and biocompatible semiconductor fluorophores. Commercial availability facilitates their application in a variety of unprecedented biological experiments, including multiplexed cellular imaging, long-term in vitro and in vivo labeling, deep tissue structure mapping and single particle investigation of dynamic cellular processes. Semiconductor nanocrystals are one of the first examples of nanotechnology enabling a new class of biomedical applications.

  9. Progress in semiconductor drift detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Walton, J.; Gatti, E.

    1985-01-01

    Progress in testing semiconductor drift detectors is reported. Generally better position and energy resolutions were obtained than resolutions published previously. The improvement is mostly due to new electronics better matched to different detectors. It is shown that semiconductor drift detectors are becoming versatile and reliable detectors for position and energy measurements

  10. Photoelectronic properties of semiconductors

    CERN Document Server

    Bube, Richard H

    1992-01-01

    The interaction between light and electrons in semiconductors forms the basis for many interesting and practically significant properties. This book examines the fundamental physics underlying this rich complexity of photoelectronic properties of semiconductors, and will familiarise the reader with the relatively simple models that are useful in describing these fundamentals. The basic physics is also illustrated with typical recent examples of experimental data and observations. Following introductory material on the basic concepts, the book moves on to consider a wide range of phenomena, including photoconductivity, recombination effects, photoelectronic methods of defect analysis, photoeffects at grain boundaries, amorphous semiconductors, photovoltaic effects and photoeffects in quantum wells and superlattices. The author is Professor of Materials Science and Electrical Engineering at Stanford University, and has taught this material for many years. He is an experienced author, his earlier books having fo...

  11. Osteogenesis and Morphology of the Peri-Implant Bone Facing Dental Implants

    Directory of Open Access Journals (Sweden)

    Marco Franchi

    2004-01-01

    Full Text Available This study investigated the influence of different implant surfaces on peri-implant osteogenesis and implant face morphology of peri-implant tissues during the early (2 weeks and complete healing period (3 months. Thirty endosseous titanium implants (conic screws with differently treated surfaces (smooth titanium = SS, titanium plasma sprayed = TPS, sand-blasted zirconium oxide = Zr-SLA were implanted in femur and tibiae diaphyses of two mongrel sheep. Histological sections of the implants and surrounding tissues obtained by sawing and grinding techniques were observed under light microscopy (LM. The peri-implant tissues of other samples were mechanically detached from the corresponding implants to be processed for SEM observation. Two weeks after implantation, we observed osteogenesis (new bone trabeculae around all implant surfaces only where a gap was present at the host bone-metal interface. No evident bone deposition was detectable where threads of the screws were in direct contact with the compact host bone. Distance osteogenesis predominated in SS implants, while around rough surfaces (TPS and Zr-SLA, both distance and contact osteogenesis were present. At SEM analysis 2 weeks after implantation, the implant face of SS peri-implant tissue showed few, thin, newly formed, bone trabeculae immersed in large, loose, marrow tissue with blood vessels. Around the TPS screws, the implant face of the peri-implant tissue was rather irregular because of the rougher metal surface. Zr-SLA screws showed more numerous, newly formed bone trabeculae crossing marrow spaces and also needle-like crystals in bone nodules indicating an active mineralising process. After 3 months, all the screws appeared osseointegrated, being almost completely covered by a compact, mature, newly formed bone. However, some marrow spaces rich in blood vessels and undifferentiated cells were in contact with the metal surface. By SEM analysis, the implant face of the peri-implant

  12. Survival of dental implants placed in sites of previously failed implants.

    Science.gov (United States)

    Chrcanovic, Bruno R; Kisch, Jenö; Albrektsson, Tomas; Wennerberg, Ann

    2017-11-01

    To assess the survival of dental implants placed in sites of previously failed implants and to explore the possible factors that might affect the outcome of this reimplantation procedure. Patients that had failed dental implants, which were replaced with the same implant type at the same site, were included. Descriptive statistics were used to describe the patients and implants; survival analysis was also performed. The effect of systemic, environmental, and local factors on the survival of the reoperated implants was evaluated. 175 of 10,096 implants in 98 patients were replaced by another implant at the same location (159, 14, and 2 implants at second, third, and fourth surgeries, respectively). Newly replaced implants were generally of similar diameter but of shorter length compared to the previously placed fixtures. A statistically significant greater percentage of lost implants were placed in sites with low bone quantity. There was a statistically significant difference (P = 0.032) in the survival rates between implants that were inserted for the first time (94%) and implants that replaced the ones lost (73%). There was a statistically higher failure rate of the reoperated implants for patients taking antidepressants and antithrombotic agents. Dental implants replacing failed implants had lower survival rates than the rates reported for the previous attempts of implant placement. It is suggested that a site-specific negative effect may possibly be associated with this phenomenon, as well as the intake of antidepressants and antithrombotic agents. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  13. Sub-meninges implantation reduces immune response to neural implants.

    Science.gov (United States)

    Markwardt, Neil T; Stokol, Jodi; Rennaker, Robert L

    2013-04-15

    Glial scar formation around neural interfaces inhibits their ability to acquire usable signals from the surrounding neurons. To improve neural recording performance, the inflammatory response and glial scarring must be minimized. Previous work has indicated that meningeally derived cells participate in the immune response, and it is possible that the meninges may grow down around the shank of a neural implant, contributing to the formation of the glial scar. This study examines whether the glial scar can be reduced by placing a neural probe completely below the meninges. Rats were implanted with sets of loose microwire implants placed either completely below the meninges or implanted conventionally with the upper end penetrating the meninges, but not attached to the skull. Histological analysis was performed 4 weeks following surgical implantation to evaluate the glial scar. Our results found that sub-meninges implants showed an average reduction in reactive astrocyte activity of 63% compared to trans-meninges implants. Microglial activity was also reduced for sub-meninges implants. These results suggest that techniques that isolate implants from the meninges offer the potential to reduce the encapsulation response which should improve chronic recording quality and stability. Published by Elsevier B.V.

  14. Semiconductor sensors

    International Nuclear Information System (INIS)

    Hartmann, Frank

    2011-01-01

    Semiconductor sensors have been around since the 1950s and today, every high energy physics experiment has one in its repertoire. In Lepton as well as Hadron colliders, silicon vertex and tracking detectors led to the most amazing physics and will continue doing so in the future. This contribution tries to depict the history of these devices exemplarily without being able to honor all important developments and installations. The current understanding of radiation damage mechanisms and recent R and D topics demonstrating the future challenges and possible technical solutions for the SLHC detectors are presented. Consequently semiconductor sensor candidates for an LHC upgrade and a future linear collider are also briefly introduced. The work presented here is a collage of the work of many individual silicon experts spread over several collaborations across the world.

  15. Terahertz Nonlinear Optics in Semiconductors

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias C.

    2013-01-01

    We demonstrate the nonlinear optical effects – selfphase modulation and saturable absorption of a single-cycle THz pulse in a semiconductor. Resulting from THz-induced modulation of Drude plasma, these nonlinear optical effects, in particular, lead to self-shortening and nonlinear spectral...... breathing of a single-cycle THz pulse in a semiconductor....

  16. Squeezing in an injection-locked semiconductor laser

    Science.gov (United States)

    Inoue, S.; Machida, S.; Yamamoto, Y.; Ohzu, H.

    1993-09-01

    The intensity-noise properties of an injection-locked semiconductor laser were studied experimentally. The constant-current-driven semiconductor laser producing the amplitude-squeezed state whose intensity noise was reduced below the standard quantum limit (SQL) by 0.72 dB was injection-locked by an external master laser. The measured intensity-noise level of the injection-locked semiconductor laser was 0.91 dB below the SQL. This experimental result indicates that a phase-coherent amplitude-squeezed state or squeezed vacuum state together with a reference local oscillator wave can be generated directly by semiconductor laser systems.

  17. Scalloped Implant-Abutment Connection Compared to Conventional Flat Implant-Abutment Connection

    DEFF Research Database (Denmark)

    Starch-Jensen, Thomas; Christensen, Ann-Eva; Lorenzen, Henning

    2017-01-01

    OBJECTIVES: The objective was to test the hypothesis of no difference in implant treatment outcome after installation of implants with a scalloped implant-abutment connection compared to a flat implant-abutment connection. MATERIAL AND METHODS: A MEDLINE (PubMed), Embase and Cochrane library search......-abutment connection. There were no significant differences between the two treatment modalities regarding professional or patient-reported outcome measures. Meta-analysis disclosed a mean difference of peri-implant marginal bone loss of 1.56 mm (confidence interval: 0.87 to 2.25), indicating significant more bone...... loss around implants with a scalloped implant-abutment connection. CONCLUSIONS: A scalloped implant-abutment connection seems to be associated with higher peri-implant marginal bone loss compared to a flat implant-abutment connection. Therefore, the hypothesis of the present systematic review must...

  18. Imaging of common breast implants and implant-related complications: A pictorial essay.

    Science.gov (United States)

    Shah, Amisha T; Jankharia, Bijal B

    2016-01-01

    The number of women undergoing breast implant procedures is increasing exponentially. It is, therefore, imperative for a radiologist to be familiar with the normal and abnormal imaging appearances of common breast implants. Diagnostic imaging studies such as mammography, ultrasonography, and magnetic resonance imaging are used to evaluate implant integrity, detect abnormalities of the implant and its surrounding capsule, and detect breast conditions unrelated to implants. Magnetic resonance imaging of silicone breast implants, with its high sensitivity and specificity for detecting implant rupture, is the most reliable modality to asses implant integrity. Whichever imaging modality is used, the overall aim of imaging breast implants is to provide the pertinent information about implant integrity, detect implant failures, and to detect breast conditions unrelated to the implants, such as cancer.

  19. Waveguide based external cavity semiconductor lasers

    NARCIS (Netherlands)

    Oldenbeuving, Ruud; Klein, E.J.; Offerhaus, Herman L.; Lee, Christopher James; Verhaegen, M.; Boller, Klaus J.

    2012-01-01

    We report on progress of the project waveguide based external cavity semiconductor laser (WECSL) arrays. Here we present the latest results on our efforts to mode lock an array of tunable, external cavity semiconductor lasers.

  20. Immediate Direct-To-Implant Breast Reconstruction Using Anatomical Implants

    Directory of Open Access Journals (Sweden)

    Sung-Eun Kim

    2014-09-01

    Full Text Available BackgroundIn 2012, a new anatomic breast implant of form-stable silicone gel was introduced onto the Korean market. The intended use of this implant is in the area of aesthetic breast surgery, and many reports are promising. Thus far, however, there have been no reports on the use of this implant for breast reconstruction in Korea. We used this breast implant in breast reconstruction surgery and report our early experience.MethodsFrom November 2012 to April 2013, the Natrelle Style 410 form-stable anatomically shaped cohesive silicone gel-filled breast implant was used in 31 breasts of 30 patients for implant breast reconstruction with an acellular dermal matrix. Patients were treated with skin-sparing mastectomies followed by immediate breast reconstruction.ResultsThe mean breast resection volume was 240 mL (range, 83-540 mL. The mean size of the breast implants was 217 mL (range, 125-395 mL. Breast shape outcomes were considered acceptable. Infection and skin thinning occurred in one patient each, and hematoma and seroma did not occur. Three cases of wound dehiscence occurred, one requiring surgical intervention, while the others healed with conservative treatment in one month. Rippling did not occur. So far, complications such as capsular contracture and malrotation of breast implant have not yet arisen.ConclusionsBy using anatomic breast implants in breast reconstruction, we achieved satisfactory results with aesthetics better than those obtained with round breast implants. Therefore, we concluded that the anatomical implant is suitable for breast reconstruction.

  1. Metal-semiconductor, composite radiation detectors

    International Nuclear Information System (INIS)

    Orvis, W.J.; Yee, J.H.; Fuess, D.A.

    1991-12-01

    In 1989, Naruse and Hatayama of Toshiba published a design for an increased efficiency x-ray detector. The design increased the efficiency of a semiconductor detector by interspersing layers of high-z metal within it. Semiconductors such as silicon make good, high-resolution radiation detectors, but they have low efficiency because they are low-z materials (z = 14). High-z metals, on the other hand, are good absorbers of high-energy photons. By interspersing high-z metal layers with semiconductor layers, Naruse and Hatayama combined the high absorption efficiency of the high-z metals with good detection capabilities of a semiconductor. This project is an attempt to use the same design to produce a high- efficiency gamma ray detector. By their nature, gamma rays require thicker metal layers to efficiently absorb them. These thicker layers change the behavior of the detector by reducing the resolution, compared to a solid state detector, and shifting the photopeak by a predictable amount. During the last year, we have modeled parts of the detector and have nearly completed a prototype device. 2 refs

  2. Market survey of semiconductors

    International Nuclear Information System (INIS)

    Mackintosh, I.M.; Diegel, D.; Brown, A.; Brinker, C.S. den

    1977-06-01

    Examination of technology and product trends over the range of current and future products in integrated circuits and optoelectronic displays. Analysis and forecast of major economic influences that affect the production costs of integrated circuits and optoelectronic displays. Forecast of the applications and markets for integrated circuits up to 1985 in West Europe, the USA and Japan. Historic development of the semiconductor industry and the prevailing tendencies - factors which influence success in the semiconductor industry. (orig.) [de

  3. Introductory semiconductor device physics

    CERN Document Server

    Parker, Greg

    2004-01-01

    ATOMS AND BONDINGThe Periodic TableIonic BondingCovalent BondingMetallic bondingvan der Waals BondingStart a DatabaseENERGY BANDS AND EFFECTIVE MASSSemiconductors, Insulators and MetalsSemiconductorsInsulatorsMetalsThe Concept of Effective MassCARRIER CONCENTRATIONS IN SEMICONDUCTORSDonors and AcceptorsFermi-LevelCarrier Concentration EquationsDonors and Acceptors Both PresentCONDUCTION IN SEMICONDUCTORSCarrier DriftCarrier MobilitySaturated Drift VelocityMobility Variation with TemperatureA Derivation of Ohm's LawDrift Current EquationsSemiconductor Band Diagrams with an Electric Field Presen

  4. Implants in free fibula flap supporting dental rehabilitation - Implant and peri-implant related outcomes of a randomized clinical trial.

    Science.gov (United States)

    Kumar, Vinay V; Ebenezer, Supriya; Kämmerer, Peer W; Jacob, P C; Kuriakose, Moni A; Hedne, Naveen; Wagner, Wilfried; Al-Nawas, Bilal

    2016-11-01

    The objective of this study was to assess the difference in success rates of implants when using two or four implant-supported-overdentures following segmental mandibular reconstruction with fibula free flap. This prospective, parallel designed, randomized clinical study was conducted with 1:1 ratio. At baseline, all participants already had segmental reconstruction of mandible with free fibula flap. The participants were randomized into two groups: Group-I received implant-supported-overdentures on two tissue-level implants and Group-II received implant-supported-overdentures on four tissue-level implants. Success rates of the implants were evaluated at 3 months, 6 months and 12 months following implant loading using marginal bone level changes as well as peri-implant indices (Buser et al., 1990). 52 patients were randomized into two treatment groups (26 each), out of which 18 patients (36 implants) of Group-I and 17 patients (68 implants) of Group-II were evaluated. One implant in Group-I was lost due to infective complications and one patient in the same group had superior barrel necrosis. There was a statistically significant increase at both time points (p = 0.03, p = 0.04 at 6 months, 12 months) in the amount of marginal bone loss in Group-I (0.4 mm, 0.5 mm at 6 months, 12 months) as compared to Group-II (0.1 mm, 0.2 mm at 6 months, 12 months). There were no clinically significant changes peri-implant parameters between both groups. Peri-implant soft tissue hyperplasia was seen in both groups, 32% of implants at 3-months, 26% at 6-months and 3% at 12-months follow-up. The results of this study show that patients with 2-implant-supported-overdentures had higher marginal bone loss as compared to patients with 4-implant-supported-overdentures. There were no clinically significant differences in peri-implant soft tissue factors in patients with 2- or 4-implant-supported-overdentures. Hyperplastic peri-implant tissues are common in the early implant

  5. Imaging of common breast implants and implant-related complications: A pictorial essay

    Directory of Open Access Journals (Sweden)

    Amisha T Shah

    2016-01-01

    Full Text Available The number of women undergoing breast implant procedures is increasing exponentially. It is, therefore, imperative for a radiologist to be familiar with the normal and abnormal imaging appearances of common breast implants. Diagnostic imaging studies such as mammography, ultrasonography, and magnetic resonance imaging are used to evaluate implant integrity, detect abnormalities of the implant and its surrounding capsule, and detect breast conditions unrelated to implants. Magnetic resonance imaging of silicone breast implants, with its high sensitivity and specificity for detecting implant rupture, is the most reliable modality to asses implant integrity. Whichever imaging modality is used, the overall aim of imaging breast implants is to provide the pertinent information about implant integrity, detect implant failures, and to detect breast conditions unrelated to the implants, such as cancer.

  6. Positive and negative gain exceeding unity magnitude in silicon quantum well metal-oxide-semiconductor transistors

    Science.gov (United States)

    Hu, Gangyi; Wijesinghe, Udumbara; Naquin, Clint; Maggio, Ken; Edwards, H. L.; Lee, Mark

    2017-10-01

    Intrinsic gain (AV) measurements on Si quantum well (QW) n-channel metal-oxide-semiconductor (NMOS) transistors show that these devices can have |AV| > 1 in quantum transport negative transconductance (NTC) operation at room temperature. QW NMOS devices were fabricated using an industrial 45 nm technology node process incorporating ion implanted potential barriers to define a lateral QW in the conduction channel under the gate. While NTC at room temperature arising from transport through gate-controlled QW bound states has been previously established, it was unknown whether the quantum NTC mechanism could support gain magnitude exceeding unity. Bias conditions were found giving both positive and negative AV with |AV| > 1 at room temperature. This result means that QW NMOS devices could be useful in amplifier and oscillator applications.

  7. Fundamentals of semiconductor lasers

    CERN Document Server

    Numai, Takahiro

    2015-01-01

    This book explains physics under the operating principles of semiconductor lasers in detail based on the experience of the author, dealing with the first manufacturing of phase-shifted DFB-LDs and recent research on transverse modes.   The book also bridges a wide gap between journal papers and textbooks, requiring only an undergraduate-level knowledge of electromagnetism and quantum mechanics, and helps readers to understand journal papers where definitions of some technical terms vary, depending on the paper. Two definitions of the photon density in the rate equations and two definitions of the phase-shift in the phase-shifted DFB-LD are explained, and differences in the calculated results are indicated, depending on the definitions.    Readers can understand the physics of semiconductor lasers and analytical tools for Fabry-Perot LDs, DFB-LDs, and VCSELs and will be stimulated to develop semiconductor lasers themselves.

  8. Retrograde peri-implantitis

    Directory of Open Access Journals (Sweden)

    Mohamed Jumshad

    2010-01-01

    Full Text Available Retrograde peri-implantitis constitutes an important cause for implant failure. Retrograde peri-implantitis may sometimes prove difficult to identify and hence institution of early treatment may not be possible. This paper presents a report of four cases of (the implant placed developing to retrograde peri-implantitis. Three of these implants were successfully restored to their fully functional state while one was lost due to extensive damage. The paper highlights the importance of recognizing the etiopathogenic mechanisms, preoperative assessment, and a strong postoperative maintenance protocol to avoid retrograde peri-implant inflammation.

  9. Dispersion-induced nonlinearities in semiconductors

    DEFF Research Database (Denmark)

    Mørk, Jesper; Mecozzi, A.

    2002-01-01

    A dispersive and saturable medium is shown, under very general conditions, to possess ultrafast dynamic behaviour due to non-adiabatic polarisation dynamics. Simple analytical expressions relating the effect to the refractive index dispersion of a semiconductor ire derived and the magnitude...... of the equivalent Kerr coefficient is shown to be in qualitative agreement with measurements on active semiconductor waveguides....

  10. Molecular semiconductors photoelectrical properties and solar cells

    CERN Document Server

    Rees, Ch

    1985-01-01

    During the past thirty years considerable efforts have been made to design the synthesis and the study of molecular semiconductors. Molecular semiconductors - and more generally molecular materials - involve interactions between individual subunits which can be separately synthesized. Organic and metallo-organic derivatives are the basis of most of the molecular materials. A survey of the literature on molecular semiconductors leaves one rather confused. It does seem to be very difficult to correlate the molecular structure of these semiconductors with their experimental electrical properties. For inorganic materials a simple definition delimits a fairly homogeneous family. If an inorganic material has a conductivity intermediate between that of an 12 1 1 3 1 1 insulator « 10- n- cm- ) and that of a metal (> 10 n- cm- ), then it is a semiconductor and will exhibit the characteristic properties of this family, such as junction formation, photoconductivity, and the photovoltaic effect. For molecular compounds,...

  11. Semiconductor quantum-dot lasers and amplifiers

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher; Borri, Paola; Ledentsov, N. N.

    2002-01-01

    -power surface emitting VCSELs. We investigated the ultrafast dynamics of quantum-dot semiconductor optical amplifiers. The dephasing time at room temperature of the ground-state transition in semiconductor quantum dots is around 250 fs in an unbiased amplifier, decreasing to below 50 fs when the amplifier...... is biased to positive net gain. We have further measured gain recovery times in quantum dot amplifiers that are significantly lower than in bulk and quantum-well semiconductor optical amplifiers. This is promising for future demonstration of quantum dot devices with high modulation bandwidth...

  12. Experimental demonstration on the ultra-low source/drain resistance by metal-insulator-semiconductor contact structure in In0.53Ga0.47As field-effect transistors

    Directory of Open Access Journals (Sweden)

    M.-H. Liao

    2013-09-01

    Full Text Available In this work, we demonstrate the ultra-low contact resistivity of 6.7 × 10−9 Ω/cm2 by inserting 0.6-nm-ZnO between Al and InGaAs(Si: 1.5 × 1019 cm−3. The metal-insulator-semiconductor tunneling diode with 0.6-nm-ZnO exhibits nearly zero (0.03 eV barrier height. We apply this contact structure on the source/drain of implant-free In0.53Ga0.47As quantum-well metal-oxide-semiconductor field- effect transistors. The excellent on-state performance such as saturation drain current of 3 × 10−4 A/μm and peak transconductance of 1250 μS/μm is obtained which is attributed to the ultra-low source/drain resistance of 190 Ω-μm.

  13. Semiconductors: A 21st Century Social Studies Topic.

    Science.gov (United States)

    Sunal, Cynthia

    2000-01-01

    Addresses the reasons for exploring semiconductor technology and organic semiconductors in schools for either middle school or secondary students in an interdisciplinary social studies and science environment. Provides background information on transistors and semiconductors. Offers three social studies lessons and related science lessons if an…

  14. Radiation effects in semiconductors

    CERN Document Server

    2011-01-01

    There is a need to understand and combat potential radiation damage problems in semiconductor devices and circuits. Written by international experts, this book explains the effects of radiation on semiconductor devices, radiation detectors, and electronic devices and components. These contributors explore emerging applications, detector technologies, circuit design techniques, new materials, and innovative system approaches. The text focuses on how the technology is being used rather than the mathematical foundations behind it. It covers CMOS radiation-tolerant circuit implementations, CMOS pr

  15. The nonlinear carrier transport in a bipolar semiconductor sample

    International Nuclear Information System (INIS)

    Konin, A

    2008-01-01

    A theory of formation of the voltage across a bipolar semiconductor sample due to the current flow accounting for the energy band bending near the semiconductor surfaces is presented. The non-equilibrium space charge layers near the sample surfaces and the boundary conditions in the real metal-semiconductor junction have been taken into account. It is shown that the voltage-current relation of a thin sample at weak injection differs essentially from the classical Ohm's law and becomes nonlinear for certain semiconductor surface parameters. Complex voltage-current relations and the photo-induced electromotive force measurements allow determining the surface recombination rate in the real metal-semiconductor junction and the semiconductor surface potential

  16. Cochlear implants in children implanted in Jordan: A parental overview.

    Science.gov (United States)

    Alkhamra, Rana A

    2015-07-01

    Exploring the perspective of parents on the cochlear implant process in Jordan. Sixty parents of deaf children were surveyed on the information gathering process prior to cochlear implant surgery, and their implant outcome expectations post-surgery. Whether child or parent characteristics may impact parents' post-surgical expectations was explored. Although parents used a variety of information sources when considering a cochlear implant, the ear, nose and throat doctor comprised their major source of information (60%). Parents received a range of information prior to cochlear implant but agreed (93.3%) on the need for a multidisciplinary team approach. Post-surgically, parents' expected major developments in the areas of spoken language (97%), and auditory skills (100%). Receiving education in mainstream schools (92%) was expected too. Parents perceived the cochlear implant decision as the best decision they can make for their child (98.3%). A significant correlation was found between parents contentment with the cochlear implant decision and expecting developments in the area of reading and writing (r=0.7). Child's age at implantation and age at hearing loss diagnosis significantly affected parents' post-implant outcome expectations (pparents agree on the need for a comprehensive multidisciplinary team approach during the different stages of the cochlear implant process. Parents' education about cochlear implants prior to the surgery can affect their post-surgical outcome expectations. The parental perspective presented in this study can help professionals develop better understanding of parents' needs and expectations and henceforth improve their services and support during the different stages of the cochlear implant process. Copyright © 2015. Published by Elsevier Ireland Ltd.

  17. Construction of an optical semiconductor amplifier starting from a Fabry-Perot semiconductor laser; Construccion de un amplificador optico de semiconductor a partir de un laser de semiconductor Fabry-Perot

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, E.; Soto, H.; Marquez, H.; Valles V, N. [Departamento de Electronica y Telecomunicaciones, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada. Km. 107, Carretera Tijuana-Ensenada, 22860 Ensenada, Baja California (Mexico)

    2000-07-01

    A methodology to convert a semiconductor laser Fabry-Perot (SL-FP) in a semiconductor optical amplifier (SOA) is presented. In order to suppress the cavity resonant an optical thin film coating was deposited on the facets of the SL-FP. The experiment was carried out putting on service a new monitoring technique that consist in the observation of the laser power spectrum during the antireflection coatings deposition. This allows to determine the moment were the facets reflectivity is minimum. The SOA obtained was characterized for different polarization currents. (Author)

  18. Is There a Better Semiconductor Firm in Taiwan?

    Directory of Open Access Journals (Sweden)

    Cheng-Wen LEE

    2017-06-01

    Full Text Available The authors investigate the firm value of semiconductor industry in Taiwan in order to differentiate between outstanding semiconductor company and weak semiconductor company. The authors use GAP which is analytical tool to perform four steps: the original maps, sorting maps with clustering trees, summary sufficient maps, and sediment maps. The findings offer a good instruction for policymakers to make related policies in semiconductor firms. Additionally, the paper helps to find firms needed to be reformed through classification by GAP.

  19. Long time follow up of implant therapy and treatment of peri-implantitis.

    Science.gov (United States)

    Roos-Jansåker, Ann-Marie

    2007-01-01

    Dental implants have become an often used alternative to replace missing teeth, resulting in an increasing percentage of the adult population with implant supported prosthesis. Although favourable long-term results of implant therapy have been reported, infections occur. Until recently few reports included data on peri-implant infections, possibly underestimating this complication of implant treatment. It is possible that some infections around implants develop slowly and that with time peri-implantitis will be a common complication to implant therapy as an increasing number of patients have had their implants for a long time (>10 years). Data on treatment of peri-implant lesions are scarce leaving the clinician with limited guidance regarding choice of treatment. The aim of this thesis was to study the frequency of implant loss and presence of peri-implant lesions in a group of patients supplied with Brånemark implants 9-14 years ago, and to relate these events to patient and site specific characteristics. Moreover three surgical treatment modalities for peri-implantitis were evaluated. The thesis is based on six studies; Studies I-III included 218 patients and 1057 implants followed for 9-14 years evaluating prevalence of, and factors related to implant loss (Paper I) and prevalence of peri-implant infections and related factors (Paper I-III). Study IV is a review describing different treatment modalities of peri-implant infections. Study V is a prospective cohort study involving 36 patients and 65 implants, evaluating the use of a bone substitute with or without the use of a resorbable membrane. Study VI is a case series with 12 patients and 16 implants, evaluating a bone substitute in combination with a resorbable membrane and submerged healing. This thesis demonstrated that: After 9-14 years the survival rates of dental implants are high (95.7%). Implant loss seems to cluster within patients and are related to periodontitis evidenced as bone loss on

  20. Hydrogen in semiconductors II

    CERN Document Server

    Nickel, Norbert H; Weber, Eicke R; Nickel, Norbert H

    1999-01-01

    Since its inception in 1966, the series of numbered volumes known as Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. The "Willardson and Beer" Series, as it is widely known, has succeeded in publishing numerous landmark volumes and chapters. Not only did many of these volumes make an impact at the time of their publication, but they continue to be well-cited years after their original release. Recently, Professor Eicke R. Weber of the University of California at Berkeley joined as a co-editor of the series. Professor Weber, a well-known expert in the field of semiconductor materials, will further contribute to continuing the series' tradition of publishing timely, highly relevant, and long-impacting volumes. Some of the recent volumes, such as Hydrogen in Semiconductors, Imperfections in III/V Materials, Epitaxial Microstructures, High-Speed Heterostructure Devices, Oxygen in Silicon, and others promise that this tradition ...

  1. Thienoacene-based organic semiconductors.

    Science.gov (United States)

    Takimiya, Kazuo; Shinamura, Shoji; Osaka, Itaru; Miyazaki, Eigo

    2011-10-11

    Thienoacenes consist of fused thiophene rings in a ladder-type molecular structure and have been intensively studied as potential organic semiconductors for organic field-effect transistors (OFETs) in the last decade. They are reviewed here. Despite their simple and similar molecular structures, the hitherto reported properties of thienoacene-based OFETs are rather diverse. This Review focuses on four classes of thienoacenes, which are classified in terms of their chemical structures, and elucidates the molecular electronic structure of each class. The packing structures of thienoacenes and the thus-estimated solid-state electronic structures are correlated to their carrier transport properties in OFET devices. With this perspective of the molecular structures of thienoacenes and their carrier transport properties in OFET devices, the structure-property relationships in thienoacene-based organic semiconductors are discussed. The discussion provides insight into new molecular design strategies for the development of superior organic semiconductors. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. The Physics of Semiconductors

    Science.gov (United States)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  3. Crystal-field investigations of rare-earth-doped wide band gap semiconductors

    CERN Multimedia

    Muller, S; Wahl, U

    Crystal field investigations play a central role in the studies of rare earth doped semiconductors. Optical stark level spectroscopy and lattice location studies of radioactive rare earth isotopes implanted at ISOLDE have provided important insight into these systems during the last years. It has been shown that despite a major site preference of the probe atoms in the lattice, several defect configurations do exist. These sites are visible in the optical spectra but their origin and nature aren't deducible from these spectra alone. Hyperfine measurements on the other hand should reveal these defect configurations and yield the parameters necessary for a description of the optical properties at the atomic scale. In order to study the crystal field with this alternative approach, we propose a new concept for perturbed $\\gamma\\gamma$-angular correlation (PAC) experiments at ISOLDE based on digital signal processing in contrast to earlier analog setups. The general functionality of the spectrometer is explained ...

  4. Hip Implant Systems

    Science.gov (United States)

    ... Implants and Prosthetics Metal-on-Metal Hip Implants Hip Implants Share Tweet Linkedin Pin it More sharing options Linkedin Pin it Email Print Hip implants are medical devices intended to restore mobility ...

  5. Luminescence in colloidal Mn2+-doped semiconductor nanocrystals

    International Nuclear Information System (INIS)

    Beaulac, Remi; Archer, Paul I.; Gamelin, Daniel R.

    2008-01-01

    Recent advances in nanocrystal doping chemistries have substantially broadened the variety of photophysical properties that can be observed in colloidal Mn 2+ -doped semiconductor nanocrystals. A brief overview is provided, focusing on Mn 2+ -doped II-VI semiconductor nanocrystals prepared by direct chemical synthesis and capped with coordinating surface ligands. These Mn 2+ -doped semiconductor nanocrystals are organized into three major groups according to the location of various Mn 2+ -related excited states relative to the energy gap of the host semiconductor nanocrystals. The positioning of these excited states gives rise to three distinct relaxation scenarios following photoexcitation. A brief outlook on future research directions is provided. - Graphical abstract: Mn 2+ -doped semiconductor nanocrystals are organized into three major groups according to the location of various Mn 2+ -related excited states relative to the energy gap of the host semiconductor nanocrystals. The positioning of these excited states gives rise to three distinct relaxation scenarios following photoexcitation

  6. Semiconductor photocatalysis principles and applications

    CERN Document Server

    Kisch, Horst

    2014-01-01

    Focusing on the basic principles of semiconductor photocatalysis, this book also gives a brief introduction to photochemistry, photoelectrochemistry, and homogeneous photocatalysis. In addition, the author - one of the leading authorities in the field - presents important environmental and practical aspects. A valuable, one-stop source for all chemists, material scientists, and physicists working in this area, as well as novice researchers entering semiconductor photocatalysis.

  7. Introduction to cathodoluminescence in semiconductors

    International Nuclear Information System (INIS)

    Dussac, M.

    1985-01-01

    The use of cathodoluminescence in a scanning electron microscope leads to acquire a spectrum in a place of the sample surface, or to register the intensity profile of a special emission band along a scanning line, or also to realize a map of the irradiated sample. Composition variations can then, at ambient temperature, be determined, also defects can be shown, together with grain joints and dislocations, radiative and non radiative regions can be distinguished and, at low temperature, elementary processes of luminescence can be studied and impurities identified in semiconductors. Through this analysis method is applicable to every insulating or semiconductor material (that is to say to every material having a gap), in this article only crystalline semi-conductor will be studied [fr

  8. Two-fluid hydrodynamic model for semiconductors

    DEFF Research Database (Denmark)

    Maack, Johan Rosenkrantz; Mortensen, N. Asger; Wubs, Martijn

    2018-01-01

    The hydrodynamic Drude model (HDM) has been successful in describing the optical properties of metallic nanostructures, but for semiconductors where several different kinds of charge carriers are present an extended theory is required. We present a two-fluid hydrodynamic model for semiconductors...

  9. Age at implantation and auditory memory in cochlear implanted children.

    Science.gov (United States)

    Mikic, B; Miric, D; Nikolic-Mikic, M; Ostojic, S; Asanovic, M

    2014-05-01

    Early cochlear implantation, before the age of 3 years, provides the best outcome regarding listening, speech, cognition an memory due to maximal central nervous system plasticity. Intensive postoperative training improves not only auditory performance and language, but affects auditory memory as well. The aim of this study was to discover if the age at implantation affects auditory memory function in cochlear implanted children. A total of 50 cochlear implanted children aged 4 to 8 years were enrolled in this study: early implanted (1-3y) n = 27 and late implanted (4-6y) n = 23. Two types of memory tests were used: Immediate Verbal Memory Test and Forward and Backward Digit Span Test. Early implanted children performed better on both verbal and numeric tasks of auditory memory. The difference was statistically significant, especially on the complex tasks. Early cochlear implantation, before the age of 3 years, significantly improve auditory memory and contribute to better cognitive and education outcomes.

  10. Advances in semiconductor lasers

    CERN Document Server

    Coleman, James J; Jagadish, Chennupati

    2012-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scien

  11. Breast reconstruction - implants

    Science.gov (United States)

    Breast implants surgery; Mastectomy - breast reconstruction with implants; Breast cancer - breast reconstruction with implants ... harder to find a tumor if your breast cancer comes back. Getting breast implants does not take as long as breast reconstruction ...

  12. Power semiconductor device adaptive cooling assembly

    NARCIS (Netherlands)

    2011-01-01

    The invention relates to a power semiconductor device (100) cooling assembly for cooling a power semiconductor device (100), wherein the assembly comprises an actively cooled heat sink (102) and a controller (208; 300), wherein the controller (208; 300) is adapted for adjusting the cooling

  13. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    Science.gov (United States)

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  14. Controlling Molecular Doping in Organic Semiconductors.

    Science.gov (United States)

    Jacobs, Ian E; Moulé, Adam J

    2017-11-01

    The field of organic electronics thrives on the hope of enabling low-cost, solution-processed electronic devices with mechanical, optoelectronic, and chemical properties not available from inorganic semiconductors. A key to the success of these aspirations is the ability to controllably dope organic semiconductors with high spatial resolution. Here, recent progress in molecular doping of organic semiconductors is summarized, with an emphasis on solution-processed p-type doped polymeric semiconductors. Highlighted topics include how solution-processing techniques can control the distribution, diffusion, and density of dopants within the organic semiconductor, and, in turn, affect the electronic properties of the material. Research in these areas has recently intensified, thanks to advances in chemical synthesis, improved understanding of charged states in organic materials, and a focus on relating fabrication techniques to morphology. Significant disorder in these systems, along with complex interactions between doping and film morphology, is often responsible for charge trapping and low doping efficiency. However, the strong coupling between doping, solubility, and morphology can be harnessed to control crystallinity, create doping gradients, and pattern polymers. These breakthroughs suggest a role for molecular doping not only in device function but also in fabrication-applications beyond those directly analogous to inorganic doping. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Precision of fit between implant impression coping and implant replica pairs for three implant systems.

    Science.gov (United States)

    Nicoll, Roxanna J; Sun, Albert; Haney, Stephan; Turkyilmaz, Ilser

    2013-01-01

    The fabrication of an accurately fitting implant-supported fixed prosthesis requires multiple steps, the first of which is assembling the impression coping on the implant. An imprecise fit of the impression coping on the implant will cause errors that will be magnified in subsequent steps of prosthesis fabrication. The purpose of this study was to characterize the 3-dimensional (3D) precision of fit between impression coping and implant replica pairs for 3 implant systems. The selected implant systems represent the 3 main joint types used in implant dentistry: external hexagonal, internal trilobe, and internal conical. Ten impression copings and 10 implant replicas from each of the 3 systems, B (Brånemark System), R (NobelReplace Select), and A (NobelActive) were paired. A standardized aluminum test body was luted to each impression coping, and the corresponding implant replica was embedded in a stone base. A coordinate measuring machine was used to quantify the maximum range of displacement in a vertical direction as a function of the tightening force applied to the guide pin. Maximum angular displacement in a horizontal plane was measured as a function of manual clockwise or counterclockwise rotation. Vertical and rotational positioning was analyzed by using 1-way analysis of variance (ANOVA). The Fisher protected least significant difference (PLSD) multiple comparisons test of the means was applied when the F-test in the ANOVA was significant (α=.05). The mean and standard deviation for change in the vertical positioning of impression copings was 4.3 ±2.1 μm for implant system B, 2.8 ±4.2 μm for implant system R, and 20.6 ±8.8 μm for implant system A. The mean and standard deviation for rotational positioning was 3.21 ±0.98 degrees for system B, 2.58 ±1.03 degrees for system R, and 5.30 ±0.79 degrees for system A. The P-value for vertical positioning between groups A and B and between groups A and R was <.001. No significant differences were found for

  16. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    Science.gov (United States)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  17. Nanocavity effects on misfit accommodation in semiconductors

    International Nuclear Information System (INIS)

    Myers, S.M.; Follstaedt, D.M.; Floro, J.A.; Lee, S.R.; Dawson, L.R.; Reno, J.L.

    1997-04-01

    The authors report an experimental and theoretical examination of the interaction of dislocations with microscopic cavities in semiconductors and the consequences for strain relaxation in heteroepitaxial structures. Dislocation-mediated relaxation and control of the resulting defect microstructure is central to the exploitation of such heterostructures in devices, and they demonstrate here that the introduction of nanometer-scale voids provides a means of strongly influencing this microstructural evolution. Methods for nanocavity formation using He ion implantation and annealing were developed for Si, SiGe on Si, GaAs, and InGaAs on GaAs. In detailed microstructural studies of SiGe on Si, cavities in the interfacial zone were shown to bind dislocations strongly. This effect reduced the excursion of dislocations into the nearby matrix, although threads into the SiGe overlayer were not eliminated. Interfacial cavities also increased the rate of stress relaxation by more than an order of magnitude as a result of enhanced nucleation of misfit dislocations. Further, in the presence of such cavities, the development of thickness variations in the overlayer during relaxation was suppressed. A theoretical model was developed to describe semiquantitatively the forces on dislocations arising from the combined influences of cavities, misfit strain, and the external surface. Predictions of this model are in accord with microstructural observations

  18. Semiconductor Optics

    CERN Document Server

    Klingshirn, Claus F

    2012-01-01

    This updated and enlarged new edition of Semiconductor Optics provides an introduction to and an overview of semiconductor optics from the IR through the visible to the UV, including linear and nonlinear optical properties, dynamics, magneto and electrooptics, high-excitation effects and laser processes, some applications, experimental techniques and group theory. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered extend from physics to materials science and optoelectronics. Significantly updated chapters add coverage of current topics such as electron hole plasma, Bose condensation of excitons and meta materials. Over 120 problems, chapter introductions and a detailed index make it the key textbook for graduate students in physics. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered ...

  19. Dissipative chaos in semiconductor superlattices

    Directory of Open Access Journals (Sweden)

    F. Moghadam

    2008-03-01

    Full Text Available In this paper the motion of electron in a miniband of a semiconductor superlattice (SSL under the influence of external electric and magnetic fields is investigated. The electric field is applied in a direction perpendicular to the layers of the semiconductor superlattice, and the magnetic field is applied in different direction Numerical calculations show conditions led to the possibility of chaotic behaviors.

  20. Ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.

    1986-01-01

    It is the purpose of the present paper to give a review of surface alloy processing by ion implantation. However, rather than covering this vast subject as a whole, the survey is confined to a presentation of the microstructures that can be found in metal surfaces after ion implantation. The presentation is limited to alloys processed by ion implantation proper, that is to processes in which the alloy compositions are altered significantly by direct injection of the implanted ions. The review is introduced by a presentation of the processes taking place during development of the fundamental event in ion implantation - the collision cascade, followed by a summary of the various microstructures which can be formed after ion implantation into metals. This is compared with the variability of microstructures that can be achieved by rapid solidification processing. The microstructures are subsequently discussed in the light of the processes which, as the implantations proceed, take place during and immediately after formation of the individual collision cascades. These collision cascades define the volumes inside which individual ions are slowed down in the implanted targets. They are not only centres for vigorous agitation but also the sources for formation of excess concentrations of point defects, which will influence development of particular microstructures. A final section presents a selection of specific structures which have been observed in different alloy systems. (orig./GSCH)

  1. All-optical packet envelope detection using a slow semiconductor saturable absorber gate and a semiconductor optical amplifier

    NARCIS (Netherlands)

    Porzi, C.; Fresi, F.; Poti, L.; Bogoni, A.; Guina, M.; Orsila, L.; Okhotnikov, O.; Calabretta, N.

    2008-01-01

    Abstract—We propose a simple and effective scheme for alloptical packet envelope detection (AO-PED), exploiting a slow saturable absorber-based vertical cavity semiconductor gate and a semiconductor optical amplifier. A high extinction ratio of 15 dB was measured for the recovered envelope signal.

  2. Analysis and simulation of semiconductor devices

    CERN Document Server

    Selberherr, Siegfried

    1984-01-01

    The invention of semiconductor devices is a fairly recent one, considering classical time scales in human life. The bipolar transistor was announced in 1947, and the MOS transistor, in a practically usable manner, was demonstrated in 1960. From these beginnings the semiconductor device field has grown rapidly. The first integrated circuits, which contained just a few devices, became commercially available in the early 1960s. Immediately thereafter an evolution has taken place so that today, less than 25 years later, the manufacture of integrated circuits with over 400.000 devices per single chip is possible. Coincident with the growth in semiconductor device development, the literature concerning semiconductor device and technology issues has literally exploded. In the last decade about 50.000 papers have been published on these subjects. The advent of so called Very-Large-Scale-Integration (VLSI) has certainly revealed the need for a better understanding of basic device behavior. The miniaturization of the s...

  3. X-ray absorption spectroscopy of semiconductors

    CERN Document Server

    Ridgway, Mark

    2015-01-01

    X-ray Absorption Spectroscopy (XAS) is a powerful technique with which to probe the properties of matter, equally applicable to the solid, liquid and gas phases. Semiconductors are arguably our most technologically-relevant group of materials given they form the basis of the electronic and photonic devices that now so widely permeate almost every aspect of our society. The most effective utilisation of these materials today and tomorrow necessitates a detailed knowledge of their structural and vibrational properties. Through a series of comprehensive reviews, this book demonstrates the versatility of XAS for semiconductor materials analysis and presents important research activities in this ever growing field. A short introduction of the technique, aimed primarily at XAS newcomers, is followed by twenty independent chapters dedicated to distinct groups of materials. Topics span dopants in crystalline semiconductors and disorder in amorphous semiconductors to alloys and nanometric material as well as in-sit...

  4. Semiconductor X-ray spectrometers

    International Nuclear Information System (INIS)

    Muggleton, A.H.F.

    1978-02-01

    An outline is given of recent developments in particle and photon induced x-ray fluorescence (XRF) analysis. Following a brief description of the basic mechanism of semiconductor detector operation a comparison is made between semiconductor detectors, scintillators and gas filled proportional devices. Detector fabrication and cryostat design are described in more detail and the effects of various device parameters on system performance, such as energy resolution, count rate capability, efficiency, microphony, etc. are discussed. The main applications of these detectors in x-ray fluorescence analysis, electron microprobe analysis, medical and pollution studies are reviewed

  5. Compound semiconductor device physics

    CERN Document Server

    Tiwari, Sandip

    2013-01-01

    This book provides one of the most rigorous treatments of compound semiconductor device physics yet published. A complete understanding of modern devices requires a working knowledge of low-dimensional physics, the use of statistical methods, and the use of one-, two-, and three-dimensional analytical and numerical analysis techniques. With its systematic and detailed**discussion of these topics, this book is ideal for both the researcher and the student. Although the emphasis of this text is on compound semiconductor devices, many of the principles discussed will also be useful to those inter

  6. Photocatalytic semiconductors synthesis, characterization, and environmental applications

    CERN Document Server

    Hernández-Ramírez, Aracely

    2014-01-01

    This critical volume examines the different methods used for the synthesis of a great number of photocatalysts, including TiO2, ZnO and other modified semiconductors, as well as characterization techniques used for determining the optical, structural and morphological properties of the semiconducting materials. Additionally, the authors discuss photoelectrochemical methods for determining the light activity of the photocatalytic semiconductors by means of measurement of properties such as band gap energy, flat band potential and kinetics of hole and electron transfer. Photocatalytic Semiconductors: Synthesis, Characterization and Environmental Applications provide an overview of the semiconductor materials from first- to third-generation photocatalysts and their applications in wastewater treatment and water disinfection. The book further presents economic and toxicological aspects in the production and application of photocatalytic materials.

  7. Conductivity-limiting bipolar thermal conductivity in semiconductors

    Science.gov (United States)

    Wang, Shanyu; Yang, Jiong; Toll, Trevor; Yang, Jihui; Zhang, Wenqing; Tang, Xinfeng

    2015-01-01

    Intriguing experimental results raised the question about the fundamental mechanisms governing the electron-hole coupling induced bipolar thermal conduction in semiconductors. Our combined theoretical analysis and experimental measurements show that in semiconductors bipolar thermal transport is in general a “conductivity-limiting” phenomenon, and it is thus controlled by the carrier mobility ratio and by the minority carrier partial electrical conductivity for the intrinsic and extrinsic cases, respectively. Our numerical method quantifies the role of electronic band structure and carrier scattering mechanisms. We have successfully demonstrated bipolar thermal conductivity reduction in doped semiconductors via electronic band structure modulation and/or preferential minority carrier scatterings. We expect this study to be beneficial to the current interests in optimizing thermoelectric properties of narrow gap semiconductors. PMID:25970560

  8. EDITORIAL: Focus on Dilute Magnetic Semiconductors FOCUS ON DILUTE MAGNETIC SEMICONDUCTORS

    Science.gov (United States)

    Chambers, Scott A.; Gallagher, Bryan

    2008-05-01

    This focus issue of New Journal of Physics is devoted to the materials science of dilute magnetic semiconductors (DMS). A DMS is traditionally defined as a diamagnetic semiconductor doped with a few to several atomic per cent of some transition metal with unpaired d electrons. Several kinds of dopant-dopant interactions can in principle couple the dopant spins leading to a ferromagnetic ground state in a dilute magnetic system. These include superexchange, which occurs principally in oxides and only between dopants with one intervening oxygen, and double exchange, in which dopants of different formal charges exchange an electron. In both of these mechanisms, the ferromagnetic alignment is not critically dependent on free carriers in the host semiconductor because exchange occurs via bonds. A third mechanism, discovered in the last few years, involves electrons associated with lattice defects that can apparently couple dopant spins. This mechanism is not well understood. Finally, the most desirable mechanism is carrier-mediated exchange interaction in which the dopant spins are coupled by itinerant electrons or holes in the host semiconductor. This mechanism introduces a fundamental link between magnetic and electrical transport properties and offers the possibility of new spintronic functionalities. In particular electrical gate control of ferromagnetism and the use of spin polarized currents to carry signals for analog and digital applications. The spin light emitting diode is a prototypical device of this kind that has been extensively used to characterize the extent of spin polarization in the active light emitting semiconductor heterostructure. The prototypical carrier mediated ferromagnetic DMS is Mn-doped GaAs. This and closely related narrow gap III-V materials have been very extensively studied. Their properties are generally quite well understood and they have led to important insights into fundamental properties of ferromagnetic systems with strong spin

  9. Modelling colliding-pulse mode-locked semiconductor lasers

    DEFF Research Database (Denmark)

    Bischoff, Svend

    or to determine the optimum operation conditions. The purpose of this thesis is to elucidate some of the physics of interest in the field of semiconductor laser modelling, semiconductor optics and fiber optics. To be more specific we will investigate: The Colliding-Pulse Mode-Locked (CPM) Quantum Well (QW) laser...... diode; the excitonic semiconductor response for varying material thickness in the case of linear optics; and modulational instability of electromagnetic waves in media with spatially varying non-linearity....

  10. Electronic structure of filled tetrahedral semiconductors

    NARCIS (Netherlands)

    Wood, D.M.; Zunger, Alex; Groot, R. de

    1985-01-01

    We discuss the susceptibility of zinc-blende semiconductors to band-structure modification by insertion of small atoms at their tetrahedral interstitial states. GaP is found to become a direct-gap semiconductor with two He atoms present at its interstitial sites; Si does not. Analysis of the factors

  11. Anisotropy-based crystalline oxide-on-semiconductor material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  12. Temperature dependent electronic conduction in semiconductors

    International Nuclear Information System (INIS)

    Roberts, G.G.; Munn, R.W.

    1980-01-01

    This review describes the temperature dependence of bulk-controlled electronic currents in semiconductors. The scope of the article is wide in that it contrasts conduction mechanisms in inorganic and organic solids and also single crystal and disordered semiconductors. In many experimental situations it is the metal-semiconductor contact or the interface between two dissimilar semiconductors that governs the temperature dependence of the conductivity. However, in order to keep the length of the review within reasonable bounds, these topics have been largely avoided and emphasis is therefore placed on bulk-limited currents. A central feature of electronic conduction in semiconductors is the concentrations of mobile electrons and holes that contribute to the conductivity. Various statistical approaches may be used to calculate these densities which are normally strongly temperature dependent. Section 1 emphasizes the relationship between the position of the Fermi level, the distribution of quantum states, the total number of electrons available and the absolute temperature of the system. The inclusion of experimental data for several materials is designed to assist the experimentalist in his interpretation of activation energy curves. Sections 2 and 3 refer to electronic conduction in disordered solids and molecular crystals, respectively. In these cases alternative approaches to the conventional band theory approach must be considered. For example, the velocities of the charge carriers are usually substantially lower than those in conventional inorganic single crystal semiconductors, thus introducing the possibility of an activated mobility. Some general electronic properties of these materials are given in the introduction to each of these sections and these help to set the conduction mechanisms in context. (orig.)

  13. Blasting detonators incorporating semiconductor bridge technology

    Energy Technology Data Exchange (ETDEWEB)

    Bickes, R.W. Jr.

    1994-05-01

    The enormity of the coal mine and extraction industries in Russia and the obvious need in both Russia and the US for cost savings and enhanced safety in those industries suggests that joint studies and research would be of mutual benefit. The author suggests that mine sites and well platforms in Russia offer an excellent opportunity for the testing of Sandia`s precise time-delay semiconductor bridge detonators, with the potential for commercialization of the detonators for Russian and other world markets by both US and Russian companies. Sandia`s semiconductor bridge is generating interest among the blasting, mining and perforation industries. The semiconductor bridge is approximately 100 microns long, 380 microns wide and 2 microns thick. The input energy required for semiconductor bridge ignition is one-tenth the energy required for conventional bridgewire devices. Because semiconductor bridge processing is compatible with other microcircuit processing, timing and logic circuits can be incorporated onto the chip with the bridge. These circuits can provide for the precise timing demanded for cast effecting blasting. Indeed tests by Martin Marietta and computer studies by Sandia have shown that such precise timing provides for more uniform rock fragmentation, less fly rock, reduce4d ground shock, fewer ground contaminants and less dust. Cost studies have revealed that the use of precisely timed semiconductor bridges can provide a savings of $200,000 per site per year. In addition to Russia`s vast mineral resources, the Russian Mining Institute outside Moscow has had significant programs in rock fragmentation for many years. He anticipated that collaborative studies by the Institute and Sandia`s modellers would be a valuable resource for field studies.

  14. Does the number of implants have any relation with peri-implant disease?

    Directory of Open Access Journals (Sweden)

    Bernardo Born PASSONI

    2014-10-01

    Full Text Available Objective: The aim of this study was to evaluate the relationship between the number of pillar implants of implant-supported fixed prostheses and the prevalence of periimplant disease. Material and Methods: Clinical and radiographic data were obtained for the evaluation. The sample consisted of 32 patients with implant-supported fixed prostheses in function for at least one year. A total of 161 implants were evaluated. Two groups were formed according to the number of implants: G1 ≤5 implants and G2 >5 implants. Data collection included modified plaque index (MPi, bleeding on probing (BOP, probing depth (PD, width of keratinized mucosa (KM and radiographic bone loss (BL. Clinical and radiographic data were grouped for each implant in order to conduct the diagnosis of mucositis or peri-implantitis. Results: Clinical parameters were compared between groups using Student’s t test for numeric variables (KM, PD and BL and Mann-Whitney test for categorical variables (MPi and BOP. KM and BL showed statistically significant differences between both groups (p<0.001. Implants from G1 – 19 (20.43% – compared with G2 – 26 (38.24% – showed statistically significant differences regarding the prevalence of peri-implantitis (p=0.0210. Conclusion: It seems that more than 5 implants in total fixed rehabilitations increase bone loss and consequently the prevalence of implants with periimplantitis. Notwithstanding, the number of implants does not have any influence on the prevalence of mucositis.

  15. Wake fields in semiconductor plasmas

    International Nuclear Information System (INIS)

    Berezhiani, V.I.; Mahajan, S.M.

    1994-05-01

    It is shown that an intense short laser pulse propagating through a semiconductor plasma will generated longitudinal Langmuir waves in its wake. The measurable wake field can be used as a diagnostic to study nonlinear optical phenomena. For narrow gap semiconductors (for examples InSb) with Kane-type dispersion relation, the system can simulate, at currently available laser powers, the physics underlying wake-field accelerators. (author). 9 refs, 1 fig

  16. 33rd International Conference on the Physics of Semiconductors

    International Nuclear Information System (INIS)

    2017-01-01

    Preface to the Proceedings of the 33rd International Conference on the Physics of Semiconductors, Beijing, 2016 Shaoyun Huang 1 , Yingjie Xing 1 , Yang Ji 2 , Dapeng Yu 3 , and Hongqi Xu 1 1 Beijing Key Laboratory of Quantum Devices, Key Laboratory for the Physics and Chemistry of Nanodevices and Department of Electronics, Peking University, Beijing 100871, China 2 SKLSM, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083, China 3 State Key Laboratory for Mesoscopic Physics, Department of Physics, Peking University, Beijing 100871, China From July 31 st to August 5 th , 2016, the 33rd International Conference on the Physics of Semiconductors (ICPS 2016) was held in Beijing, China, with a great success. The International Conference on the Physics of Semiconductors began in the 1950’s and is a premier biennial meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties. Reflecting the state of the art developments in semiconductor physics, ICPS 2016 served as an international forum for scholars, researchers, and specialists across the globe to discuss future research directions and technological advancements. The main topics of ICPS 2016 included: • Material growth, structural properties and characterization, phonons • Wide-bandgap semiconductors • Narrow-bandgap semiconductors • Carbon: nanotubes and graphene • 2D Materials beyond graphene • Organic semiconductors • Topological states of matter, topological Insulators and Weyl semimetals • Transport in heterostructures • Quantum Hall effects • Spintronics and spin phenomena • Electron devices and applications • Optical properties, optoelectronics, solar cells • Quantum optics, nanophotonics • Quantum information • Other topics in semiconductor physics and devices • Special topic: Majorana fermions in solid state (paper)

  17. Construction of an optical semiconductor amplifier starting from a Fabry-Perot semiconductor laser

    International Nuclear Information System (INIS)

    Garcia, E.; Soto, H.; Marquez, H.; Valles V, N.

    2000-01-01

    A methodology to convert a semiconductor laser Fabry-Perot (SL-FP) in a semiconductor optical amplifier (SOA) is presented. In order to suppress the cavity resonant an optical thin film coating was deposited on the facets of the SL-FP. The experiment was carried out putting on service a new monitoring technique that consist in the observation of the laser power spectrum during the antireflection coatings deposition. This allows to determine the moment were the facets reflectivity is minimum. The SOA obtained was characterized for different polarization currents. (Author)

  18. Tribological properties of nitrogen implanted and boron implanted steels

    International Nuclear Information System (INIS)

    Kern, K.T.

    1996-01-01

    Samples of a steel with high chrome content was implanted separately with 75 keV nitrogen ions and with 75 keV boron ions. Implanted doses of each ion species were 2-, 4-, and 8 x 10 17 /cm 2 . Retained doses were measured using resonant non-Rutherford Backscattering Spectrometry. Tribological properties were determined using a pin-on-disk test with a 6-mm diameter ruby pin with a velocity of 0.94 m/min. Testing was done at 10% humidity with a load of 377 g. Wear rate and coefficient of friction were determined from these tests. While reduction in the wear rate for nitrogen implanted materials was observed, greater reduction (more than an order of magnitude) was observed for boron implanted materials. In addition, reduction in the coefficient of friction for high-dose boron implanted materials was observed. Nano-indentation revealed a hardened layer near the surface of the material. Results from grazing incidence x-ray diffraction suggest the formation of Fe 2 N and Fe 3 N in the nitrogen implanted materials and Fe 3 B in the boron implanted materials. Results from transmission electron microscopy will be presented

  19. Cochlear implantation in late-implanted adults with prelingual deafness.

    Science.gov (United States)

    Most, Tova; Shrem, Hadas; Duvdevani, Ilana

    2010-01-01

    The purpose of this study was to examine the effect of cochlear implantation (CI) on prelingually deafened participants who were implanted as adults. The effect of the CI was examined with regard to the following variables: communication, family, social skills, education, and work satisfaction with one's life, loneliness, and self-esteem. Thirty-eight adults participated. Four self-report questionnaires were used at 2 points in time: before and after CI. The research findings show significant differences in the reports of most variables before and after implantation. The participants felt better with regard to communication, social skills, education, and work and satisfaction with one's life after implantation in comparison to their feelings before implantation. Furthermore, they felt less lonely after implantation. However, there were no significant differences before and after implantation regarding their feelings within the family and regarding their self-esteem. The results demonstrated the need to evaluate the benefits resulting from the CI not only with traditional clinical measures but with additional measures as well. Furthermore, they demonstrated the benefit of the CI on the positive psychosociological implications of prelingually deafened adults. Copyright © 2010 Elsevier Inc. All rights reserved.

  20. Histology of a dental implant with a platform switched implant-abutment connection

    Directory of Open Access Journals (Sweden)

    Vittoria Perrotti

    2011-10-01

    Full Text Available Background: Peri-implant crestal bone must be stable for aesthetic reasons. Aim of this study was a histologic analysis of an implant with a platform switched implant-abutment connection. Materials and methods: A 32-year-old male patient participated in this study. The patient needed a bilateral mandibular restoration. Four implants were used, and were immediately restored and loaded the same day of insertion. After a 6 weeks healing period, one implant with platform-switched abutment was retrieved with trephine. Before retrieval the implant was osseointegrated and not mobile. On one side of the implant, a 1 mm resorption of the crestal bone was present. On the contrary, on the other side no bone resorption had occurred and about 1 mm of bone was present over the implant shoulder. Results: The bone-implant contact percentage was 65.1 ± 6.3 %. Platform- switching could help in maintaining the height of the peri-implant crestal bone.

  1. Below-bandgap photoreflection spectroscopy of semiconductor laser structures

    International Nuclear Information System (INIS)

    Sotnikov, Aleksandr E; Chernikov, Maksim A; Ryabushkin, Oleg A; Trubenko, P; Moshegov, N; Ovchinnikov, A

    2004-01-01

    A new method of modulated light reflection - below-bandgap photoreflection, is considered. Unlike the conventional photoreflection method, the proposed method uses optical pumping by photons of energy smaller than the bandgap of any layer of a semiconductor structure under study. Such pumping allows one to obtain the modulated reflection spectrum for all layers of the structure without excitation of photoluminescence. This method is especially promising for the study of wide-gap semiconductors. The results of the study of semiconductor structures used in modern high-power multimode semiconductor lasers are presented. (laser applications and other topics in quantum electronics)

  2. Use of radioactive tracers in the semiconductor industry

    International Nuclear Information System (INIS)

    Akerman, Karol

    1975-01-01

    Manufacture of the semiconductor materials comprises production and purification of the raw materials (GeC14 or SiHC13), purification of the elemental semiconductors by metallurgical methods (including zone melting), production and doping of single crystals, dividing the crystals into slices of suitable size, formation of p-n junctions and fabrication of the finished semiconductor devices. In the sequence of operations, the behavior of very small quantities of an element must be monitored, and radioactive tracers are often used to solve these problems. Examples are given of the use of radioactive tracers in the semiconductor industry

  3. Method for depositing high-quality microcrystalline semiconductor materials

    Science.gov (United States)

    Guha, Subhendu [Bloomfield Hills, MI; Yang, Chi C [Troy, MI; Yan, Baojie [Rochester Hills, MI

    2011-03-08

    A process for the plasma deposition of a layer of a microcrystalline semiconductor material is carried out by energizing a process gas which includes a precursor of the semiconductor material and a diluent with electromagnetic energy so as to create a plasma therefrom. The plasma deposits a layer of the microcrystalline semiconductor material onto the substrate. The concentration of the diluent in the process gas is varied as a function of the thickness of the layer of microcrystalline semiconductor material which has been deposited. Also disclosed is the use of the process for the preparation of an N-I-P type photovoltaic device.

  4. Effectiveness of Implant Therapy Analyzed in a Swedish Population: Prevalence of Peri-implantitis.

    Science.gov (United States)

    Derks, J; Schaller, D; Håkansson, J; Wennström, J L; Tomasi, C; Berglundh, T

    2016-01-01

    Peri-implantitis is an inflammatory disease affecting soft and hard tissues surrounding dental implants. As the global number of individuals that undergo restorative therapy through dental implants increases, peri-implantitis is considered as a major and growing problem in dentistry. A randomly selected sample of 588 patients who all had received implant-supported therapy 9 y earlier was clinically and radiographically examined. Prevalence of peri-implantitis was assessed and risk indicators were identified by multilevel regression analysis. Forty-five percent of all patients presented with peri-implantitis (bleeding on probing/suppuration and bone loss >0.5 mm). Moderate/severe peri-implantitis (bleeding on probing/suppuration and bone loss >2 mm) was diagnosed in 14.5%. Patients with periodontitis and with ≥4 implants, as well as implants of certain brands and prosthetic therapy delivered by general practitioners, exhibited higher odds ratios for moderate/severe peri-implantitis. Similarly, higher odds ratios were identified for implants installed in the mandible and with crown restoration margins positioned ≤1.5 mm from the crestal bone at baseline. It is suggested that peri-implantitis is a common condition and that several patient- and implant-related factors influence the risk for moderate/severe peri-implantitis (ClinicalTrials.gov NCT01825772). © International & American Associations for Dental Research 2015.

  5. Diffusivity-mobility relationship for heavily doped semiconductors exhibiting band tails

    International Nuclear Information System (INIS)

    Khan, Arif; Das, Atanu

    2010-01-01

    A relationship between the mobility and diffusivity of semiconductors exhibiting band tails has been presented. The relationship is general enough to be applicable to both non-degenerate and degenerate semiconductors, and to semiconductors with and without band tails. It is suitable for studying electrical transport in these semiconductors.

  6. neutron-Induced Failures in semiconductor Devices

    Energy Technology Data Exchange (ETDEWEB)

    Wender, Stephen Arthur [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-03-13

    Single Event Effects are a very significant failure mode in modern semiconductor devices that may limit their reliability. Accelerated testing is important for semiconductor industry. Considerable more work is needed in this field to mitigate the problem. Mitigation of this problem will probably come from Physicists and Electrical Engineers working together

  7. Producing p-type conductivity in self-compensating semiconductor material

    International Nuclear Information System (INIS)

    Vechten, J.A. van; Woodall, J.M.

    1981-01-01

    This relates to compound type semiconductor materials that exhibit self-compensated n-type conductivity. The process described imparts p-type conductivity to a body of normally n-conductivity self-compensated compound semiconductor material by bombarding it with charged particles, either electrons, protons or ions. Other possible steps include introducing an acceptor impurity and applying a coating onto the crystal body. This technique will allow new semiconductor structures to be made. For example, there are some compound semiconductor materials that exhibit n-conductivity only that have energy gap widths that would permit electrical to light conversion at frequency and colours not readily achieved in semiconductor devices. (U.K.)

  8. Bilateral Poly Implant Prothèse Implant Rupture: An Uncommon Presentation

    Directory of Open Access Journals (Sweden)

    Peter Mallon

    2013-07-01

    Full Text Available Summary: A woman in her 50s underwent delayed bilateral Poly Implant Prothèse implant reconstruction following mastectomy for breast cancer. Symptoms of implant rupture developed 43 months after surgery with an erythematous rash on her trunk. The rash then spread to her reconstructed breast mounds. Initial ultrasound scan and magnetic resonance imaging were normal; however, subsequent magnetic resonance imaging demonstrated left implant rupture only. In theater, following removal of both implants, both were found to be ruptured. The rash on her trunk resolved within 3 weeks in the postoperative period. Chemical analyses of silicone in both implants confirmed a nonauthorized silicone source; in addition, the chemical structure was significantly different between the left and right implant, perhaps explaining the variation in presentation.

  9. Survey of semiconductor physics

    CERN Document Server

    Böer, Karl W

    1992-01-01

    Any book that covers a large variety of subjects and is written by one author lacks by necessity the depth provided by an expert in his or her own field of specialization. This book is no exception. It has been written with the encouragement of my students and colleagues, who felt that an extensive card file I had accumulated over the years of teaching solid state and semiconductor physics would be helpful to more than just a few of us. This file, updated from time to time, contained lecture notes and other entries that were useful in my research and permitted me to give to my students a broader spectrum of information than is available in typical textbooks. When assembling this material into a book, I divided the top­ ics into material dealing with the homogeneous semiconductor, the subject of the previously published Volume 1, and the inhomoge­ neous semiconductor, the subject of this Volume 2. In order to keep the book to a manageable size, sections of tutorial character which can be used as text for a g...

  10. Centro-Apical Self-Organization of Organic Semiconductors in a Line-Printed Organic Semiconductor: Polymer Blend for One-Step Printing Fabrication of Organic Field-Effect Transistors.

    Science.gov (United States)

    Lee, Su Jin; Kim, Yong-Jae; Yeo, So Young; Lee, Eunji; Lim, Ho Sun; Kim, Min; Song, Yong-Won; Cho, Jinhan; Lim, Jung Ah

    2015-09-11

    Here we report the first demonstration for centro-apical self-organization of organic semiconductors in a line-printed organic semiconductor: polymer blend. Key feature of this work is that organic semiconductor molecules were vertically segregated on top of the polymer phase and simultaneously crystallized at the center of the printed line pattern after solvent evaporation without an additive process. The thickness and width of the centro-apically segregated organic semiconductor crystalline stripe in the printed blend pattern were controlled by varying the relative content of the organic semiconductors, printing speed, and solution concentrations. The centro-apical self-organization of organic semiconductor molecules in a printed polymer blend may be attributed to the combination of an energetically favorable vertical phase-separation and hydrodynamic fluids inside the droplet during solvent evaporation. Finally, a centro-apically phase-separated bilayer structure of organic semiconductor: polymer blend was successfully demonstrated as a facile method to form the semiconductor and dielectric layer for OFETs in one- step.

  11. Complications after cardiac implantable electronic device implantations

    DEFF Research Database (Denmark)

    Kirkfeldt, Rikke Esberg; Johansen, Jens Brock; Nohr, Ellen Aagaard

    2013-01-01

    Complications after cardiac implantable electronic device (CIED) treatment, including permanent pacemakers (PMs), cardiac resynchronization therapy devices with defibrillators (CRT-Ds) or without (CRT-Ps), and implantable cardioverter defibrillators (ICDs), are associated with increased patient...

  12. Management of peri-implantitis

    Directory of Open Access Journals (Sweden)

    Jayachandran Prathapachandran

    2012-01-01

    Full Text Available Peri-implantitis is a site-specific infectious disease that causes an inflammatory process in soft tissues, and bone loss around an osseointegrated implant in function. The etiology of the implant infection is conditioned by the status of the tissue surrounding the implant, implant design, degree of roughness, external morphology, and excessive mechanical load. The microorganisms most commonly associated with implant failure are spirochetes and mobile forms of Gram-negative anaerobes, unless the origin is the result of simple mechanical overload. Diagnosis is based on changes of color in the gingiva, bleeding and probing depth of peri-implant pockets, suppuration, X-ray, and gradual loss of bone height around the tooth. Treatment will differ depending upon whether it is a case of peri-implant mucositis or peri-implantitis. The management of implant infection should be focused on the control of infection, the detoxification of the implant surface, and regeneration of the alveolar bone. This review article deals with the various treatment options in the management of peri-implantitis. The article also gives a brief description of the etiopathogenesis, clinical features, and diagnosis of peri-implantitis.

  13. Bloodcompatibility improvement of titanium oxide film modified by phosphorus ion implantation

    International Nuclear Information System (INIS)

    Yang, P.; Leng, Y.X.; Zhao, A.S.; Zhou, H.F.; Xu, L.X.; Hong, S.; Huang, N.

    2006-01-01

    Our recent investigation suggested that Ti-O thin film could be a newly developed antithrombotic material and its thromboresistance could be related to its physical properties of wide gap semiconductor. In this work, titanium oxide film was modified by phosphorus ion implantation and succeeding vacuum annealing. RBS were used to investigate phosphorus distribution profile. Contact angle test results show that phosphorus-doped titanium oxide film becomes more hydrophilic after higher temperature annealing, while its electric conductivity increases. Antithrombotic property of phosphorus-doped titanium oxide thin films was examined by clotting time and platelet adhesion tests. The results suggest that phosphorus doping is an effective way to improve the bloodcompatibility of titanium oxide film, and it is related to the changes of electron structure and surface properties caused by phosphorus doping

  14. Suitability of integrated protection diodes from diverse semiconductor technologies

    NARCIS (Netherlands)

    van Wanum, Maurice; Lebouille, Tom; Visser, Guido; van Vliet, Frank Edward

    2009-01-01

    Abstract In this article diodes from three different semiconductor technologies are compared based on their suitability to protect a receiver. The semiconductor materials involved are silicon, gallium arsenide and gallium nitride. The diodes in the diverse semiconductor technologies themselves are

  15. Magnetic field effects in organic semiconductors : theory and simulations

    NARCIS (Netherlands)

    Kersten, S.P.

    2013-01-01

    Organic semiconductors are a promising class of materials, offering several advantages over inorganic semiconductors. They are light, flexible, easy and cheap to produce, and easily chemically tunable. Organic semiconductors are currently used for lighting applications and in the displays of some

  16. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    Science.gov (United States)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  17. Experimental study on bone tissue reaction around HA implants radiated after implantation

    International Nuclear Information System (INIS)

    Kudo, Masato; Matsui, Yoshiro; Tamura, Sayaka; Chen, Xuan; Uchida, Haruo; Mori, Kimie; Ohno, Kohsuke; Michi, Ken-ichi

    1998-01-01

    This study was conducted to investigate histologically and histomorphometrically the tissue reaction around hydroxylapatite (HA) implants that underwent irradiation in 3 different periods in the course of bone healing after implantation. The cylindrical high-density HA implants were implanted in 48 Japanese white rabbit mandibles. A single 15 Gy dose was applied to the mandible 5, 14, or 28 days after implantation. The rabbits were sacrificed 7, 14, 28, and 90 days after irradiation. Nonirradiated rabbits were used as controls. CMR, labeling with tetracycline and calcein, and non-decalcified specimens stained with toluidine blue were used for histological analyses and histomorphometric measurements. The results were as follows: In the rabbits irradiated 5 days after implantation, the HA-bone contact was observed later than that in the controls and the bone-implant contact surface ratio was lower than that in the controls at examination because necrosis of the newly-formed bone occurred just after irradiation. HA-bone contact of the rabbits irradiated 14 and 28 days after implantation was similar to that of the controls. And, bone remodeling was suppressed in rabbits of each group sacrificed at 90 days after irradiation. The results suggested that a short interval between implantation and irradiation causes direct contact between HA implant and bone and a long lapse of time before irradiation hardly affects the bone-implant contact, but delays bone remodeling. Therefore, it is necessary to prevent overloading the HA implants irradiated after implantation and pay utmost attention to conditions around the bone-implant contact. (author)

  18. High mobility emissive organic semiconductor

    Science.gov (United States)

    Liu, Jie; Zhang, Hantang; Dong, Huanli; Meng, Lingqiang; Jiang, Longfeng; Jiang, Lang; Wang, Ying; Yu, Junsheng; Sun, Yanming; Hu, Wenping; Heeger, Alan J.

    2015-01-01

    The integration of high charge carrier mobility and high luminescence in an organic semiconductor is challenging. However, there is need of such materials for organic light-emitting transistors and organic electrically pumped lasers. Here we show a novel organic semiconductor, 2,6-diphenylanthracene (DPA), which exhibits not only high emission with single crystal absolute florescence quantum yield of 41.2% but also high charge carrier mobility with single crystal mobility of 34 cm2 V−1 s−1. Organic light-emitting diodes (OLEDs) based on DPA give pure blue emission with brightness up to 6,627 cd m−2 and turn-on voltage of 2.8 V. 2,6-Diphenylanthracene OLED arrays are successfully driven by DPA field-effect transistor arrays, demonstrating that DPA is a high mobility emissive organic semiconductor with potential in organic optoelectronics. PMID:26620323

  19. Graded core/shell semiconductor nanorods and nanorod barcodes

    Science.gov (United States)

    Alivisatos, A. Paul; Scher, Erik C.; Manna, Liberato

    2010-12-14

    Graded core/shell semiconductor nanorods and shaped nanorods are disclosed comprising Group II-VI, Group III-V and Group IV semiconductors and methods of making the same. Also disclosed are nanorod barcodes using core/shell nanorods where the core is a semiconductor or metal material, and with or without a shell. Methods of labeling analytes using the nanorod barcodes are also disclosed.

  20. One-stage explant-implant procedure of exposed porous orbital implants

    DEFF Research Database (Denmark)

    Toft, Peter B; Rasmussen, Marie L Roed; Prause, Jan Ulrik

    2011-01-01

    Purpose:  To investigate the risks of implant exposure after a combined explant-implant procedure in patients with an exposed porous orbital implant. Methods:  Twenty-four consecutive patients who had a combined explant-implant procedure of an exposed hydroxyapatite (21) or porous polyethylene (3...... at the same procedure in sockets without profound signs of infection. The procedure carries a possible risk of poor motility....

  1. Influence of phonons on semiconductor quantum emission

    Energy Technology Data Exchange (ETDEWEB)

    Feldtmann, Thomas

    2009-07-06

    A microscopic theory of interacting charge carriers, lattice vibrations, and light modes in semiconductor systems is presented. The theory is applied to study quantum dots and phonon-assisted luminescence in bulk semiconductors and heterostructures. (orig.)

  2. Two-stage implant systems.

    Science.gov (United States)

    Fritz, M E

    1999-06-01

    Since the advent of osseointegration approximately 20 years ago, there has been a great deal of scientific data developed on two-stage integrated implant systems. Although these implants were originally designed primarily for fixed prostheses in the mandibular arch, they have been used in partially dentate patients, in patients needing overdentures, and in single-tooth restorations. In addition, this implant system has been placed in extraction sites, in bone-grafted areas, and in maxillary sinus elevations. Often, the documentation of these procedures has lagged. In addition, most of the reports use survival criteria to describe results, often providing overly optimistic data. It can be said that the literature describes a true adhesion of the epithelium to the implant similar to adhesion to teeth, that two-stage implants appear to have direct contact somewhere between 50% and 70% of the implant surface, that the microbial flora of the two-stage implant system closely resembles that of the natural tooth, and that the microbiology of periodontitis appears to be closely related to peri-implantitis. In evaluations of the data from implant placement in all of the above-noted situations by means of meta-analysis, it appears that there is a strong case that two-stage dental implants are successful, usually showing a confidence interval of over 90%. It also appears that the mandibular implants are more successful than maxillary implants. Studies also show that overdenture therapy is valid, and that single-tooth implants and implants placed in partially dentate mouths have a success rate that is quite good, although not quite as high as in the fully edentulous dentition. It would also appear that the potential causes of failure in the two-stage dental implant systems are peri-implantitis, placement of implants in poor-quality bone, and improper loading of implants. There are now data addressing modifications of the implant surface to alter the percentage of

  3. Doping of organic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Luessem, B.; Riede, M.; Leo, K. [Institut fuer Angewandte Photophysik, TU Dresden (Germany)

    2013-01-15

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Doping of organic semiconductors

    International Nuclear Information System (INIS)

    Luessem, B.; Riede, M.; Leo, K.

    2013-01-01

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Metal-semiconductor interface in extreme temperature conditions

    International Nuclear Information System (INIS)

    Bulat, L.P.; Erofeeva, I.A.; Vorobiev, Yu.V.; Gonzalez-Hernandez, J.

    2008-01-01

    We present an investigation of electrons' and phonons' temperatures in the volume of a semiconductor (or metal) sample and at the interface between metal and semiconductor. Two types of mismatch between electrons' and phonons' temperatures take place: at metal-semiconductor interfaces and in the volume of the sample. The temperature mismatch leads to nonlinear terms in expressions for heat and electricity transport. The nonlinear effects should be taken into consideration in the study of electrical and heat transport in composites and in electronic chips

  6. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  7. Impact of implant design on primary stability of orthodontic mini-implants.

    Science.gov (United States)

    Wilmes, Benedict; Ottenstreuer, Stephanie; Su, Yu-Yu; Drescher, Dieter

    2008-01-01

    Skeletal anchorage with mini-implants has greatly broadened the treatment possibilities in orthodontics over the last few years. To reduce implant failure rates, it is advisable to obtain adequate primary stability. The aim of this study was to quantitatively analyze the impact of implant design and dimension on primary stability. Forty-two porcine iliac bone segments were prepared and embedded in resin. To evaluate the primary stability, we documented insertion torques of the following mini-implants: Aarhus Screw, AbsoAnchor, LOMAS, Micro-Anchorage-System, ORLUS and Spider Screw. In each bone, five Dual Top Screws were inserted for reference purposes to achieve comparability among the specimens. We observed wide variation in insertion torques and hence primary stability, depending on mini-implant design and dimension; the great impact that mini-implant diameter has on insertion torques was particularly conspicuous. Conical mini-implants achieved higher primary stabilities than cylindrical designs. The diameter and design of the mini-implant thread have a distinctive impact on primary stability. Depending on the region of insertion and local bone quality, the choice of the mini-implant design and size is crucial to establish sufficient primary stability.

  8. Aptamer-Modified Semiconductor Quantum Dots for Biosensing Applications.

    Science.gov (United States)

    Wen, Lin; Qiu, Liping; Wu, Yongxiang; Hu, Xiaoxiao; Zhang, Xiaobing

    2017-07-28

    Semiconductor quantum dots have attracted extensive interest in the biosensing area because of their properties, such as narrow and symmetric emission with tunable colors, high quantum yield, high stability and controllable morphology. The introduction of various reactive functional groups on the surface of semiconductor quantum dots allows one to conjugate a spectrum of ligands, antibodies, peptides, or nucleic acids for broader and smarter applications. Among these ligands, aptamers exhibit many advantages including small size, high chemical stability, simple synthesis with high batch-to-batch consistency and convenient modification. More importantly, it is easy to introduce nucleic acid amplification strategies and/or nanomaterials to improve the sensitivity of aptamer-based sensing systems. Therefore, the combination of semiconductor quantum dots and aptamers brings more opportunities in bioanalysis. Here we summarize recent advances on aptamer-functionalized semiconductor quantum dots in biosensing applications. Firstly, we discuss the properties and structure of semiconductor quantum dots and aptamers. Then, the applications of biosensors based on aptamer-modified semiconductor quantum dots by different signal transducing mechanisms, including optical, electrochemical and electrogenerated chemiluminescence approaches, is discussed. Finally, our perspectives on the challenges and opportunities in this promising field are provided.

  9. Aptamer-Modified Semiconductor Quantum Dots for Biosensing Applications

    Directory of Open Access Journals (Sweden)

    Lin Wen

    2017-07-01

    Full Text Available Semiconductor quantum dots have attracted extensive interest in the biosensing area because of their properties, such as narrow and symmetric emission with tunable colors, high quantum yield, high stability and controllable morphology. The introduction of various reactive functional groups on the surface of semiconductor quantum dots allows one to conjugate a spectrum of ligands, antibodies, peptides, or nucleic acids for broader and smarter applications. Among these ligands, aptamers exhibit many advantages including small size, high chemical stability, simple synthesis with high batch-to-batch consistency and convenient modification. More importantly, it is easy to introduce nucleic acid amplification strategies and/or nanomaterials to improve the sensitivity of aptamer-based sensing systems. Therefore, the combination of semiconductor quantum dots and aptamers brings more opportunities in bioanalysis. Here we summarize recent advances on aptamer-functionalized semiconductor quantum dots in biosensing applications. Firstly, we discuss the properties and structure of semiconductor quantum dots and aptamers. Then, the applications of biosensors based on aptamer-modified semiconductor quantum dots by different signal transducing mechanisms, including optical, electrochemical and electrogenerated chemiluminescence approaches, is discussed. Finally, our perspectives on the challenges and opportunities in this promising field are provided.

  10. Effect of implant position, angulation, and attachment height on peri-implant bone stress associated with mandibular two-implant overdentures: a finite element analysis.

    Science.gov (United States)

    Hong, Hae Ryong; Pae, Ahran; Kim, Yooseok; Paek, Janghyun; Kim, Hyeong-Seob; Kwon, Kung-Rock

    2012-01-01

    The aim of this study was to analyze and compare the level and distribution of peri-implant bone stresses associated with mandibular two-implant overdentures with different implant positions. Mathematical models of mandibles and overdentures were designed using finite element analysis software. Two intraosseous implants and ball attachment systems were placed in the interforaminal region. The overdenture, which was supported by the two implants, was designed to withstand bilateral and unilateral vertical masticatory loads (total 100 N). In all, eight types of models, which differed according to assigned implant positions, height of attachments, and angulation, were tested: MI (model with implants positioned in the lateral incisor sites), MC (implants in canine sites), MP (implants in premolar sites), MI-Hi (greater height of attachments), MC-M (canine implants placed with mesial inclination), MC-D (canine implants placed with distal inclination), MC-B (canine implants placed with buccal inclination), and MC-L (canine implants placed with lingual inclination). Peri-implant bone stress levels associated with overdentures retained by lateral incisor implants resulted in the lowest stress levels and the highest efficiency in distributing peri-implant stress. MI-Hi showed increased stress levels and decreased efficiency in stress distribution. As the implants were inclined, stress levels increased and the efficiency of stress distribution decreased. Among the inclined models, MC-B showed the lowest stress level and best efficiency in stress distribution. The lowest stress and the best stability of implants in mandibular two-implant overdentures were obtained when implants were inserted in lateral incisor areas with shorter attachments and were placed parallel to the long axes of the teeth.

  11. Where the chips fall: environmental health in the semiconductor industry.

    Science.gov (United States)

    Chepesiuk, R

    1999-09-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment.

  12. Semiconductor plasmonic crystals: active control of THz extinction

    International Nuclear Information System (INIS)

    Schaafsma, M C; Rivas, J Gómez

    2013-01-01

    We investigate theoretically the enhanced THz extinction by periodic arrays of semiconductor particles. Scattering particles of doped semiconductors can sustain localized surface plasmon polaritons, which can be diffractively coupled giving rise to surface lattice resonances. These resonances are characterized by a large extinction and narrow bandwidth, which can be tuned by controlling the charge carrier density in the semiconductor. The underlaying mechanism leading to this tuneability is explained using the coupled dipole approximation and considering GaAs as the semiconductor. The enhanced THz extinction in arrays of GaAs particles could be tuned in a wide range by optical pumping of charge carriers. (invited article)

  13. Biggest semiconductor installed

    CERN Multimedia

    2008-01-01

    Scientists and technicians at the European Laboratory for Particle Physics, commonly known by its French acronym CERN (Centre Europen pour la Recherche Nuclaire), have completed the installation of the largest semiconductor silicon detector.

  14. The Physics of Semiconductors An Introduction Including Devices and Nanophysics

    CERN Document Server

    Grundmann, Marius

    2006-01-01

    The Physics of Semiconductors provides material for a comprehensive upper-level-undergrauate and graduate course on the subject, guiding readers to the point where they can choose a special topic and begin supervised research. The textbook provides a balance between essential aspects of solid-state and semiconductor physics, on the one hand, and the principles of various semiconductor devices and their applications in electronic and photonic devices, on the other. It highlights many practical aspects of semiconductors such as alloys, strain, heterostructures, nanostructures, that are necessary in modern semiconductor research but typically omitted in textbooks. For the interested reader some additional advanced topics are included, such as Bragg mirrors, resonators, polarized and magnetic semiconductors are included. Also supplied are explicit formulas for many results, to support better understanding. The Physics of Semiconductors requires little or no prior knowledge of solid-state physics and evolved from ...

  15. Implantation of β-emitters on biomedical implants: 32 P isotropic ion implantation using a coaxial plasma reactor

    International Nuclear Information System (INIS)

    Fortin, M.A.; Paynter, R.W.; Sarkissian, A.; Stansfield, B.L.; Terreault, B.; Dufresne, V.

    2003-01-01

    The development of endovascular brachytherapy and the treatment of certain types of cancers (liver, lung, prostate) often require the use of beta-emitters, sometimes in the form of radioisotope-implanted devices. Among the most commonly used isotopes figures 32 P, a pure beta-emitter (maximum energy: 1.7 MeV), of which the path in biological tissues is of a few cm, restricting the impact of electron bombardment to the immediate environment of the implant. Several techniques and processes have been tried to elaborate surfaces and devices showing strongly bonded, or implanted 32 P. Anodizing, vapor phase deposition, grafting of oligonucleotides, as well as ion implantation processes have been investigated by several research groups as methods to implant beta-radioisotopes into surfaces. A coaxial plasma reactor was developed at INRS to implant radioisotopes into cylindrical metallic objects, such as coronary stents commonly used in angioplasty procedures. The dispersion of 32 P atoms on the interior surfaces of the chamber can be investigated using radiographs, contributing to image the plasma ion transport mechanisms that guide the efficiency of the implantation procedure. The amount of radioactivity on the wall liner, on the internal components, and on the biomedical implants are quantified using a surface barrier detector. A comparative study establishes a relationship between the gray scale of the radiographs, and dose measurements. A program was developed to convert the digitized images into maps showing surface dose density in mCi/cm 2 . An integration process allows the quantification of the doses on the walls and components of the reactor. Finally, the resulting integral of the 32 P dose is correlated to the initial amount of radioactivity inserted inside the implanter before the dismantling procedure. This method could be introduced as a fast and reliable way to test, qualify and assess the amount of radioactivity present on the as-produced implants

  16. Frequency modulation of semiconductor disk laser pulses

    Energy Technology Data Exchange (ETDEWEB)

    Zolotovskii, I O; Korobko, D A; Okhotnikov, O G [Ulyanovsk State University, Ulyanovsk (Russian Federation)

    2015-07-31

    A numerical model is constructed for a semiconductor disk laser mode-locked by a semiconductor saturable absorber mirror (SESAM), and the effect that the phase modulation caused by gain and absorption saturation in the semiconductor has on pulse generation is examined. The results demonstrate that, in a laser cavity with sufficient second-order dispersion, alternating-sign frequency modulation of pulses can be compensated for. We also examine a model for tuning the dispersion in the cavity of a disk laser using a Gires–Tournois interferometer with limited thirdorder dispersion. (control of radiation parameters)

  17. Improvements in or relating to semiconductor devices

    International Nuclear Information System (INIS)

    Cooper, K.; Groves, I.S.; Leigh, P.A.; McIntyre, N.; O'Hara, S.; Speight, J.D.

    1980-01-01

    A method of producing semiconductor devices is described consisting of a series of physical and chemical techniques which results in the production of semiconductor devices such as IMPATT diodes of DC-RF efficiency and high reliability (lifetime). The diodes can be mass produced without significant variation of the technology. One of the techniques used is the high energy proton bombardment of the semiconductor material in depth to passivate specific zones. The energy of the protons is increased in stages at intervals of less than 0.11 MeV up to a predetermined maximum energy. (UK)

  18. Hybrid anode for semiconductor radiation detectors

    Science.gov (United States)

    Yang, Ge; Bolotnikov, Aleksey E; Camarda, Guiseppe; Cui, Yonggang; Hossain, Anwar; Kim, Ki Hyun; James, Ralph B

    2013-11-19

    The present invention relates to a novel hybrid anode configuration for a radiation detector that effectively reduces the edge effect of surface defects on the internal electric field in compound semiconductor detectors by focusing the internal electric field of the detector and redirecting drifting carriers away from the side surfaces of the semiconductor toward the collection electrode(s).

  19. Redox properties of small semiconductor particles

    International Nuclear Information System (INIS)

    Liver, N.; Nitzan, A.

    1992-01-01

    The size dependence of electrical and thermodynamic quantities of intermediate-sized semiconductor particles in an electrolyte solution with a given redox pair are studied. The equilibrium constant for this system is then derived based on the relationship of the electrolytic redox components to the size, charges, and concentration of the semiconductor particles. 25 refs., 9 figs., 1 tab

  20. Semiconductor research with reactor neutrons

    International Nuclear Information System (INIS)

    Kimura, Itsuro

    1992-01-01

    Reactor neutrons play an important role for characterization of semiconductor materials as same as other advanced materials. On the other hand reactor neutrons bring about not only malignant irradiation effects called radiation damage, but also useful effects such as neutron transmutation doping and defect formation for opto-electronics. Research works on semiconductor materials with the reactor neutrons of the Kyoto University Reactor (KUR) are briefly reviewed. In this review, a stress is laid on the present author's works. (author)

  1. Semiconductor crystal high resolution imager

    Science.gov (United States)

    Levin, Craig S. (Inventor); Matteson, James (Inventor)

    2011-01-01

    A radiation imaging device (10). The radiation image device (10) comprises a subject radiation station (12) producing photon emissions (14), and at least one semiconductor crystal detector (16) arranged in an edge-on orientation with respect to the emitted photons (14) to directly receive the emitted photons (14) and produce a signal. The semiconductor crystal detector (16) comprises at least one anode and at least one cathode that produces the signal in response to the emitted photons (14).

  2. Transient photoconductivity in amorphous semiconductors

    International Nuclear Information System (INIS)

    Mpawenayo, P.

    1997-07-01

    Localized states in amorphous semiconductors are divided in disorder induced shallow trap levels and dangling bonds deep states. Dangling bonds are assumed here to be either neutral or charged and their energy distribution is a single gaussian. Here, it is shown analytically that transient photocurrent in amorphous semiconductors is fully controlled by charge carriers transitions between localized states for one part and tunneling hopping carriers on the other. Localized dangling bonds deep states act as non radiative recombination centres, while hopping tunnelling is assisted by the Coulomb interaction between defects sites. The half-width of defects distribution is the disorder parameter that determines the carrier hopping time between defects sites. The macroscopic time that explains the long decay response times observed will all types of amorphous semiconductors is duly thought to be temperature dependent. Basic equations developed by Longeaud and Kleider are solved for the general case of a semiconductor after photo-generation. It turns out that the transient photoconductivity decay has two components; one with short response times from carriers trap-release transitions between shallow levels and extended states and a hopping component made of inter-dependent exponentials whose time constants span in larger ranges depending on disorder. The photoconductivity hopping component appears as an additional term to be added to photocurrents derived from existing models. The results of the present study explain and complete the power law decay derived in the multiple trapping models developed 20 years ago only in the approximation of the short response time regime. The long response time regime is described by the hopping macroscopic time. The present model is verified for all samples of amorphous semiconductors known so far. Finally, it is proposed to improved the modulated photoconductivity calculation techniques by including the long-lasting hopping dark documents

  3. Fundamentals of semiconductors physics and materials properties

    CERN Document Server

    Yu, Peter Y

    2005-01-01

    Provides detailed explanations of the electronic, vibrational, transport, and optical properties of semiconductors. This textbook emphasizes understanding the physical properties of Si and similar tetrahedrally coordinated semiconductors and features an extensive collection of tables of material parameters, figures, and problems.

  4. Early implant-associated osteomyelitis results in a peri-implanted bacterial reservoir

    DEFF Research Database (Denmark)

    Jensen, Louise Kruse; Koch, Janne; Aalbæk, Bent

    2017-01-01

    weight of Staphylococcus aureus or saline was inserted into the right tibial bone of 12 pigs. The animals were consecutively killed on day 2, 4 and 6 following implantation. Bone tissue around the implant was histologically evaluated. Identification of S. aureus was performed immunohistochemically...... on tissue section and with scanning electron microscopy and peptide nucleic acid in situ hybridization on implants. The distance of the peri-implanted pathological bone area (PIBA), measured perpendicular to the implant, was significantly larger in infected animals compared to controls (p = 0...

  5. Influence of different implant materials on the primary stability of orthodontic mini-implants

    OpenAIRE

    Chin-Yun Pan; Szu-Ting Chou; Yu-Chuan Tseng; Yi-Hsin Yang; Chao-Yi Wu; Ting-Hsun Lan; Pao-Hsin Liu; Hong-Po Chang

    2012-01-01

    This study evaluates the influence of different implant materials on the primary stability of orthodontic mini-implants by measuring the resonance frequency. Twenty-five orthodontic mini-implants with a diameter of 2 mm were used. The first group contained stainless steel mini-implants with two different lengths (10 and 12 mm). The second group included titanium alloy mini-implants with two different lengths (10 and 12 mm) and stainless steel mini-implants 10 mm in length. The mini-implants w...

  6. Influence of different implant materials on the primary stability of orthodontic mini-implants.

    Science.gov (United States)

    Pan, Chin-Yun; Chou, Szu-Ting; Tseng, Yu-Chuan; Yang, Yi-Hsin; Wu, Chao-Yi; Lan, Ting-Hsun; Liu, Pao-Hsin; Chang, Hong-Po

    2012-12-01

    This study evaluates the influence of different implant materials on the primary stability of orthodontic mini-implants by measuring the resonance frequency. Twenty-five orthodontic mini-implants with a diameter of 2 mm were used. The first group contained stainless steel mini-implants with two different lengths (10 and 12 mm). The second group included titanium alloy mini-implants with two different lengths (10 and 12 mm) and stainless steel mini-implants 10 mm in length. The mini-implants were inserted into artificial bones with a 2-mm-thick cortical layer and 40 or 20 lb/ft(3) trabecular bone density at insertion depths of 2, 4, and 6 mm. The resonance frequency of the mini-implants in the artificial bone was detected with the Implomates(®) device. Data were analyzed by two-way analysis of variance followed by the Tukey honestly significant difference test (α = 0.05). Greater insertion depth resulted in higher resonance frequency, whereas longer mini-implants showed lower resonance frequency values. However, resonance frequency was not influenced by the implant materials titanium alloy or stainless steel. Therefore, the primary stability of a mini-implant is influenced by insertion depth and not by implant material. Insertion depth is extremely important for primary implant stability and is critical for treatment success. Copyright © 2012. Published by Elsevier B.V.

  7. Influence of different implant materials on the primary stability of orthodontic mini-implants

    Directory of Open Access Journals (Sweden)

    Chin-Yun Pan

    2012-12-01

    Full Text Available This study evaluates the influence of different implant materials on the primary stability of orthodontic mini-implants by measuring the resonance frequency. Twenty-five orthodontic mini-implants with a diameter of 2 mm were used. The first group contained stainless steel mini-implants with two different lengths (10 and 12 mm. The second group included titanium alloy mini-implants with two different lengths (10 and 12 mm and stainless steel mini-implants 10 mm in length. The mini-implants were inserted into artificial bones with a 2-mm-thick cortical layer and 40 or 20 lb/ft3 trabecular bone density at insertion depths of 2, 4, and 6 mm. The resonance frequency of the mini-implants in the artificial bone was detected with the Implomates® device. Data were analyzed by two-way analysis of variance followed by the Tukey honestly significant difference test (α = 0.05. Greater insertion depth resulted in higher resonance frequency, whereas longer mini-implants showed lower resonance frequency values. However, resonance frequency was not influenced by the implant materials titanium alloy or stainless steel. Therefore, the primary stability of a mini-implant is influenced by insertion depth and not by implant material. Insertion depth is extremely important for primary implant stability and is critical for treatment success.

  8. Terahertz plasmonics with semiconductor surfaces and antennas

    NARCIS (Netherlands)

    Gómez Rivas, J.; Berrier, A.

    2009-01-01

    Semiconductors have a Drude-like behavior at terahertz (THz) frequencies similar to metals at optical frequencies. Narrow band gap semiconductors have a dielectric constant with a negative real component and a relatively small imaginary component. This permittivity is characteristic of noble metals

  9. Hard gap in epitaxial semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Chang, W.; Albrecht, S. M.; Jespersen, T. S.

    2015-01-01

    a continuum of subgap states---a situation that nullifies topological protection. Here, we report a hard superconducting gap induced by proximity effect in a semiconductor, using epitaxial Al-InAs superconductor-semiconductor nanowires. The hard gap, along with favorable material properties and gate...

  10. Controlling the emission wavelength in group III-V semiconductor laser diodes

    KAUST Repository

    Ooi, Boon S.

    2016-12-29

    Methods are provided for modifying the emission wavelength of a semiconductor quantum well laser diode, e.g. by blue shifting the emission wavelength. The methods can be applied to a variety of semiconductor quantum well laser diodes, e.g. group III-V semiconductor quantum wells. The group III-V semiconductor can include AlSb, AlAs, Aln, AlP, BN, GaSb, GaAs, GaN, GaP, InSb, InAs, InN, and InP, and group III-V ternary semiconductors alloys such as AlxGai.xAs. The methods can results in a blue shifting of about 20 meV to 350 meV, which can be used for example to make group III-V semiconductor quantum well laser diodes with an emission that is orange or yellow. Methods of making semiconductor quantum well laser diodes and semiconductor quantum well laser diodes made therefrom are also provided.

  11. Semiconductors detectors: basics principals, fabrication and repair

    International Nuclear Information System (INIS)

    Souza Coelho, L.F. de.

    1982-05-01

    The fabrication and repairing techniques of semiconductor detectors, are described. These methods are shown in the way they are applied by the semiconductor detector laboratory of the KFA-Julich, where they have been developed during the last 15 years. The history of the semiconductor detectors is presented here, being also described the detector fabrication experiences inside Brazil. The key problems of manufacturing are raised. In order to understand the fabrication and repairing techniques the working principles of these detectors, are described. The cases in which worked during the stay in the KFA-Julich, particularly the fabrication of a plane Ge (Li) detector, with side entry, and the repair of a coaxial Ge (Li) is described. The vanguard problems being researched in Julich are also described. Finally it is discussed a timetable for the semiconductor detector laboratory of the UFRJ, which laboratory is in the mounting stage now. (Author) [pt

  12. Imaging of common breast implants and implant-related complications: A pictorial essay

    OpenAIRE

    Shah, Amisha T; Jankharia, Bijal B

    2016-01-01

    The number of women undergoing breast implant procedures is increasing exponentially. It is, therefore, imperative for a radiologist to be familiar with the normal and abnormal imaging appearances of common breast implants. Diagnostic imaging studies such as mammography, ultrasonography, and magnetic resonance imaging are used to evaluate implant integrity, detect abnormalities of the implant and its surrounding capsule, and detect breast conditions unrelated to implants. Magnetic resonance i...

  13. Architectures for Improved Organic Semiconductor Devices

    Science.gov (United States)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  14. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  15. Modeling of semiconductor optical amplifiers

    DEFF Research Database (Denmark)

    Mørk, Jesper; Bischoff, Svend; Berg, Tommy Winther

    We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed.......We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed....

  16. Dental Implant Surgery

    Science.gov (United States)

    ... here to find out more. Dental Implant Surgery Dental Implant Surgery Dental implant surgery is, of course, ... to find out more. Wisdom Teeth Management Wisdom Teeth Management An impacted wisdom tooth can damage neighboring ...

  17. [Clinical application of individualized three-dimensional printing implant template in multi-tooth dental implantation].

    Science.gov (United States)

    Wang, Lie; Chen, Zhi-Yuan; Liu, Rong; Zeng, Hao

    2017-08-01

    To study the value and satisfaction of three-dimensional printing implant template and conventional implant template in multi-tooth dental implantation. Thirty cases (83 teeth) with missing teeth needing to be implanted were randomly divided into conventional implant template group (CIT group, 15 cases, 42 teeth) and 3D printing implant template group (TDPIT group, 15 cases, 41 teeth). Patients in CIT group were operated by using conventional implant template, while patients in TDPIT group were operated by using three-dimensional printing implant template. The differences of implant neck and tip deviation, implant angle deviation and angle satisfaction between the two groups were compared. The difference of probing depth and bone resorption of implant were compared 1 year after operation between the two groups. The difference of success rate and satisfaction of dental implantation were compared 1 year after operation between the two groups. SPSS19.0 software package was used for statistical analysis. The deviation direction of the neck and the tip in disto-mesial, bucco-palatal, vertical direction and angle of implants in disto-mesial and bucco-palatal direction in TDPIT group were significantly lower than in CIT group (P0.05). The difference of the cumulative success rate in dental implantation at 3 months and 6 months between the two groups were not significant (P>0.05), but the cumulative success rate of TDPIT group was significantly higher than CIT group at 9 months and 1 year (90.48% vs 100%,P=0.043). The patients' satisfaction rate of dental implantation in TDPIT group was significantly higher than in CIT group (86.67% vs 53.33%, P=0.046). Using three-dimensional printing implant template can obtain better accuracy of implant, higher implant success rate and better patients' satisfaction than using conventional implant template. It is suitable for clinical application.

  18. Superconductivity in doped semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Bustarret, E., E-mail: Etienne.bustarret@neel.cnrs.fr

    2015-07-15

    A historical survey of the main normal and superconducting state properties of several semiconductors doped into superconductivity is proposed. This class of materials includes selenides, tellurides, oxides and column-IV semiconductors. Most of the experimental data point to a weak coupling pairing mechanism, probably phonon-mediated in the case of diamond, but probably not in the case of strontium titanate, these being the most intensively studied materials over the last decade. Despite promising theoretical predictions based on a conventional mechanism, the occurrence of critical temperatures significantly higher than 10 K has not been yet verified. However, the class provides an enticing playground for testing theories and devices alike.

  19. Absorption properties of metal-semiconductor hybrid nanoparticles.

    Science.gov (United States)

    Shaviv, Ehud; Schubert, Olaf; Alves-Santos, Marcelo; Goldoni, Guido; Di Felice, Rosa; Vallée, Fabrice; Del Fatti, Natalia; Banin, Uri; Sönnichsen, Carsten

    2011-06-28

    The optical response of hybrid metal-semiconductor nanoparticles exhibits different behaviors due to the proximity between the disparate materials. For some hybrid systems, such as CdS-Au matchstick-shaped hybrids, the particles essentially retain the optical properties of their original components, with minor changes. Other systems, such as CdSe-Au dumbbell-shaped nanoparticles, exhibit significant change in the optical properties due to strong coupling between the two materials. Here, we study the absorption of these hybrids by comparing experimental results with simulations using the discrete dipole approximation method (DDA) employing dielectric functions of the bare components as inputs. For CdS-Au nanoparticles, the DDA simulation provides insights on the gold tip shape and its interface with the semiconductor, information that is difficult to acquire by experimental means alone. Furthermore, the qualitative agreement between DDA simulations and experimental data for CdS-Au implies that most effects influencing the absorption of this hybrid system are well described by local dielectric functions obtained separately for bare gold and CdS nanoparticles. For dumbbell shaped CdSe-Au, we find a shortcoming of the electrodynamic model, as it does not predict the "washing out" of the optical features of the semiconductor and the metal observed experimentally. The difference between experiment and theory is ascribed to strong interaction of the metal and semiconductor excitations, which spectrally overlap in the CdSe case. The present study exemplifies the employment of theoretical approaches used to describe the optical properties of semiconductors and metal nanoparticles, to achieve better understanding of the behavior of metal-semiconductor hybrid nanoparticles.

  20. Ultrafast Degenerate Transient Lens Spectroscopy in Semiconductor Nanosctructures

    Directory of Open Access Journals (Sweden)

    Leontyev A.V.

    2015-01-01

    Full Text Available We report the non-resonant excitation and probing of the nonlinear refractive index change in bulk semiconductors and semiconductor quantum dots through degenerate transient lens spectroscopy. The signal oscillates at the center laser field frequency, and the envelope of the former in quantum dots is distinctly different from the one in bulk sample. We discuss the applicability of this technique for polarization state probing in semiconductor media with femtosecond temporal resolution.