WorldWideScience

Sample records for a-plane sapphire substrates

  1. MBE growth and characterization of ZnTe epilayers on m-plane sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nakasu, Taizo; Sun, Wei-Che; Yamashita, Sotaro; Aiba, Takayuki; Taguri, Kosuke [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26, Tokyo 169-0051 (Japan); Asahi, Toshiaki [Technology Development Center, JX Nippon Mining and Metals Corporation, Hitachi 317-0056 (Japan); Togo, Hiroyoshi [NTT Microsystem Integration Laboratories, Atsugi 243-0198 (Japan)

    2014-07-15

    ZnTe epilayers were grown on transparent (10-10) oriented (m -plane) sapphire substrates by molecular beam epitaxy (MBE). Pole figure imaging was used to study the domain distribution within the layer. (211)-oriented ZnTe domains were formed on m -plane sapphire. The presence of only one kind of (211) ZnTe domain formed on the 2 -tilted m -plane sapphire substrates was confirmed. Thus, single domain (211) ZnTe epilayers can be grown on the m -plane sapphire using MBE. Although differences in the crystal structure and lattice mismatch are large, precise control of the substrate surface lattice arrangement result in the formation of high-quality epitaxial layers. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Distinct crystallinity and orientations of hydroxyapatite thin films deposited on C- and A-plane sapphire substrates

    Science.gov (United States)

    Akazawa, Housei; Ueno, Yuko

    2014-10-01

    We report how the crystallinity and orientation of hydroxyapatite (HAp) films deposited on sapphire substrates depend on the crystallographic planes. Both solid-phase crystallization of amorphous HAp films and crystallization during sputter deposition at elevated temperatures were examined. The low-temperature epitaxial phase on C-plane sapphire substrates has c-axis orientated HAp crystals regardless of the crystallization route, whereas the preferred orientation switches to the (310) direction at higher temperatures. Only the symmetric stretching mode (ν1) of PO43- units appears in the Raman scattering spectra, confirming well-ordered crystalline domains. In contrast, HAp crystals grown on A-plane sapphire substrates are always oriented toward random orientations. Exhibiting all vibrational modes (ν1, ν3, and ν4) of PO43- units in the Raman scattering spectra reflects random orientation, violating the Raman selection rule. If we assume that Raman intensities of PO43- units represent the crystallinity of HAp films, crystallization terminating the surface with the C-plane is hindered by the presence of excess H2O and OH species in the film, whereas crystallization at random orientations on the A-plane sapphire is rather promoted by these species. Such contrasting behaviors between C-plane and A-plane substrates will reflect surface-plane dependent creation of crystalline seeds and eventually determine the orientation of resulting HAp films.

  3. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  4. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    Science.gov (United States)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  5. Epitaxial growth of Sb-doped nonpolar a-plane ZnO thin films on r-plane sapphire substrates by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hou-Guang, E-mail: houguang@isu.edu.tw [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China); Hung, Sung-Po [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China)

    2014-02-15

    Highlights: ► Sb-doped nonpolar a-plane ZnO layers were epitaxially grown on sapphire substrates. ► Crystallinity and electrical properties were studied upon growth condition and doping concentration. ► The out-of-plane lattice spacing of ZnO films reduces monotonically with increasing Sb doping level. ► The p-type conductivity of ZnO:Sb film is closely correlated with annealing condition and Sb doping level. -- Abstract: In this study, the epitaxial growth of Sb-doped nonpolar a-plane (112{sup ¯}0) ZnO thin films on r-plane (11{sup ¯}02) sapphire substrates was performed by radio-frequency magnetron sputtering. The influence of the sputter deposition conditions and Sb doping concentration on the microstructural and electrical properties of Sb-doped ZnO epitaxial films was investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and the Hall-effect measurement. The measurement of the XRD phi-scan indicated that the epitaxial relationship between the ZnO:Sb layer and sapphire substrate was (112{sup ¯}0){sub ZnO}//(11{sup ¯}02){sub Al{sub 2O{sub 3}}} and [11{sup ¯}00]{sub ZnO}//[112{sup ¯}0]{sub Al{sub 2O{sub 3}}}. The out-of-plane a-axis lattice parameter of ZnO films was reduced monotonically with the increasing Sb doping level. The cross-sectional transmission electron microscopy (XTEM) observation confirmed the absence of any significant antimony oxide phase segregation across the thickness of the Sb-doped ZnO epitaxial film. However, the epitaxial quality of the films deteriorated as the level of Sb dopant increased. The electrical properties of ZnO:Sb film are closely correlated with post-annealing conditions and Sb doping concentrations.

  6. The effect of a slight mis-orientation angle of c-plane sapphire substrate on surface and crystal quality of MOCVD grown GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2004-09-01

    The effect of a slight mis-orientation of c-plane sapphire substrate on the surface morphology and crystal quality of GaN thin films grown by MOCVD has been investigated. The mis-orientation angle of vicinal c-plane sapphire substrate was changed within the range of 0.00(zero)-1.00(one) degree, and the experimental results were compared with those on just angle (zero degree) c-plane sapphire substrate. The surface morphology and crystal quality were found to be very sensitive to mis-orientation angle. Consequently, the mis-orientation angle was optimized to be 0.15 . (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Crystal orientation mechanism of ZnTe epilayers formed on different orientations of sapphire substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nakasu, T.; Yamashita, S.; Aiba, T.; Hattori, S.; Sun, W.; Taguri, K.; Kazami, F.; Kobayashi, M.

    2014-01-01

    The electrooptic effect in ZnTe has recently attracted research attention, and various device structures using ZnTe have been explored. For application to practical terahertz wave detector devices based on ZnTe thin films, sapphire substrates are preferred because they enable the optical path alignment to be simplified. ZnTe/sapphire heterostructures were focused upon, and ZnTe epilayers were prepared on highly mismatched sapphire substrates by molecular beam epitaxy. Epitaxial relationships between the ZnTe thin films and the sapphire substrates with their various orientations were investigated using an X-ray diffraction pole figure method. (0001) c-plane, (1-102) r-plane, (1-100) m-plane, and (11-20) a-plane oriented sapphire substrates were used in this study. The epitaxial relationship between ZnTe and c-plane sapphire was found to be (111) ZnTe//(0001) sapphire with an in-plane orientation relationship of [−211] ZnTe//[1-100] sapphire. It was found that the (211)-plane ZnTe layer was grown on the m-plane of the sapphire substrates, and the (100)-plane ZnTe layer was grown on the r-plane sapphire. When the sapphire substrates were inclined from the c-plane towards the m-axis direction, the orientation of the ZnTe thin films was then tilted from the (111)-plane to the (211)-plane. The c-plane of the sapphire substrates governs the formation of the (111) ZnTe domain and the ZnTe epilayer orientation. These crystallographic features were also related to the atom arrangements of ZnTe and sapphire.

  8. Growth of cubic InN on r-plane sapphire

    International Nuclear Information System (INIS)

    Cimalla, V.; Pezoldt, J.; Ecke, G.; Kosiba, R.; Ambacher, O.; Spiess, L.; Teichert, G.; Lu, H.; Schaff, W.J.

    2003-01-01

    InN has been grown directly on r-plane sapphire substrates by plasma-enhanced molecular-beam epitaxy. X-ray diffraction investigations have shown that the InN layers consist of a predominant zinc blende (cubic) structure along with a fraction of the wurtzite (hexagonal) phase which content increases with proceeding growth. The lattice constant for zinc blende InN was found to be a=4.986 A. For this unusual growth of a metastable cubic phase on a noncubic substrate an epitaxial relationship was proposed where the metastable zinc blende phase grows directly on the r-plane sapphire while the wurtzite phase arises as the special case of twinning in the cubic structure

  9. Semipolar GaN grown on m-plane sapphire using MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University of Berlin (Germany)

    2008-07-01

    We have investigated the MOVPE growth of semipolar gallium nitride (GaN) films on (10 anti 1 0) m-plane sapphire substrates. Specular GaN films with a RMS roughness (10 x 10 {mu}m{sup 2}) of 15.2 nm were obtained and an arrowhead like structure aligned along[ anti 2 113] is prevailing. The orientation relationship was determined by XRD and yielded (212){sub GaN} parallel (10 anti 10){sub sapphire} and [anti 2113]{sub GaN} parallel [0001]{sub sapphire} as well as [anti 2113]{sub GaN} parallel [000 anti 1]{sub sapphire}. PL spectra exhibited near band edge emission accompanied by a strong basal plane stacking fault emission. In addition lower energy peaks attributed to prismatic plane stacking faults and donor acceptor pair emission appeared in the spectrum. With similar growth conditions also (1013) GaN films on m-plane sapphire were obtained. In the later case we found that the layer was twinned, crystallites with different c-axis orientation were present. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  11. Phase formation and strain relaxation of Ga2O3 on c-plane and a-plane sapphire substrates as studied by synchrotron-based x-ray diffraction

    Science.gov (United States)

    Cheng, Zongzhe; Hanke, Michael; Vogt, Patrick; Bierwagen, Oliver; Trampert, Achim

    2017-10-01

    Heteroepitaxial Ga2O3 was deposited on c-plane and a-plane oriented sapphire by plasma-assisted molecular beam epitaxy and probed by ex-situ and in-situ synchrotron-based x-ray diffraction. The investigation on c-plane sapphire determined a critical thickness of around 33 Å, at which the monoclinic β-phase forms on top of the hexagonal α-phase. A 143 Å thick single phase α-Ga2O3 was observed on a-plane sapphire, much thicker than the α-Ga2O3 on c-plane sapphire. The α-Ga2O3 relaxed very fast in the first 30 Å in both out-of-plane and in-plane directions as measured by the in-situ study.

  12. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  13. (211) oriented ZnTe growth on m-plane sapphire by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Nakasu, Taizo [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26, Tokyo 169-0051 (Japan); Togo, Hiroyoshi [NTT Microsystem Integration Laboratories, Atugi-shi 243-0198 (Japan); Asahi, Toshiaki [Technology Development Center, JX Nippon Mining and Metals Corporation, Hitachi-shi 317-0056 (Japan)

    2013-11-15

    Single-crystalline and single domain ZnTe thin films are sought for high-performance terahertz wave detectors, and ZnTe/sapphire heterostructures were considered since the Electro-Optical (EO) effect could be obtained only from epilayers. ZnTe epilayers were grown on m-plane sapphire substrates by molecular beam epitaxy, and the potential of single domain epilayers was explored. Through the X-ray diffraction pole figure measurement it was confirmed that one (100) oriented ZnTe domain along with two kinds of (211) oriented domains were formed on the m-plane sapphire when the layer was grown at 340 C. When the layer was grown at 350 C, the (211) oriented domain dominated the film. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, C/Dr. Moliner 50, 46100 Burjassot (Spain)

    2005-02-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including {theta}-2{theta} scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    International Nuclear Information System (INIS)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V.

    2005-01-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including θ-2θ scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    Science.gov (United States)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  17. High-phase-purity zinc-blende InN on r-plane sapphire substrate with controlled nitridation pretreatment

    International Nuclear Information System (INIS)

    Hsiao, C.-L.; Wu, C.-T.; Hsu, H.-C.; Hsu, G.-M.; Chen, L.-C.; Liu, T.-W.; Shiao, W.-Y.; Yang, C. C.; Gaellstroem, Andreas; Holtz, Per-Olof; Chen, C.-C.; Chen, K.-H.

    2008-01-01

    High-phase-purity zinc-blende (zb) InN thin film has been grown by plasma-assisted molecular-beam epitaxy on r-plane sapphire substrate pretreated with nitridation. X-ray diffraction analysis shows that the phase of the InN films changes from wurtzite (w) InN to a mixture of w-InN and zb-InN, to zb-InN with increasing nitridation time. High-resolution transmission electron microscopy reveals an ultrathin crystallized interlayer produced by substrate nitridation, which plays an important role in controlling the InN phase. Photoluminescence emission of zb-InN measured at 20 K shows a peak at a very low energy, 0.636 eV, and an absorption edge at ∼0.62 eV is observed at 2 K, which is the lowest bandgap reported to date among the III-nitride semiconductors

  18. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  19. Occurrence and elimination of in-plane misoriented crystals in AlN epilayers on sapphire via pre-treatment control

    International Nuclear Information System (INIS)

    Wang Hu; Xiong Hui; Wu Zhi-Hao; Yu Chen-Hui; Tian Yu; Dai Jiang-Nan; Fang Yan-Yan; Zhang Jian-Bao; Chen Chang-Qing

    2014-01-01

    AlN epilayers are grown directly on sapphire (0001) substrates each of which has a low temperature AlN nucleation layer. The effects of pretreatments of sapphire substrates, including exposures to NH 3 /H 2 and to H 2 only ambients at different temperatures, before the growth of AlN epilayers is investigated. In-plane misoriented crystals occur in N-polar AlN epilayers each with pretreatment in a H 2 only ambient, and are characterized by six 60°-apart peaks with splits in each peak in (101-bar 2) phi scan and two sets of hexagonal diffraction patterns taken along the [0001] zone axis in electron diffraction. These misoriented crystals can be eliminated in AlN epilayers by the pretreatment of sapphire substrates in the NH 3 /H 2 ambient. AlN epilayers by the pretreatment of sapphire substrates in the NH 3 /H 2 ambient are Al-polar. Our results show the pretreatments and the nucleation layers are responsible for the polarities of the AlN epilayers. We ascribe these results to the different strain relaxation mechanisms induced by the lattice mismatch of AlN and sapphire. (interdisciplinary physics and related areas of science and technology)

  20. Structural characterization of AgGaTe{sub 2} layers grown on a- and c-sapphire substrates by a closed space sublimation method

    Energy Technology Data Exchange (ETDEWEB)

    Uruno, Aya; Usui, Ayaka [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2014-07-15

    AgGaTe{sub 2} layers were grown on a- and c-plane sapphire substrates by a closed space sublimation method with varying the source temperature. Grown films were evaluated by θ -2θ and pole figure measurements of X-ray diffraction. AgGaTe{sub 2} layers were grown to have strong preference for the (103) orientation. However, it was cleared the Ag{sub 5}Te{sub 3} was formed along with the AgGaTe{sub 2} when the layer was grown on c-plane sapphire. The orientation of the film was analyzed by using the pole figure, and resulted in AgGaTe{sub 2} without Ag{sub 5}Te{sub 3} layers could be grown on a-plane sapphire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Photoluminescence studies of ZnO thin films on R-plane sapphire substrates grown by sol-gel method

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Min Su [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of); Nam, Giwoong; Kim, Soaram [Department of Nano Engineering, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of); Kim, Do Yeob [Holcombe Department of Electrical and Computer Engineering, Center for Optical Materials Science and Engineering Technologies, Clemson University, Clemson, SC 29634 (United States); Lee, Dong-Yul [LED R and D team, Samsung Electronics Co. Ltd., Yongin 446-711 (Korea, Republic of); Kim, Jin Soo [Research Center of Advanced Materials Development (RCAMD), Division of Advanced Materials Engineering, Chonbuk National University, Jeonju, Chonbuk 561-756 (Korea, Republic of); Kim, Sung-O [Holcombe Department of Electrical and Computer Engineering, Center for Optical Materials Science and Engineering Technologies, Clemson University, Clemson, SC 29634 (United States); Kim, Jong Su [Department of Physics, Yeungnam University, Gyeongsan, Gyeongsangbuk-do 712-749 (Korea, Republic of); Son, Jeong-Sik [Department of Visual Optics, Kyungwoon University, Gumi, Gyeongsangbuk-do 730-850 (Korea, Republic of); Leem, Jae-Young, E-mail: jyleem@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of)

    2012-10-15

    Zinc oxide (ZnO) thin films on R-plane sapphire substrates were grown by the sol-gel spin-coating method. The optical properties of the ZnO thin films were investigated using photoluminescence. In the UV range, the asymmetric near-band-edge emission was observed at 300 K, which consisted of two emissions at 3.338 and 3.279 eV. Eight peaks at 3.418, 3.402, 3.360, 3.288, 3.216, 3.145, 3.074, and 3.004 eV, which respectively correspond to the free exciton (FX), bound exciton, transverse optical (TO) phonon replica of FX recombination, and first-order longitudinal optical phonon replica of FX and the TO (1LO+TO), 2LO+TO, 3LO+TO, 4LO+TO, and 5LO+TO, were obtained at 12 K. From the temperature-dependent PL, it was found that the emission peaks at 3.338 and 3.279 eV corresponded to the FX and TO, respectively. The activation energy of the FX and TO emission peaks was found to be about 39.3 and 28.9 meV, respectively. The values of the fitting parameters of Varshni's empirical equation were {alpha}=4 Multiplication-Sign 10{sup -3} eV/K and {beta}=4.9 Multiplication-Sign 10{sup 3} K, and the S factor of the ZnO thin films was 0.658. With increasing temperature, the exciton radiative lifetime of the FX and TO emissions increased. The temperature-dependent variation of the exciton radiative lifetime for the TO emission was slightly higher than that for the FX emission. - Highlights: Black-Right-Pointing-Pointer ZnO thin films on R-plane sapphire substrates were grown by sol-gel method. Black-Right-Pointing-Pointer Two emission peaks at 3.338 and 3.279 eV were observed at 300 K Black-Right-Pointing-Pointer Activation energies of the two peaks were 39.3 and 28.9 meV,respectively. Black-Right-Pointing-Pointer Exciton radiative lifetime of the two peaks increased with increasing temperature.

  2. Photoelectrochemical liftoff of LEDs grown on freestanding c-plane GaN substrates

    KAUST Repository

    Hwang, David

    2016-09-23

    We demonstrate a thin-film flip-chip (TFFC) process for LEDs grown on freestanding c-plane GaN substrates. LEDs are transferred from a bulk GaN substrate to a sapphire submount via a photoelectrochemical (PEC) undercut etch. This PEC liftoff method allows for substrate reuse and exposes the N-face of the LEDs for additional roughening. The LEDs emitted at a wavelength of 432 nm with a turn on voltage of ~3 V. Etching the LEDs in heated KOH after transferring them to a sapphire submount increased the peak external quantum efficiency (EQE) by 42.5% from 9.9% (unintentionally roughened) to 14.1% (intentionally roughened).

  3. Synthesis of high quality graphene on capped (1 1 1) Cu thin films obtained by high temperature secondary grain growth on c-plane sapphire substrates

    Science.gov (United States)

    Kim, Youngwoo; Moyen, Eric; Yi, Hemian; Avila, José; Chen, Chaoyu; Asensio, Maria C.; Lee, Young Hee; Pribat, Didier

    2018-07-01

    We propose a novel growth technique, in which graphene is synthesized on capped Cu thin films deposited on c-plane sapphire. The cap is another sapphire plate which is just laid upon the Cu thin film, in direct contact with it. Thanks to this ‘contact cap’, Cu evaporation can be suppressed at high temperature and the 400 nm-thick Cu films can be annealed above 1000 °C, resulting in (1 1 1)-oriented grains of millimeter size. Following this high temperature annealing, graphene is grown by chemical vapor deposition during the same pump-down operation, without removing the contact cap. The orientation and doping type of the as-grown graphene were first studied, using low energy electron diffraction, as well as high resolution angle-resolved photoemission spectroscopy. In particular, the orientation relationships between the graphene and copper thin film with respect to the sapphire substrate were precisely determined. We find that the graphene sheets exhibit a minimal rotational disorder, with ~90% of the grains aligned along the copper high symmetry direction. Detailed transport measurements were also performed using field-effect transistor structures. Carrier mobility values as high as 8460 cm2 V‑1 s‑1 have been measured on top gate transistors fabricated directly on the sapphire substrate, by etching the Cu film from underneath the graphene sheets. This is by far the best carrier mobility value obtained to date for graphene sheets synthesized on a thin film-type metal substrate.

  4. Temperature-modulated annealing of c-plane sapphire for long-range-ordered atomic steps

    International Nuclear Information System (INIS)

    Yatsui, Takashi; Kuribara, Kazunori; Sekitani, Tsuyoshi; Someya, Takao; Yoshimoto, Mamoru

    2016-01-01

    High-quality single-crystalline sapphire is used to prepare various semiconductors because of its thermal stability. Here, we applied the tempering technique, which is well known in the production of chocolate, to prepare a sapphire substrate. Surprisingly, we successfully realised millimetre-range ordering of the atomic step of the sapphire substrate. We also obtained a sapphire atomic step with nanometre-scale uniformity in the terrace width and atomic-step height. Such sapphire substrates will find applications in the preparation of various semiconductors and devices. (paper)

  5. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  6. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  7. Epitaxial growth of InN on c-plane sapphire by pulsed laser deposition with r.f. nitrogen radical source

    International Nuclear Information System (INIS)

    Ohta, J.; Fujioka, H.; Honke, T.; Oshima, M.

    2004-01-01

    We have grown InN films on c-plane sapphire substrates by pulsed laser deposition (PLD) with a radio frequency nitrogen radical source for the first time and investigated the effect of the substrate surface nitridation on the structural and electrical properties of InN films with reflection high energy electron diffraction (RHEED), atomic force microscope, the Hall effect measurements and high-resolution X-ray diffraction (HRXRD). RHEED and HRXRD characterizations revealed that high-quality InN grows epitaxially on sapphire by PLD and its epitaxial relationship is InN (0 0 0 1) parallel sapphire (0 0 0 1) and InN [2 -1 -1 0] parallel sapphire [1 0 -1 0]. The InN crystalline quality and the electron mobility are improved by the substrate nitridation process. The area of the pits at the InN surface is reduced by the substrate nitridation process probably due to the reduction in the interface energy between InN and the substrate. The full width at half maximum of the -1 -1 2 4 X-ray rocking curve for InN grown by the present technique without using any buffer layers was as small as 34.8 arcmin. These results indicate that the present technique is promising for the growth of the high-quality InN films

  8. Polarity inversion of AlN film grown on nitrided a-plane sapphire substrate with pulsed DC reactive sputtering

    Directory of Open Access Journals (Sweden)

    Marsetio Noorprajuda

    2018-04-01

    Full Text Available The effect of oxygen partial pressure (PO2 on polarity and crystalline quality of AlN films grown on nitrided a-plane sapphire substrates by pulsed direct current (DC reactive sputtering was investigated as a fundamental study. The polarity inversion of AlN from nitrogen (−c-polarity to aluminum (+c-polarity occurred during growth at a high PO2 of 9.4×103 Pa owing to Al-O octahedral formation at the interface of nitrided layer and AlN sputtered film which reset the polarity of AlN. The top part of the 1300 nm-thick AlN film sputtered at the high PO2 was polycrystallized. The crystalline quality was improved owing to the high kinetic energy of Al sputtered atom in the sputtering phenomena. Thinner AlN films were also fabricated at the high PO2 to eliminate the polycrystallization. For the 200 nm-thick AlN film sputtered at the high PO2, the full width at half-maximum values of the AlN (0002 and (10−12 X-ray diffraction rocking curves were 47 and 637 arcsec, respectively.

  9. Crystallographic tilt and in-plane anisotropies of an a-plane InGaN/GaN layered structure grown by MOCVD on r-plane sapphire using a ZnO buffer

    International Nuclear Information System (INIS)

    Liu, H F; Chi, D Z; Liu, W; Guo, S

    2016-01-01

    High-resolution x-ray diffraction (HRXRD) was used to investigate the crystallographic tilts and structural anisotropies in epitaxial nonpolar a-plane InGaN/GaN grown by metal–organic chemical vapor deposition on r-plane sapphire using a ZnO buffer. The substrate had an unintentional miscut of 0.14° towards its [–4 2 2 3] axis. However, HRXRD revealed a tilt of 0.26° (0.20°) between the ZnO (GaN) (11-20) and the Al 2 O 3 (1-102) atomic planes, with the (11-20) axis of ZnO (GaN) tilted towards its c-axis, which has a difference of 163° in azimuth from that of the substrate’s miscut. Excess broadenings in the GaN/ZnO (11-20) rocking curves (RCs) were observed along its c-axis. Specific analyses revealed that partial dislocations and anisotropic in-plane strains, rather than surface-related effects, wafer curvature or stacking faults, are the dominant factors for the structural anisotropy. The orientation of the partial dislocations is most likely affected by the miscut of the substrate, e.g. via tilting of the misfit dislocation gliding planes created during island coalescences. Their Burgers vector components in the growth direction, in turn, gave rise to crystallographic tilts in the same direction as that of the excess RC-broadenings. (paper)

  10. Crystallographic tilt and in-plane anisotropies of an a-plane InGaN/GaN layered structure grown by MOCVD on r-plane sapphire using a ZnO buffer

    Science.gov (United States)

    Liu, H. F.; Liu, W.; Guo, S.; Chi, D. Z.

    2016-03-01

    High-resolution x-ray diffraction (HRXRD) was used to investigate the crystallographic tilts and structural anisotropies in epitaxial nonpolar a-plane InGaN/GaN grown by metal-organic chemical vapor deposition on r-plane sapphire using a ZnO buffer. The substrate had an unintentional miscut of 0.14° towards its [-4 2 2 3] axis. However, HRXRD revealed a tilt of 0.26° (0.20°) between the ZnO (GaN) (11-20) and the Al2O3 (1-102) atomic planes, with the (11-20) axis of ZnO (GaN) tilted towards its c-axis, which has a difference of 163° in azimuth from that of the substrate’s miscut. Excess broadenings in the GaN/ZnO (11-20) rocking curves (RCs) were observed along its c-axis. Specific analyses revealed that partial dislocations and anisotropic in-plane strains, rather than surface-related effects, wafer curvature or stacking faults, are the dominant factors for the structural anisotropy. The orientation of the partial dislocations is most likely affected by the miscut of the substrate, e.g. via tilting of the misfit dislocation gliding planes created during island coalescences. Their Burgers vector components in the growth direction, in turn, gave rise to crystallographic tilts in the same direction as that of the excess RC-broadenings.

  11. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  12. High-sensitivity β-Ga_2O_3 solar-blind photodetector on high-temperature pretreated c-plane sapphire substrate

    KAUST Repository

    Qian, Ling-Xuan

    2017-09-20

    Recently, monoclinic Ga2O3 (beta-Ga2O3) photodetectors (PDs) have been extensively studied for various commercial and military applications due to the merits of intrinsic solar rejection, high gain, and great compactness. In this work, c-plane sapphire substrates were annealed under different temperatures in a vacuum furnace prior to the molecular beam epitaxy (MBE) of beta-Ga2O3 thin film, which yielded a smoother surface and even a terraceand- step-like morphology on the substrate, resulting in improved crystallinity of the epitaxial film. Accordingly, both the dark and photo currents of beta-Ga2O3 metal-semiconductor-metal (MSM) PDs were increased by the enhanced carrier mobility (mu) of the more crystalline film. However, the substrate-annealing temperature must be sufficiently high to offset the rise of the dark current and thus achieve a remarkable improvement in the photodetection properties. As a result, the PD fabricated on the 1050 degrees C-annealed substrate exhibited extremely high sensitivity, for example, high responsivity (R) of 54.9 A/ W and large specific detectivity (D*) of 3.71 x 10(14) Jones. Both parameters were increased by one order of magnitude because of the combined effects of the dramatic increase in mu and the effective reduction in defect-related recombination centers. Nevertheless, the latter also prolonged the recovery time of the PD. These findings suggest another way to develop beta-Ga2O3 PD with extremely high sensitivity. (C) 2017 Optical Society of America

  13. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  14. Nanoscratch Characterization of GaN Epilayers on c- and a-Axis Sapphire Substrates

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2010-01-01

    Full Text Available Abstract In this study, we used metal organic chemical vapor deposition to form gallium nitride (GaN epilayers on c- and a-axis sapphire substrates and then used the nanoscratch technique and atomic force microscopy (AFM to determine the nanotribological behavior and deformation characteristics of the GaN epilayers, respectively. The AFM morphological studies revealed that pile-up phenomena occurred on both sides of the scratches formed on the GaN epilayers. It is suggested that cracking dominates in the case of GaN epilayers while ploughing during the process of scratching; the appearances of the scratched surfaces were significantly different for the GaN epilayers on the c- and a-axis sapphire substrates. In addition, compared to the c-axis substrate, we obtained higher values of the coefficient of friction (μ and deeper penetration of the scratches on the GaN a-axis sapphire sample when we set the ramped force at 4,000 μN. This discrepancy suggests that GaN epilayers grown on c-axis sapphire have higher shear resistances than those formed on a-axis sapphire. The occurrence of pile-up events indicates that the generation and motion of individual dislocation, which we measured under the sites of critical brittle transitions of the scratch track, resulted in ductile and/or brittle properties as a result of the deformed and strain-hardened lattice structure.

  15. Characterization and growth mechanism of nonpolar and semipolar GaN layers grown on patterned sapphire substrates

    International Nuclear Information System (INIS)

    Okada, Narihito; Tadatomo, Kazuyuki

    2012-01-01

    Nonpolar and semipolar GaN layers with markedly improved crystalline quality can be obtained by selective-area growth from the sapphire sidewalls of patterned sapphire substrates (PSSs). In this paper, we review the crystalline qualities of GaN layers grown on PSSs and their growth mechanism. We grew semipolar {1 1 −2 2} and {1 0 −1 1} GaN layers on r- and n-PSSs. The crystalline qualities of the GaN layers grown on the PSSs were higher than those of GaN layers grown directly on heteroepitaxial substrates. To reveal the growth mechanism of GaN layers grown on PSSs, we also grew various nonpolar and semipolar GaN layers such as m-GaN on a-PSS, {1 1 −2 2} GaN on r-PSS, {1 0 − 1  1} GaN on n-PSS, m-GaN on c-PSS and a-GaN on m-PSS. It was found that the nucleation of GaN on the c-plane-like sapphire sidewall results in selective growth from the sapphire sidewall, and nonpolar or semipolar GaN can be obtained. Finally, we demonstrated a light-emitting diode fabricated on a {1 1 −2 2} GaN layer grown on an r-PSS. (paper)

  16. Preparation and structural properties of YBCO films grown on GaN/c-sapphire hexagonal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chromik, S., E-mail: stefan.chromik@savba.sk [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Gierlowski, P. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Spankova, M.; Dobrocka, E.; Vavra, I.; Strbik, V.; Lalinsky, T.; Sojkova, M. [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Liday, J.; Vogrincic, P. [Department of Microelectronics, Slovak Technical University, Ilkovicova 3, 81219 Bratislava (Slovakia); Espinos, J.P. [Instituto de Ciencia de Materiales de Sevilla, Avda Americo Vespucio 49, 41092 Sevilla (Spain)

    2010-07-01

    Epitaxial YBCO thin films have been grown on hexagonal GaN/c-sapphire substrates using DC magnetron sputtering and pulsed laser deposition. An MgO buffer layer has been inserted between the substrate and the YBCO film as a diffusion barrier. X-ray diffraction analysis indicates a c-axis oriented growth of the YBCO films. {Phi}-scan shows surprisingly twelve maxima. Transmission electron microscopy analyses confirm an epitaxial growth of the YBCO blocks with a superposition of three a-b YBCO planes rotated by 120 deg. to each other. Auger electron spectroscopy and X-ray photoelectron spectroscopy reveal no surface contamination with Ga even if a maximum substrate temperature of 700 deg. C is applied.

  17. Influences of oxygen gas flow rate on electrical properties of Ga-doped ZnO thin films deposited on glass and sapphire substrates

    International Nuclear Information System (INIS)

    Makino, Hisao; Song, Huaping; Yamamoto, Tetsuya

    2014-01-01

    The Ga-doped ZnO (GZO) films deposited on glass and c-plane sapphire substrates have been comparatively studied in order to explore the role of grain boundaries in electrical properties. The influences of oxygen gas flow rates (OFRs) during the deposition by ion-plating were examined. The dependences of carrier concentration, lattice parameters, and characteristic of thermal desorption of Zn on the OFR showed common features between glass and sapphire substrates, however, the Hall mobility showed different behavior. The Hall mobility of GZO films on glass increased with increasing OFR of up to 15 sccm, and decreased with further increasing OFR. On the other hand, the Hall mobility of GZO films on c-sapphire increased for up to 25 sccm. The role of grain boundary in polycrystalline GZO films has been discussed. - Highlights: • Ga-doped ZnO films were deposited on glass and c-sapphire by ion-plating. • The epitaxial growth on c-sapphire was confirmed by X-ray diffraction. • Dependence of Hall mobility showed different tendency between glass and sapphire. • Grain boundaries influence transport properties at high O 2 gas flow rate

  18. Polishing Sapphire Substrates by 355 nm Ultraviolet Laser

    Directory of Open Access Journals (Sweden)

    X. Wei

    2012-01-01

    Full Text Available This paper tries to investigate a novel polishing technology with high efficiency and nice surface quality for sapphire crystal that has high hardness, wear resistance, and chemical stability. A Q-switched 355 nm ultraviolet laser with nanosecond pulses was set up and used to polish sapphire substrate in different conditions in this paper. Surface roughness Ra of polished sapphire was measured with surface profiler, and the surface topography was observed with scanning electronic microscope. The effects of processing parameters as laser energy, pulse repetition rate, scanning speed, incident angle, scanning patterns, and initial surface conditions on surface roughness were analyzed.

  19. Thermal degradation of ohmic contacts on semipolar (11-22) GaN films grown on m-plane (1-100) sapphire substrates

    International Nuclear Information System (INIS)

    Kim, Doo Soo; Kim, Deuk Young; Seo, Yong Gon; Kim, Ji Hoon; Hwang, Sung Min; Baik, Kwang Hyeon

    2012-01-01

    Semipolar (11-22) GaN films were grown on m-plane (1-100) sapphire substrates by using metalorganic chemical vapor deposition. The line widths of the omega rocking curves of the semipolar GaN films were 498 arcsec along the [11-23] GaN direction and 908 arcsec along the [10-10] GaN direction. The properties of the Ti/Al/Ni/Au metal contact were investigated using transmission-line-method patterns oriented in both the [11-23] GaN and the [10-10] GaN directions of semipolar (11-22) GaN. The minimum specific contact resistance of ∼3.6 x 10 -4 Ω·cm -2 was obtained on as-deposited metal contacts. The Ohmic contact properties of semipolar (11-22) GaN became degraded with increasing annealing temperature above 400 .deg. C. The thermal degradation of the metal contacts may be attributed to the surface property of N-polarity on the semipolar (11-22) GaN films. Also, the semipolar (11-22) GaN films did not show clear anisotropic behavior of the electrical properties for different azimuthal angles.

  20. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  1. Theoretical studies on lattice-oriented growth of single-walled carbon nanotubes on sapphire

    Science.gov (United States)

    Li, Zhengwei; Meng, Xianhong; Xiao, Jianliang

    2017-09-01

    Due to their excellent mechanical and electrical properties, single-walled carbon nanotubes (SWNTs) can find broad applications in many areas, such as field-effect transistors, logic circuits, sensors and flexible electronics. High-density, horizontally aligned arrays of SWNTs are essential for high performance electronics. Many experimental studies have demonstrated that chemical vapor deposition growth of nanotubes on crystalline substrates such as sapphire offers a promising route to achieve such dense, perfectly aligned arrays. In this work, a theoretical study is performed to quantitatively understand the van der Waals interactions between SWNTs and sapphire substrates. The energetically preferred alignment directions of SWNTs on A-, R- and M-planes and the random alignment on the C-plane predicted by this study are all in good agreement with experiments. It is also shown that smaller SWNTs have better alignment than larger SWNTs due to their stronger interaction with sapphire substrate. The strong vdW interactions along preferred alignment directions can be intuitively explained by the nanoscale ‘grooves’ formed by atomic lattice structures on the surface of sapphire. This study provides important insights to the controlled growth of nanotubes and potentially other nanomaterials.

  2. Effects of the crystallographic orientation of the Al2O3 substrate on the structural and the optical properties of ZnO thin films

    International Nuclear Information System (INIS)

    Lee, Chongmu; Park, Yeonkyu; Kim, Kyungha

    2006-01-01

    The structure and the optical properties of ZnO thin films grown on (0002) C-plane, (1120) A-plane, and (1012) R-plane sapphire substrates by using atomic layer epitaxy (ALE) were investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), and photoluminescence analysis (PL) techniques. The ZnO film grown on the C-plane sapphire substrate has the smallest full width at half maximum (FWHM) values for both the X-ray (0002) diffraction peak and the photoluminescence peak for near-band-edge emission whereas that grown on the R-plane sapphire substrate has the largest FWHM values. On the other hand, the ZnO film grown on the C-plane sapphire substrate has the strong texture of the c-axis but the roughest surface while those grown on the R- and the C-plane sapphire substrates have smoother surfaces but do not have the texture of the c-axis.

  3. Indentation-Induced Mechanical Deformation Behaviors of AlN Thin Films Deposited on c-Plane Sapphire

    International Nuclear Information System (INIS)

    Jian, Sh.R.; Juang, J.Y.

    2012-01-01

    The mechanical properties and deformation behaviors of AlN thin films deposited on c-plane sapphire substrates by helicon sputtering method were determined using the Berkovich nano indentation and cross-sectional transmission electron microscopy (XTEM). The load-displacement curves show the 'pop-ins' phenomena during nano indentation loading, indicative of the formation of slip bands caused by the propagation of dislocations. No evidence of nano indentation-induced phase transformation or cracking patterns was observed up to the maximum load of 80 mN, from either XTEM or atomic force microscopy (AFM) of the mechanically deformed regions. Instead, XTEM revealed that the primary deformation mechanism in AlN thin films is via propagation of dislocations on both basal and pyramidal planes. Furthermore, the hardness and Young's modulus of AlN thin films estimated using the continuous contact stiffness measurements (CSMs) mode provided with the nanoindenter are 16.2 GPa and 243.5 GPa, respectively.

  4. Heteroepitaxial growth of CuInS2 thin films on sapphire by radio frequency reactive sputtering

    International Nuclear Information System (INIS)

    He, Y.B.; Kriegseis, W.; Meyer, B.K.; Polity, A.; Serafin, M.

    2003-01-01

    Direct heteroepitaxial growth of uniform stoichiometric CuInS 2 (CIS) thin films on sapphire (0001) substrates has been achieved by radio frequency reactive sputtering. X-ray ω-2θ scans reveal that the sputtered layers grow in a (112) orientation with a chalcopyrite structure. A rocking curve full width at half maximum of about 0.05 deg. (180 arc sec) for the (112) peak demonstrates a nearly perfect out-of-plane arrangement of CIS (112) parallel sapphire (0001). X-ray diffraction Phi scans further illustrate an excellent in-plane ordering of CIS [1-bar10] parallel sapphire (101-bar0). The sputtered thin CIS epilayers had a smooth surface with a typical root-mean-square roughness of about 3.3 nm as evaluated by atomic force microscopy. The epitaxial growth of tetragonal CIS on hexagonal sapphire provides evidence that heteroepitaxial growth may be realized between structures of different symmetry, such as films of cubic or tetragonal structures on hexagonal substrates or vice versa

  5. Morphological dependent Indium incorporation in InGaN/GaN multiple quantum wells structure grown on 4° misoriented sapphire substrate

    Directory of Open Access Journals (Sweden)

    Teng Jiang

    2016-03-01

    Full Text Available The epitaxial layers of InGaN/GaN MQWs structure were grown on both planar and vicinal sapphire substrates by metal organic chemical vapor deposition. By comparing the epitaxial layers grown on planar substrate, the sample grown on 4° misoriented from c-plane toward m-plane substrate exhibited many variations both on surface morphology and optical properties according to the scanning electronic microscopy and cathodoluminescence (CL spectroscopy results. Many huge steps were observed in the misoriented sample and a large amount of V-shape defects located around the boundary of the steps. Atoms force microscopy images show that the steps were inclined and deep grooves were formed at the boundary of the adjacent steps. Phase separation was observed in the CL spectra. CL mapping results also indicated that the deep grooves could effectively influence the localization of Indium atoms and form an In-rich region.

  6. Domain matching epitaxy of cubic In{sub 2}O{sub 3} on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Vogt, Patrick; Trampert, Achim; Ramsteiner, Manfred; Bierwagen, Oliver [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117, Berlin (Germany)

    2015-07-15

    Undoped, Sn-doped, and Mg-doped In{sub 2}O{sub 3} layers were grown on rhombohedral r-plane sapphire (α-Al{sub 2}O{sub 3} (10.2)) by plasma-assisted molecular beam epitaxy. X-ray diffraction and Raman scattering experiments demonstrated the formation of phase-pure, cubic (110)-oriented In{sub 2}O{sub 3} for Sn- and Mg-concentrations up to 2 x 10{sup 20} and 6 x 10{sup 20} cm{sup -3}, respectively. Scanning electron microscopy images showed facetted domains without any surface-parallel (110) facets. High Mg- or Sn-doping influenced surface morphology and the facet formation. X-ray diffraction Φ-scans indicated the formation of two rotational domains separated by an angle Φ = 86.6 due to the substrate mirror-symmetry around the in-plane-projected Al{sub 2}O{sub 3} c-axis. The in-plane epitaxial relationships to the substrate were determined for both domains. For the first domain it is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 3 anti 4]. For the second domain the inplane epitaxial relation is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 34]. A low-mismatch coincidence lattice of indium atoms from the film and oxygen atoms from the substrate rationalizes this epitaxial relation by domain-matched epitaxy. Cross-sectional transmission-electron microscopy showed a columnar domain-structure, indicating the vertical growth of the rotational domains after their nucleation. Coincidence structure of In{sub 2}O{sub 3} (110) (In atoms in red) grown on Al{sub 2}O{sub 3} (10.2) (O atoms in blue) showing two rotational domians. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Characteristics of surface acoustic waves in (11\\bar 2 0)ZnO film/ R-sapphire substrate structures

    Science.gov (United States)

    Wang, Yan; Zhang, ShuYi; Xu, Jing; Xie, YingCai; Lan, XiaoDong

    2018-02-01

    (11\\bar 2 0)ZnO film/ R-sapphire substrate structure is promising for high frequency acoustic wave devices. The propagation characteristics of SAWs, including the Rayleigh waves along [0001] direction and Love waves along [1ī00] direction, are investigated by using 3 dimensional finite element method (3D-FEM). The phase velocity ( v p), electromechanical coupling coefficient ( k 2), temperature coefficient of frequency ( TCF) and reflection coefficient ( r) of Rayleigh wave and Love wave devices are theoretically analyzed. Furthermore, the influences of ZnO films with different crystal orientation on SAW properties are also investigated. The results show that the 1st Rayleigh wave has an exceedingly large k 2 of 4.95% in (90°, 90°, 0°) (11\\bar 2 0)ZnO film/ R-sapphire substrate associated with a phase velocity of 5300 m/s; and the 0th Love wave in (0°, 90°, 0°) (11\\bar 2 0)ZnO film/ R-sapphire substrate has a maximum k 2 of 3.86% associated with a phase velocity of 3400 m/s. And (11\\bar 2 0)ZnO film/ R-sapphire substrate structures can be used to design temperature-compensated and wide-band SAW devices. All of the results indicate that the performances of SAW devices can be optimized by suitably selecting ZnO films with different thickness and crystal orientations deposited on R-sapphire substrates.

  8. Luminescence of highly excited nonpolar a-plane GaN and AlGaN/GaN multiple quantum wells

    International Nuclear Information System (INIS)

    Jursenas, S.; Kuokstis, E.; Miasojedovas, S.; Kurilcik, G.; Zukauskas, A.; Chen, C.Q.; Yang, J.W.; Adivarahan, V.; Asif Khan, M.

    2004-01-01

    Carrier recombination dynamics in polar and nonpolar GaN epilayers and GaN/AlGaN multiple quantum wells grown over sapphire substrates with a various crystallographic orientation were studied under high photoexcitation by 20 ps laser pulses. The transient luminescence featured a significant enhancement on nonradiative recombination of free carriers for nonpolar a-plane GaN epilayers compared to conventional c-plane samples. The epitaxial layer overgrowth technique was demonstrated to significantly improve the quality of nonpolar a-plane films. This was proved by more than 40-fold increase in luminescence decay time (430 ps compared to ≤ 10 ps in the ordinary a-plane epilayer). Under high-excitation regime, a complete screening of built-in electric field by free carriers in multiple quantum wells grown on c-plane and r-plane sapphire substrates was achieved. Under such high excitation, luminescence efficiency and carrier lifetime of multiple quantum wells were shown to be determined by the substrate quality. (author)

  9. Dependence of adhesion strength between GaN LEDs and sapphire substrate on power density of UV laser irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Park, Junsu [Department of Nano-Manufacturing Technology, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of); Sin, Young-Gwan [Department of Nano-Mechatronics, Korea University of Science and Technology (UST), 217 Gajeong-Ro, Yuseong-Gu, Daejeon 34113 (Korea, Republic of); Kim, Jae-Hyun [Department of Nano-Mechanics, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of); Kim, Jaegu, E-mail: gugu99@kimm.re.kr [Department of Nano-Manufacturing Technology, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of)

    2016-10-30

    Highlights: • Fundamental relationship between laser irradiation and adhesion strength, between gallium-nitride light emitted diode and sapphire substrate, is proposed during selective laser lift-off. • Two competing mechanisms affect adhesion at the irradiated interface between the GaN LED and sapphire substrate. • Ga precipitation caused by thermal decomposition and roughened interface caused by thermal damage lead to the considerable difference of adhesion strength at the interface. - Abstract: Selective laser lift-off (SLLO) is an innovative technology used to manufacture and repair micro-light-emitting diode (LED) displays. In SLLO, laser is irradiated to selectively separate micro-LED devices from a transparent sapphire substrate. The light source used is an ultraviolet (UV) laser with a wavelength of 266 nm, pulse duration of 20 ns, and repetition rate of 30 kHz. Controlled adhesion between a LED and the substrate is key for a SLLO process with high yield and reliability. This study examined the fundamental relationship between adhesion and laser irradiation. Two competing mechanisms affect adhesion at the irradiated interface between the GaN LED and sapphire substrate: Ga precipitation caused by the thermal decomposition of GaN and roughened interface caused by thermal damage on the sapphire. The competition between these two mechanisms leads to a non-trivial SLLO condition that needs optimization. This study helps understand the SLLO process, and accelerate the development of a process for manufacturing micro-LED displays via SLLO for future applications.

  10. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  11. Ga2O3-In2O3 thin films on sapphire substrates: Synthesis and ultraviolet photoconductivity

    Science.gov (United States)

    Muslimov, A. E.; Butashin, A. V.; Kolymagin, A. B.; Nabatov, B. V.; Kanevsky, V. M.

    2017-11-01

    The structure and electrical and optical properties of β-Ga2O3-In2O3 thin films on sapphire substrates with different orientations have been investigated. The samples have been prepared by annealing of gallium-indium metallic films on sapphire substrates in air at different gallium-to-indium ratios in the initial mixture. The photoconductivity of these structures in the solar-blind ultraviolet spectral region has been examined.

  12. Growth of vertically oriented InN nanorods from In-rich conditions on unintentionally patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Terziyska, Penka T., E-mail: pterziy1@lakeheadu.ca [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); Butcher, Kenneth Scott A. [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); MEAglow Ltd., Box 398, 2400 Nipigon Road, Thunder Bay, ON P7C4W1 (Canada); Rafailov, Peter [Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria); Alexandrov, Dimiter [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); MEAglow Ltd., Box 398, 2400 Nipigon Road, Thunder Bay, ON P7C4W1 (Canada)

    2015-10-30

    Highlights: • Vertical InN nanorods are grown on selective areas of sapphire substrates. • In metal droplets nucleate on the sharp needle apexes on the selective areas. • The preferred orientation and the growth direction of the nanorods are (0 0 0 1). • The nanorods grow from the supersaturated indium melt on their tops. - Abstract: Vertically oriented InN nanorods were grown on selective areas of unintentionally patterned c-oriented sapphire substrates exhibiting sharp needles that preferentially accommodate In-metal liquid droplets, using Migration Enhanced Afterglow (MEAglow) growth technique. We point out that the formation of AlN needles on selected areas can be reproduced intentionally by over-nitridation of unmasked areas of sapphire substrates. The liquid indium droplets serve as a self-catalyst and the nanorods grow from the supersaturated indium melt in the droplet in a vertical direction. X-ray diffraction measurements indicate the presence of hexagonal InN only, with preferred orientation along (0 0 0 1) crystal axis, and very good crystalline quality. The room temperature Raman spectrum shows the presence of the A{sub 1}(TO), E{sub 2}(high) and A{sub 1}(LO) phonon modes of the hexagonal InN.

  13. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  14. Preparation of a Non-Polar ZnO Film on a Single-Crystal NdGaO3 Substrate by the RF Sputtering Method

    Science.gov (United States)

    Kashiwaba, Y.; Tanaka, Y.; Sakuma, M.; Abe, T.; Imai, Y.; Kawasaki, K.; Nakagawa, A.; Niikura, I.; Kashiwaba, Y.; Osada, H.

    2018-04-01

    Preparation of non-polar ZnO ( 11\\overline{2} 0 ) films on single-crystal NdGaO3 (NGO) (001) substrates was successfully achieved by the radio frequency (RF) sputtering method. Orientation, deposition rate, and surface roughness of ZnO films strongly depend on the working pressure. Characteristics of ZnO films deposited on single-crystal NGO (001) substrates were compared with those of ZnO films deposited on single-crystal sapphire ( 01\\overline{1} 2 ) substrates. An x-ray diffraction peak of the ZnO ( 11\\overline{2} 0 ) plane was observed on ZnO films deposited on single-crystal NGO (001) substrates under working pressure of less than 0.5 Pa. On the other hand, uniaxially oriented ZnO ( 11\\overline{2} 0 ) films on single-crystal sapphire ( 01\\overline{1} 2 ) substrates were observed under working pressure of 0.1 Pa. The mechanism by which the diffraction angle of the ZnO ( 11\\overline{2} 0 ) plane on single-crystal NGO (001) substrates was shifted is discussed on the basis of anisotropic stress of lattice mismatch. The deposition rate of ZnO films decreased with an increase in working pressure, and the deposition rate on single-crystal NGO (001) substrates was larger than that on single-crystal sapphire ( 01\\overline{1} 2 ) substrates. Root mean square (RMS) roughness of ZnO films increased with an increase in working pressure, and RMS roughness of ZnO films on single-crystal NGO (001) substrates was smaller than that of ZnO films on single-crystal sapphire ( 01\\overline{1} 2 ) substrates even though the film thickness on single-crystal NGO (001) substrates was greater than that on sapphire substrates. It is thought that a single-crystal NGO (001) substrate is useful for deposition of non-polar ZnO ( 11\\overline{2} 0 ) films.

  15. Effect of Top-Region Area of Flat-Top Pyramid Patterned Sapphire Substrate on the Optoelectronic Performance of GaN-Based Light-Emitting Diodes

    Directory of Open Access Journals (Sweden)

    Hsu-Hung Hsueh

    2016-01-01

    Full Text Available The flat-top pyramid patterned sapphire substrates (FTP-PSSs have been prepared for the growth of GaN epilayers and the fabrication of lateral-type light-emitting diodes (LEDs with an emission wavelength of approximately 470 nm. Three kinds of FTP-PSSs, which were denoted as FTP-PSS-A, FTP-PSS-B, and FTP-PSS-C, respectively, were formed through the sequential wet etching processes. The diameters of circle areas on the top regions of these three FTP-PSSs were 1, 2, and 3 μm, respectively. Based on the X-ray diffraction results, the full-width at half-maximum values of rocking curves at (002 plane for the GaN epilayers grown on conventional sapphire substrate (CSS, FTP-PSS-A, FTP-PSS-B, and FTP-PSS-C were 412, 238, 346, and 357 arcsec, while these values at (102 plane were 593, 327, 352, and 372 arcsec, respectively. The SpeCLED-Ratro simulation results reveal that the LED prepared on FTP-PSS-A has the highest light extraction efficiency than that of the other devices. At an injection current of 350 mA, the output powers of LEDs fabricated on CSS, FTP-PSS-A, FTP-PSS-B, and FTP-PSS-C were 157, 254, 241, and 233 mW, respectively. The results indicate that both the crystal quality of GaN epilayer and the light extraction of LED can be improved via the use of FTP-PSS, especially for the FTP-PSS-A.

  16. Semipolar MOVPE AlGaN on (10 anti 10) m-plane sapphire; MOVPE von semipolarem AlGaN auf (10 anti 10) m-plane Saphir

    Energy Technology Data Exchange (ETDEWEB)

    Mehnke, Frank; Stellmach, Joachim; Frentrup, Martin; Kusch, Gunnar; Wernicke, Tim; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin, Institut fuer Festkoerperphysik, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    The energy gap of AlGaN varies between 3.4 eV and 6.2 eV and allows light emitting diodes (LED) in the ultraviolet spectral region. The authors studied semipolar (11 anti 22) AlGaN layers that were deposited on (10 anti 10) m-plane sapphire using MOVPE (metalorganic vapor phase epitaxy) without nucleation layer with a substrate temperature below 1100 C in H2 atmosphere. The layers are preferably (11 anti 22) oriented. The sample show a surface roughness between 15 and 2 nm. The Al content of the smoothest samples is about 60% determined by transmission experiments. Below 60% Al content a triangular morphology was observed, the opening angle increased with decreasing Al content. The absorption edge was 0.05 eV (GaN) to 0.35 eV (AlN) below the band edge of (0001) oriented AlGaN layers. Further investigations of semipolar AlGaN layers to study the applicability for UV LEDs are under preparation.

  17. Improvement in light-output efficiency of near-ultraviolet InGaN-GaN LEDs fabricated on stripe patterned sapphire substrates

    International Nuclear Information System (INIS)

    Lee, Y.J.; Hsu, T.C.; Kuo, H.C.; Wang, S.C.; Yang, Y.L.; Yen, S.N.; Chu, Y.T.; Shen, Y.J.; Hsieh, M.H.; Jou, M.J.; Lee, B.J.

    2005-01-01

    InGaN/GaN multi-quantum wells near ultraviolet light-emitting diodes (LEDs) were fabricated on a patterned sapphire substrate (PSS) with parallel stripe along the sapphire direction by using low-pressure metal-organic chemical vapor deposition (MOCVD). The forward- and reverse-bias electrical characteristics of the stripe PSS LEDs are, respectively, similar and better than those of conventional LEDs on sapphire substrate. The output power of the epoxy package of stripe PSS LED was 20% higher than that of the conventional LEDs. The enhancement of output power is due not only to the reduction of dislocation density but also to the release of the guided light in LEDs by the geometric shape of the stripe PSS, according to the ray-tracing analysis

  18. On the laser lift-off of lightly doped micrometer-thick n-GaN films from substrates via the absorption of IR radiation in sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Voronenkov, V. V. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Virko, M. V.; Kogotkov, V. S.; Leonidov, A. A. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Pinchuk, A. V.; Zubrilov, A. S.; Gorbunov, R. I.; Latishev, F. E.; Bochkareva, N. I.; Lelikov, Y. S.; Tarkhin, D. V.; Smirnov, A. N.; Davydov, V. Y. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Sheremet, I. A. [Financial University under the Government of the Russian Federation (Russian Federation); Shreter, Y. G., E-mail: y.shreter@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The intense absorption of CO{sub 2} laser radiation in sapphire is used to separate GaN films from GaN templates on sapphire. Scanning of the sapphire substrate by the laser leads to the thermal dissociation of GaN at the GaN/sapphire interface and to the detachment of GaN films from the sapphire. The threshold density of the laser energy at which n-GaN started to dissociate is 1.6 ± 0.5 J/cm{sup 2}. The mechanical-stress distribution and the surface morphology of GaN films and sapphire substrates before and after laser lift-off are studied by Raman spectroscopy, atomic-force microscopy, and scanning electron microscopy. A vertical Schottky diode with a forward current density of 100 A/cm{sup 2} at a voltage of 2 V and a maximum reverse voltage of 150 V is fabricated on the basis of a 9-μm-thick detached n-GaN film.

  19. Polarized photoluminescence excitation spectroscopy of a-plane InGaN/GaN multiple quantum wells grown on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Kundys, D., E-mail: dmytro.kundys@manchester.ac.uk; Sutherland, D.; Badcock, T. J.; Dawson, P. [School of Physics and Astronomy, Photon Science Institute, University of Manchester, Manchester M13 9PL (United Kingdom); Schulz, S. [Photonics Theory group, Tyndall National Institute, Lee Maltings, Cork (Ireland); Oehler, F.; Kappers, M. J.; Oliver, R. A.; Humphreys, C. J. [Department of Materials Science and Metallurgy, University of Cambridge, Cambridge, CB3 0FS (United Kingdom)

    2014-03-21

    We have performed a detailed study of the impact of basal plane stacking faults (BSFs) on the optical properties of both a-plane InGaN/GaN quantum wells (QWs) and GaN template samples grown on r-sapphire. In particular, we have used polarised photoluminescence excitation spectroscopy (P-PLE) to investigate the nature of the low temperature recombination as well as extracting information on the valence band (VB) polarisation anisotropy. Our low temperature P-PLE results revealed not only excitons associated with intersubband quantum well transitions and the GaN barrier material but also a transition associated with creation of excitons in BSFs. The strength of this BSF transition varied with detection energy across the quantum well emission suggesting that there is a significant contribution to the emission line width from changes in the local electronic environment of the QWs due to interactions with BSFs. Furthermore, we observed a corresponding progressive increase in the VB splitting of the QWs as the detection energy was varied across the quantum well emission spectrum.

  20. Heteroepitaxial growth of basal plane stacking fault free a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Hempel, Thomas; Noltemeyer, Martin; Witte, Hartmut; Dadgar, Armin; Blaesing, Juergen; Christen, Juergen; Krost, Alois [Otto-von-Guericke Universitaet Magdeburg, FNW/IEP, Magdeburg (Germany)

    2010-07-01

    Growth of light emitting quantum-wells based on a-plane GaN is a possibility to reduce or even to avoid polarization correlated luminescence red shift and reduction of radiative recombination efficiency. But until now heteroepitaxially grown a-plane GaN films are characterized by a poor crystalline quality expressed by a high density of basal plane stacking faults (BSF) and partial dislocations. We present Si doped a-plane GaN films grown on r-plane sapphire substrates by metal organic vapor phase epitaxy using high temperature AlGaN nucleation layers. FE-SEM images revealed three dimensionally grown GaN crystallites sized up to tenth micrometer in the basal plane and a few tenth micrometers along the c-axes. Though, the full width at half maxima of the X-ray diffraction {omega}-scans of the in-plane GaN(1 anti 100) and GaN(0002) Bragg reflections exhibited a very high crystal quality. Furthermore, luminescence spectra were dominated by near band gap emission, while there was no separated peak of the basal plane stacking fault. In summary we present heteroepitaxially grown a-plane GaN without an evidence of basal plane stacking faults in X-ray diffraction measurements and luminescence spectra.

  1. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung [Department of Materials Science and Engineering and Research Institute of Advanced Materials (RIAM), Seoul National University, Seoul 151-744 (Korea, Republic of); Ju, Jin-Woo [Korea Photonics Technology Institute, Gwangju 500-779 (Korea, Republic of); Kim, Young-Min; Yoo, Seung Jo; Kim, Jin-Gyu [Korea Basic Science Institute, Daejeon 305-806 (Korea, Republic of)

    2015-07-15

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface, high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.

  2. Thermal plasma fabricated lithium niobate-tantalate films on sapphire substrate

    International Nuclear Information System (INIS)

    Kulinich, S.A.; Yoshida, T.; Yamamoto, H.; Terashima, K.

    2003-01-01

    We report the deposition of LiNb 1-x Ta x O 3 (0≤x≤1) films on (001) sapphire substrates in soft vacuum using a radio frequency thermal plasma. The growth rate, crystallinity, c-axis orientation, and surface roughness were examined as functions of substrate temperature, precursor feed rate, and substrate surface condition. The film Nb/Ta ratio was well controlled by using an appropriate uniform mixture of lithium-niobium and lithium-tantalum alkoxide solutions. The epitaxy and crystallinity of the films were much improved when the film growth rate was raised from 20 to 180-380 nm/min, where the films with the (006) rocking curve full width at half maximum values as low as 0.12 deg. -0.2 deg. could be produced. The film roughness could be reduced by using a liquid precursor with higher metal concentrations, achieving the root-mean-square value on the order of 5 nm. The refractive indices of the films are in good correspondence with their composition and crystallinity

  3. Polar and Nonpolar Gallium Nitride and Zinc Oxide based thin film heterostructures Integrated with Sapphire and Silicon

    Science.gov (United States)

    Gupta, Pranav

    This dissertation work explores the understanding of the relaxation and integration of polar and non-polar of GaN and ZnO thin films with Sapphire and silicon substrates. Strain management and epitaxial analysis has been performed on wurtzitic GaN(0001) thin films grown on c-Sapphire and wurtzitic non-polar a-plane GaN(11-20) thin films grown on r-plane Sapphire (10-12) by remote plasma atomic nitrogen source assisted UHV Pulsed Laser Deposition process. It has been established that high-quality 2-dimensional c-axis GaN(0001) nucleation layers can be grown on c-Sapphire by PLD process at growth temperatures as low as ˜650°C. Whereas the c-axis GaN on c-sapphire has biaxially negative misfit, the crystalline anisotropy of the a-plane GaN films on r-Sapphire results in compressive and tensile misfits in the two major orthogonal directions. The measured strains have been analyzed in detail by X-ray, Raman spectroscopy and TEM. Strain relaxation in GaN(0001)/Sapphire thin film heterostructure has been explained by the principle of domain matched epitaxial growth in large planar misfit system and has been demonstrated by TEM study. An attempt has been made to qualitatively understand the minimization of free energy of the system from the strain perspective. Analysis has been presented to quantify the strain components responsible for the compressive strain observed in the GaN(0001) thin films on c-axis Sapphire substrates. It was also observed that gallium rich deposition conditions in PLD process lead to smoother nucleation layers because of higher ad-atom mobility of gallium. We demonstrate near strain relaxed epitaxial (0001) GaN thin films grown on (111) Si substrates using TiN as intermediate buffer layer by remote nitrogen plasma assisted UHV pulsed laser deposition (PLD). Because of large misfits between the TiN/GaN and TiN/Si systems the TIN buffer layer growth occurs via nucleation of interfacial dislocations under domain matching epitaxy paradigm. X-ray and

  4. Low-threshold stimulated emission at 249 nm and 256 nm from AlGaN-based multiple-quantum-well lasers grown on sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xiao-Hang; Detchprohm, Theeradetch; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Dupuis, Russell D., E-mail: dupuis@gatech.edu [Center for Compound Semiconductors and School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332-0250 (United States); Wang, Shuo; Wei, Yong O.; Xie, Hongen; Fischer, Alec M.; Ponce, Fernando A. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Wernicke, Tim; Reich, Christoph; Martens, Martin; Kneissl, Michael [Technical University of Berlin, Institute for Solid State Physics, Berlin D-10623 (Germany)

    2014-10-06

    Optically pumped deep-ultraviolet (DUV) lasing with low threshold was demonstrated from AlGaN-based multiple-quantum-well (MQW) heterostructures grown on sapphire substrates. The epitaxial layers were grown pseudomorphically by metalorganic chemical vapor deposition on (0001) sapphire substrates. Stimulated emission was observed at wavelengths of 256 nm and 249 nm with thresholds of 61 kW/cm{sup 2} and 95 kW/cm{sup 2} at room temperature, respectively. The thresholds are comparable to the reported state-of-the-art AlGaN-based MQW DUV lasers grown on bulk AlN substrates emitting at 266 nm. These low thresholds are attributed to the optimization of active region and waveguide layer as well as the use of high-quality AlN/sapphire templates. The stimulated emission above threshold was dominated by transverse-electric polarization. This work demonstrates the potential candidacy of sapphire substrates for DUV diode lasers.

  5. Low-threshold stimulated emission at 249 nm and 256 nm from AlGaN-based multiple-quantum-well lasers grown on sapphire substrates

    International Nuclear Information System (INIS)

    Li, Xiao-Hang; Detchprohm, Theeradetch; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Dupuis, Russell D.; Wang, Shuo; Wei, Yong O.; Xie, Hongen; Fischer, Alec M.; Ponce, Fernando A.; Wernicke, Tim; Reich, Christoph; Martens, Martin; Kneissl, Michael

    2014-01-01

    Optically pumped deep-ultraviolet (DUV) lasing with low threshold was demonstrated from AlGaN-based multiple-quantum-well (MQW) heterostructures grown on sapphire substrates. The epitaxial layers were grown pseudomorphically by metalorganic chemical vapor deposition on (0001) sapphire substrates. Stimulated emission was observed at wavelengths of 256 nm and 249 nm with thresholds of 61 kW/cm 2 and 95 kW/cm 2 at room temperature, respectively. The thresholds are comparable to the reported state-of-the-art AlGaN-based MQW DUV lasers grown on bulk AlN substrates emitting at 266 nm. These low thresholds are attributed to the optimization of active region and waveguide layer as well as the use of high-quality AlN/sapphire templates. The stimulated emission above threshold was dominated by transverse-electric polarization. This work demonstrates the potential candidacy of sapphire substrates for DUV diode lasers.

  6. High Transparent and Conductive TiO2/Ag/TiO2 Multilayer Electrode Films Deposited on Sapphire Substrate

    Science.gov (United States)

    Loka, Chadrasekhar; Moon, Sung Whan; Choi, YiSik; Lee, Kee-Sun

    2018-03-01

    Transparent conducting oxides attract intense interests due to its diverse industrial applications. In this study, we report sapphire substrate-based TiO2/Ag/TiO2 (TAT) multilayer structure of indium-free transparent conductive multilayer coatings. The TAT thin films were deposited at room temperature on sapphire substrates and a rigorous analysis has been presented on the electrical and optical properties of the films as a function of Ag thickness. The optical and electrical properties were mainly controlled by the Ag mid-layer thickness of the TAT tri-layer. The TAT films showed high luminous transmittance 84% at 550 nm along with noteworthy low electrical resistance 3.65 × 10-5 Ω-cm and sheet resistance of 3.77 Ω/square, which is better are than those of amorphous ITO films and any sapphire-based dielectric/metal/dielectric multilayer stack. The carrier concentration of the films was increased with respect to Ag thickness. We obtained highest Hackke's figure of merit 43.97 × 10-3 Ω-1 from the TAT multilayer thin film with a 16 nm thick Ag mid-layer.

  7. AFM imaging and fractal analysis of surface roughness of AlN epilayers on sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Dallaeva, Dinara, E-mail: dinara.dallaeva@yandex.ru [Brno University of Technology, Faculty of Electrical Engineering and Communication, Physics Department, Technická 8, 616 00 Brno (Czech Republic); Ţălu, Ştefan [Technical University of Cluj-Napoca, Faculty of Mechanical Engineering, Department of AET, Discipline of Descriptive Geometry and Engineering Graphics, 103-105 B-dul Muncii Street, Cluj-Napoca 400641, Cluj (Romania); Stach, Sebastian [University of Silesia, Faculty of Computer Science and Materials Science, Institute of Informatics, Department of Biomedical Computer Systems, ul. Będzińska 39, 41-205 Sosnowiec (Poland); Škarvada, Pavel; Tománek, Pavel; Grmela, Lubomír [Brno University of Technology, Faculty of Electrical Engineering and Communication, Physics Department, Technická 8, 616 00 Brno (Czech Republic)

    2014-09-01

    Graphical abstract: - Highlights: • We determined the complexity of 3D surface roughness of aluminum nitride layers. • We used atomic force microscopy and analyzed their fractal geometry. • We determined the fractal dimension of surface roughness of aluminum nitride layers. • We determined the dependence of layer morphology on substrate temperature. - Abstract: The paper deals with AFM imaging and characterization of 3D surface morphology of aluminum nitride (AlN) epilayers on sapphire substrates prepared by magnetron sputtering. Due to the effect of temperature changes on epilayer's surface during the fabrication, a surface morphology is studied by combination of atomic force microscopy (AFM) and fractal analysis methods. Both methods are useful tools that may assist manufacturers in developing and fabricating AlN thin films with optimal surface characteristics. Furthermore, they provide different yet complementary information to that offered by traditional surface statistical parameters. This combination is used for the first time for measurement on AlN epilayers on sapphire substrates, and provides the overall 3D morphology of the sample surfaces (by AFM imaging), and reveals fractal characteristics in the surface morphology (fractal analysis)

  8. Characteristics of InGaN-Based Light-Emitting Diodes on Patterned Sapphire Substrates with Various Pattern Heights

    Directory of Open Access Journals (Sweden)

    Sheng-Fu Yu

    2012-01-01

    Full Text Available The optical and electrical characteristics of InGaN-based blue light-emitting diodes (LEDs grown on patterned sapphire substrates (PSSs with different pattern heights and on planar sapphire by atmospheric-pressure metal-organic chemical vapor deposition were investigated. Compared with planar sapphire, it was found that the LED electroluminescence intensity is significantly enhanced on PSSs with pattern heights of 0.5 (21%, 1.1 (57%, 1.5 (81%, and 1.9 (91% μm at an injected current of 20 mA. The increased light intensity exhibits the same trend in a TracePro simulation. In addition, it was also found that the level of leakage current depends on the density of V-shape defects, which were measured by scanning electron microscopy.

  9. Structural properties, crystal quality and growth modes of MOCVD-grown AlN with TMAl pretreatment of sapphire substrate

    KAUST Repository

    Sun, Haiding; Wu, Feng; Altahtamouni, Talal Mohammed Ahmad; Alfaraj, Nasir; Li, Kun; Detchprohm, Theeradetch; Dupuis, Russell; Li, Xiaohang

    2017-01-01

    The growth of high quality AlN epitaxial films relies on precise control of the initial growth stages. In this work, we examined the influence of the trimethylaluminum (TMAl) pretreatment of sapphire substrates on the structural properties, crystal quality and growth modes of heteroepitaxial AlN films on (0001) sapphire substrates. Without the pretreatment, the AlN films nucleated on the smooth surface but exhibited mixed crystallographic Al- (N-) polarity, resulting in rough AlN film surfaces. With increasing the pretreatment time from 1 to 5 s, the N-polarity started to be impeded. However, small islands were formed on sapphire surface due to the decompostion of TMAl. As a result, small voids became noticeable at the nucleation layer (NL) because the growth started as quasi three-dimensional (3D) but transformed to 2D mode as the film grew thicker and got coalesced, leading to smoother and Al-polar films. On the other hand, longer pretreatment time of 40 s formed large 3D islands on sapphire, and thus initiated a 3D-growth mode of the AlN film, generating Al-polar AlN nanocolumns with different facets, which resulted into rougher film surfaces. The epitaxial growth modes and their correlation with the AlN film crystal quality under different TMAl pretreatments are also discussed.

  10. Structural properties, crystal quality and growth modes of MOCVD-grown AlN with TMAl pretreatment of sapphire substrate

    KAUST Repository

    Sun, Haiding

    2017-08-08

    The growth of high quality AlN epitaxial films relies on precise control of the initial growth stages. In this work, we examined the influence of the trimethylaluminum (TMAl) pretreatment of sapphire substrates on the structural properties, crystal quality and growth modes of heteroepitaxial AlN films on (0001) sapphire substrates. Without the pretreatment, the AlN films nucleated on the smooth surface but exhibited mixed crystallographic Al- (N-) polarity, resulting in rough AlN film surfaces. With increasing the pretreatment time from 1 to 5 s, the N-polarity started to be impeded. However, small islands were formed on sapphire surface due to the decompostion of TMAl. As a result, small voids became noticeable at the nucleation layer (NL) because the growth started as quasi three-dimensional (3D) but transformed to 2D mode as the film grew thicker and got coalesced, leading to smoother and Al-polar films. On the other hand, longer pretreatment time of 40 s formed large 3D islands on sapphire, and thus initiated a 3D-growth mode of the AlN film, generating Al-polar AlN nanocolumns with different facets, which resulted into rougher film surfaces. The epitaxial growth modes and their correlation with the AlN film crystal quality under different TMAl pretreatments are also discussed.

  11. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  12. Possible pitfalls in search of magnetic order in thin films deposited on single crystalline sapphire substrates

    International Nuclear Information System (INIS)

    Salzer, R.; Spemann, D.; Esquinazi, P.; Hoehne, R.; Setzer, A.; Schindler, K.; Schmidt, H.; Butz, T.

    2007-01-01

    We have studied the field and temperature dependence of the magnetic moment of single crystalline sapphire substrates with different surface orientations. All the substrates show a ferromagnetic behavior that partially changes after surface cleaning. The amount of magnetic impurities in the substrates was determined by particle induced X-ray emission. The overall analysis of the data indicates that the magnetic impurities very likely contribute to the measured ferromagnetic behavior but does not rule out completely intrinsic contributions. Our work stresses the necessity to use other than bulk characterization methods for the study of weak ferromagnetic signals of thin films grown on oxide substrates

  13. Antiphase inversion domains in lithium cobaltite thin films deposited on single-crystal sapphire substrates

    International Nuclear Information System (INIS)

    Zheng, S.J.; Fisher, C.A.J.; Hitosugi, T.; Kumatani, A.; Shiraki, S.; Ikuhara, Y.H.; Kuwabara, A.; Moriwake, H.; Oki, H.; Ikuhara, Y.

    2013-01-01

    Antiphase inversion domains in LiCoO 2 thin films prepared by pulsed laser deposition on sapphire single-crystal substrates are analyzed using a combination of (scanning) transmission electron microscopy and first-principles calculations. Domains form epitaxially on the substrates with orientation relationships of [112 ¯ 0] LiCoO 2 (0001) LiCoO 2 //[11 ¯ 00] α-Al 2 O 3 (0001) α-Al 2 O 3 and [1 ¯ 1 ¯ 20] LiCoO 2 (0001) LiCoO 2 //[11 ¯ 00] α-Al 2 O 3 (0001) α-Al 2 O 3 . In addition, substrate/film interfaces with the above orientation relationships always have the same stacking sequence of Al–O–Co–O–Li–O. This is confirmed to be the most energetically stable stacking arrangement according to first-principles calculations. Individual domains form as a result of steps one (0 0 0 1) O–Al–O spacing in height on the otherwise flat substrate surface. Because the orientation of adjacent (0 0 0 1) AlO 6 octahedra in Al 2 O 3 are rotated by 180°, while LiO 6 and CoO 6 octahedra in LiCoO 2 are all aligned in the same direction, substrate steps produce LiCoO 2 domains rotated 180° relative to their neighbors. The similar size of oxygen octahedra in the two materials also means that the step height is close to the layer spacing in LiCoO 2 , so that (0 0 0 1) Li and Co layers of adjacent domains are shifted by one layer relative to each other at each domain boundary, aligning Li layers with Co layers across the boundary. The combination of these two effects generates antiphase inversion domains. The domain boundaries effectively sever Li-ion diffusion pathways in the (0 0 0 1) planes between domains and thus are expected to have a detrimental effect on Li-ion conductivity

  14. Air-void embedded GaN-based light-emitting diodes grown on laser drilling patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Hao; Li, Yufeng; Wang, Shuai; Feng, Lungang; Xiong, Han; Yun, Feng, E-mail: fyun2010@mail.xjtu.edu.cn [Key Laboratory of Physical Electronics and Devices of Ministry of Education and Shaanxi Provincial Key Laboratory of Photonics and Information Technology, Xi’an Jiaotong University, Xi’an, Shaanxi 710049 (China); Solid-State Lighting Engineering Research Center, Xi’an Jiaotong University, Xi’an, Shaanxi 710049 (China); Su, Xilin [Shaanxi Supernova Lighting Technology Co., Ltd., Xi’an, Shaanxi 710075 (China)

    2016-07-15

    Air-void structure was introduced in GaN-based blue light-emitting diodes (LED) with one-step growth on periodic laser drilling patterned sapphire substrate, which free of any photolithography or wet/dry etching process. The influence of filling factors (FF) of air-void on crystal quality and optical performance were investigate. Transmission electron microscopy images and micro-Raman spectroscopy indicated that the dislocation was bended and the partially compressed strain was released. When FF was 55.43%, compared with the LED structure grown on flat sapphire substrate, the incorporation of air-void was observed to reduce the compressed stress of ∼20% and the luminance intensity has improved by 128%. Together with the simulated reflection intensity enhancement by finite difference time-domain (FDTD) method, we attribute the enhanced optical performance to the combined contribution of strong back-side light reflection of air-void and better GaN epitaxial quality. This approach provides a simple replacement to the conventional air-void embedded LED process.

  15. Polycrystalline Ba0.6Sr0.4TiO3 thin films on r-plane sapphire: Effect of film thickness on strain and dielectric properties

    Science.gov (United States)

    Fardin, E. A.; Holland, A. S.; Ghorbani, K.; Akdogan, E. K.; Simon, W. K.; Safari, A.; Wang, J. Y.

    2006-10-01

    Polycrystalline Ba0.6Sr0.4TiO3 (BST) films grown on r-plane sapphire exhibit strong variation of in-plane strain over the thickness range of 25-400nm. At a critical thickness of ˜200nm, the films are strain relieved; in thinner films, the strain is tensile, while compressive strain was observed in the 400nm film. Microwave properties of the films were measured from 1to20GHz by the interdigital capacitor method. A capacitance tunability of 64% was observed in the 200nm film, while thinner films showed improved Q factor. These results demonstrate the possibility of incorporating frequency agile BST-based devices into the silicon on sapphire process.

  16. Neutron Transmission of Single-crystal Sapphire Filters

    Science.gov (United States)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2005-05-01

    An additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for single-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula and experimental data. We discuss the use of sapphire single crystal as a thermal neutron filter in terms of the optimum cystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons.

  17. Dispersion Free Doped and Undoped AlGaN/GaN HEMTs on Sapphire and SiC Substrates

    NARCIS (Netherlands)

    Kraemer, M.C.J.C.M.; Jacobs, B.; Kwaspen, J.J.M.; Suijker, E.M.; Hek, A.P. de; Karouta, F.; Kaufmann, L.M.F.; Hoskens, R.C.P.

    2004-01-01

    We present dispersion free pulsed current voltage (I-V) and radio frequency (RF) power results of undoped and doped AlGaN/GaN HEMTs on sapphire and SiC substrates. The most significant processing step leading to these results is the application of a reactive ion etching (RIE) argon (Ar) plasma

  18. Controllable laser thermal cleavage of sapphire wafers

    Science.gov (United States)

    Xu, Jiayu; Hu, Hong; Zhuang, Changhui; Ma, Guodong; Han, Junlong; Lei, Yulin

    2018-03-01

    Laser processing of substrates for light-emitting diodes (LEDs) offers advantages over other processing techniques and is therefore an active research area in both industrial and academic sectors. The processing of sapphire wafers is problematic because sapphire is a hard and brittle material. Semiconductor laser scribing processing suffers certain disadvantages that have yet to be overcome, thereby necessitating further investigation. In this work, a platform for controllable laser thermal cleavage was constructed. A sapphire LED wafer was modeled using the finite element method to simulate the thermal and stress distributions under different conditions. A guide groove cut by laser ablation before the cleavage process was observed to guide the crack extension and avoid deviation. The surface and cross section of sapphire wafers processed using controllable laser thermal cleavage were characterized by scanning electron microscopy and optical microscopy, and their morphology was compared to that of wafers processed using stealth dicing. The differences in luminous efficiency between substrates prepared using these two processing methods are explained.

  19. Neutron transmission of single-crystal sapphire filters

    International Nuclear Information System (INIS)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2004-01-01

    A simple additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for mono-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula fits and experimental data. We discuss the use of sapphire single-crystal as a thermal neutron filter in terms of the optimum crystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons

  20. Impact of layer and substrate properties on the surface acoustic wave velocity in scandium doped aluminum nitride based SAW devices on sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Gillinger, M., E-mail: manuel.gillinger@tuwien.ac.at; Knobloch, T.; Schneider, M.; Schmid, U. [Institute of Sensor and Actuator Systems, TU Wien, 1040 Vienna (Austria); Shaposhnikov, K.; Kaltenbacher, M. [Institute of Mechanics and Mechatronics, TU Wien, 1040 Vienna (Austria)

    2016-06-06

    This paper investigates the performance of surface acoustic wave (SAW) devices consisting of reactively sputter deposited scandium doped aluminum nitride (Sc{sub x}Al{sub 1-x}N) thin films as piezoelectric layers on sapphire substrates for wireless sensor or for RF-MEMS applications. To investigate the influence of piezoelectric film thickness on the device properties, samples with thickness ranging from 500 nm up to 3000 nm are fabricated. S{sub 21} measurements and simulations demonstrate that the phase velocity is predominantly influenced by the mass density of the electrode material rather than by the thickness of the piezoelectric film. Additionally, the wave propagation direction is varied by rotating the interdigital transducer structures with respect to the crystal orientation of the substrate. The phase velocity is about 2.5% higher for a-direction compared to m-direction of the sapphire substrate, which is in excellent agreement with the difference in the anisotropic Young's modulus of the substrate corresponding to these directions.

  1. Effect of sapphire substrate nitridation on the elimination of rotation domains in ZnO epitaxial films

    International Nuclear Information System (INIS)

    Ying Minju; Du Xiaolong; Mei Zengxia; Zeng Zhaoquan; Zheng Hao; Wang Yong; Jia Jinfeng; Zhang Ze; Xue Qikun

    2004-01-01

    The rotation domain structures in ZnO films grown on sapphire substrates under different pre-treatment conditions have been investigated by in situ reflection high-energy electron diffraction and ex situ x-ray diffraction (XRD). It was found that by appropriate nitridation treatment, forming a thin AlN film on the substrate, the rotation domains in ZnO films could be completely suppressed, and a full width at half maximum of only 180 arcsec was observed in the (0 0 0 2) reflection of XRD rocking curves. The mechanisms for the elimination of rotation domains in the ZnO films are discussed

  2. Neutron transmission of single-crystal sapphire filters

    International Nuclear Information System (INIS)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2005-01-01

    An additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for single-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula fits and experimental data. We discuss the use of sapphire single crystal as a thermal neutron filter in terms of the optimum crystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons. (author)

  3. Thermal healing of the sub-surface damage layer in sapphire

    International Nuclear Information System (INIS)

    Pinkas, Malki; Lotem, Haim; Golan, Yuval; Einav, Yeheskel; Golan, Roxana; Chakotay, Elad; Haim, Avivit; Sinai, Ela; Vaknin, Moshe; Hershkovitz, Yasmin; Horowitz, Atara

    2010-01-01

    The sub-surface damage layer formed by mechanical polishing of sapphire is known to reduce the mechanical strength of the processed sapphire and to degrade the performance of sapphire based components. Thermal annealing is one of the methods to eliminate the sub-surface damage layer. This study focuses on the mechanism of thermal healing by studying its effect on surface topography of a- and c-plane surfaces, on the residual stresses in surface layers and on the thickness of the sub-surface damage layer. An atomically flat surface was developed on thermally annealed c-plane surfaces while a faceted roof-top topography was formed on a-plane surfaces. The annealing resulted in an improved crystallographic perfection close to the sample surface as was indicated by a noticeable decrease in X-ray rocking curve peak width. Etching experiments and surface roughness measurements using white light interferometry with sub-nanometer resolution on specimens annealed to different extents indicate that the sub-surface damage layer of the optically polished sapphire is less than 3 μm thick and it is totally healed after thermal treatment at 1450 deg. C for 72 h.

  4. The nucleation of HCl and Cl{sub 2}-based HVPE GaN on mis-oriented sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bohnen, Tim; Dreumel, Gerbe W.G. van; Enckevort, Willem J.P. van; Ashraf, Hina; Jong, Aryan E.F. de; Hageman, Paul R.; Vlieg, Elias [IMM, Radboud University, Nijmegen (Netherlands); Weyher, Jan L. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2010-07-15

    The nucleation of both classic HCl-based and novel Cl{sub 2{sup -}} based HVPE GaN on mis-oriented sapphire substrates was investigated. The use of Cl{sub 2}in HVPE increases the growth rate by a factor of 4-5 and strongly reduces the parasitic deposition, allowing for the growth of much thicker wafers than HCl-based HVPE. Morphological SEM surface studies of the HCl-based HVPE sample surface show that at 600 C a nanocrystalline layer is deposited on the sapphire. During the subsequent annealing phase, the morphology changes to a {mu}m-sized island structure. During overgrowth at 1080 C, the islands coalesce. Small voids or pinholes are then formed in between the coalescing GaN islands. These pinholes lead to numerous pits on the surface of the GaN at thicknesses of 5 {mu}m. The pits disappear during continued overgrowth and can no longer be found on the surface, when the GaN film reaches a thickness of 45 {mu}m. This particular coalescence mechanism also applies to Cl{sub 2}-based HVPE GaN on sapphire (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    Science.gov (United States)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  7. Design of patterned sapphire substrates for GaN-based light-emitting diodes

    International Nuclear Information System (INIS)

    Wang Hai-Yan; Lin Zhi-Ting; Han Jing-Lei; Zhong Li-Yi; Li Guo-Qiang

    2015-01-01

    A new method for patterned sapphire substrate (PSS) design is developed and proven to be reliable and cost-effective. As progress is made with LEDs’ luminous efficiency, the pattern units of PSS become more complicated, and the effect of complicated geometrical features is almost impossible to study systematically by experiments only. By employing our new method, the influence of pattern parameters can be systematically studied, and various novel patterns are designed and optimized within a reasonable time span, with great improvement in LEDs’ light extraction efficiency (LEE). Clearly, PSS pattern design with such a method deserves particular attention. We foresee that GaN-based LEDs on these newly designed PSSs will achieve more progress in the coming years. (topical review)

  8. Dispersion properties and low infrared optical losses in epitaxial AlN on sapphire substrate in the visible and infrared range

    International Nuclear Information System (INIS)

    Soltani, A.; Stolz, A.; Gerbedoen, J.-C.; Rousseau, M.; Bourzgui, N.; De Jaeger, J.-C.; Charrier, J.; Mattalah, M.; Barkad, H. A.; Mortet, V.; BenMoussa, A.

    2014-01-01

    Optical waveguiding properties of a thick wurtzite aluminum nitride highly [002]-textured hetero-epitaxial film on (001) basal plane of sapphire substrate are studied. The physical properties of the film are determined by X-ray diffraction, atomic force microscopy, microRaman, and photocurrent spectroscopy. The refractive index and the thermo-optic coefficients are determined by m-lines spectroscopy using the classical prism coupling technique. The optical losses of this planar waveguide are also measured in the spectral range of 450–1553 nm. The lower value of optical losses is equal to 0.7 dB/cm at 1553 nm. The optical losses due to the surface scattering are simulated showing that the contribution is the most significant at near infrared wavelength range, whereas the optical losses are due to volume scattering and material absorption in the visible range. The good physical properties and the low optical losses obtained from this planar waveguide are encouraging to achieve a wide bandgap optical guiding platform from these aluminum nitride thin films

  9. Dispersion properties and low infrared optical losses in epitaxial AlN on sapphire substrate in the visible and infrared range

    Energy Technology Data Exchange (ETDEWEB)

    Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr; Stolz, A.; Gerbedoen, J.-C.; Rousseau, M.; Bourzgui, N.; De Jaeger, J.-C. [Institut d' Électronique, Microélectronique et Nanotechnologie, UMR-CNRS 8520, PRES Université Lille Nord de France, Cité Scientifique, Avenue Poincaré, CS 60069, 59652 Villeneuve d' Ascq Cedex (France); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON, UMR-CNRS 6082, ENSSAT 6, rue de Kerampont, CS 80518, 22305 Lannion Cedex (France); Mattalah, M. [Laboratoire de Microélectronique, Université Djilali Liabes, 22000 Sidi Bel Abbes (Algeria); Barkad, H. A. [Institut Universitaire Technologique Industriel, Université de Djibouti, Avenue Georges Clémenceau, BP 1904 Djibouti (Djibouti); Mortet, V. [Institute of Physics of Academy of Sciences of Czech Republic, Fyzikální ústav AV CR, v.v.i., Na Slovance 1999/2 (Czech Republic); BenMoussa, A. [Solar Terrestrial Center of Excellence, Royal Observatory of Belgium, Circular 3, B-1180 Brussels (Belgium)

    2014-04-28

    Optical waveguiding properties of a thick wurtzite aluminum nitride highly [002]-textured hetero-epitaxial film on (001) basal plane of sapphire substrate are studied. The physical properties of the film are determined by X-ray diffraction, atomic force microscopy, microRaman, and photocurrent spectroscopy. The refractive index and the thermo-optic coefficients are determined by m-lines spectroscopy using the classical prism coupling technique. The optical losses of this planar waveguide are also measured in the spectral range of 450–1553 nm. The lower value of optical losses is equal to 0.7 dB/cm at 1553 nm. The optical losses due to the surface scattering are simulated showing that the contribution is the most significant at near infrared wavelength range, whereas the optical losses are due to volume scattering and material absorption in the visible range. The good physical properties and the low optical losses obtained from this planar waveguide are encouraging to achieve a wide bandgap optical guiding platform from these aluminum nitride thin films.

  10. Large third-order nonlinearity of nonpolar A-plane GaN film at 800 nm determined by Z-scan technology

    Science.gov (United States)

    Zhang, Feng; Han, Xiangyun

    2014-09-01

    We report an investigation on the optical third-order nonlinear property of the nonpolar A-plane GaN film. The film sample with a thickness of ~2 μm was grown on an r-plane sapphire substrate by metal-organic chemical vapor deposition system. By performing the Z-scan method combined with a mode-locked femtosecond Ti:sapphire laser (800 nm, 50 fs), the optical nonlinearity of the nonpolar A-plane GaN film was measured with the electric vector E of the laser beam being polarized parallel (//) and perpendicular (⊥) to the c axis of the film. The results show that both the third-order nonlinear absorption coefficient β and the nonlinear refractive index n2 of the sample film possess negative and large values, i.e. β// = -135 ± 29 cm/GW, n2// = -(4.0 ± 0.3) × 10-3 cm2/GW and β⊥ = -234 ± 29 cm/GW, n2⊥ = -(4.9 ± 0.4) × 10-3 cm2/GW, which are much larger than those of conventional C-plane GaN film, GaN bulk, and even the other oxide semiconductors.

  11. Characterization of single crystal uranium-oxide thin films grown via reactive-gas magnetron sputtering on yttria-stabilized zirconia and sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Strehle, Melissa M.; Heuser, Brent J., E-mail: bheuser@illinois.edu; Elbakhshwan, Mohamed S.; Han Xiaochun; Gennardo, David J.; Pappas, Harrison K.; Ju, Hyunsu

    2012-06-30

    The microstructure and valence states of three single crystal thin film systems, UO{sub 2} on (11{sup Macron }02) r-plane sapphire, UO{sub 2} on (001) yttria-stabilized zirconia, and U{sub 3}O{sub 8} on (11{sup Macron }02) r-plane sapphire, grown via reactive-gas magnetron sputtering are analyzed primarily with X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and ultraviolet photoelectron spectroscopy (UPS). XRD analysis indicates the growth of single crystal domains with varying degrees of mosaicity. XPS and UPS analyses yield U-4f, U-5f, O-1s, and O-2p electron binding energies consistent with reported bulk values. A change from p-type to n-type semiconductor behavior induced by preferential sputtering of oxygen during depth profile analysis was observed with both XPS and UPS. Trivalent cation impurities (Nd and Al) in UO{sub 2} lower the Fermi level, shifting the XPS spectral weight. This observation is consistent with hole-doping of a Mott-Hubbard insulator. The uranium oxide-(11{sup Macron }02) sapphire system is unstable with respect to Al interdiffusion across the film-substrate interface at elevated temperature. - Highlights: Black-Right-Pointing-Pointer Single crystal uranium-oxides grown on sapphire and yttria-stabilized zirconia. Black-Right-Pointing-Pointer Anion and cation valence states studied by photoelectron emission spectroscopy. Black-Right-Pointing-Pointer Trivalent Nd and Al impurities lower the Fermi level. Black-Right-Pointing-Pointer Uranium-oxide films on sapphire found to be unstable with respect to Al interdiffusion.

  12. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin; Min, Daehong; Kim, Jaehwan; Nam, Okhyun, E-mail: ohnam@kpu.ac.kr [Convergence Center for Advanced Nano Semiconductor (CANS), Department of Nano-Optical Engineering, Korea Polytechnic University, Siheung, 15073 (Korea, Republic of)

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclined angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.

  13. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    International Nuclear Information System (INIS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-01-01

    Highlights: • Concave nano-patterned sapphire substrates with SiO 2 blocking layer. • The IQE is almost two times larger than that of conventional one. • The EQE was extremely enhanced more than 100%. - Abstract: In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO 2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO 2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO 2 blocking layer proposed in this work can enhance the performance of LEDs.

  14. X-ray diffraction study of A- plane non-polar InN epilayer grown by MOCVD

    Science.gov (United States)

    Moret, Matthieu; Briot, Olivier; Gil, Bernard

    2015-03-01

    Strong polarisation-induced electric fields in C-plane oriented nitrides semiconductor layers reduce the performance of devices. Eliminating the polarization fields can be achieved by growing nitrides along non polar direction. We have grown non polar A-plane oriented InN on R-plane (1‾102) nitridated sapphire substrate by MOCVD. We have studied the structural anisotropy observed in these layers by analyzing High Resolution XRay Diffraction rocking curve (RC) experiments as a function of the in-plane beam orientation. A-plane InN epilayer have a unique epitaxial relationship on R-Plane sapphire and show a strong structural anisotropy. Full width at half maximum (FWHM) of the InN(11‾20) XRD RC values are contained between 44 and 81 Arcmin. FWHM is smaller when the diffraction occurs along the [0001] and the largest FWHM values, of the (11‾20) RC, are obtained when the diffraction occurs along the [1‾100] in-plane direction. Atomic Force Microscopy imaging revealed morphologies with well organized crystallites. The grains are structured along a unique crystallographic orientation of InN, leading to larger domains in this direction. This structural anisotropy can be, in first approximation, attributed to the difference in the domain sizes observed. XRD reciprocal space mappings (RSM) were performed in asymmetrical configuration on (13‾40) and (2‾202) diffraction plane. RSM are measured with a beam orientation corresponding to a maximal and a minimal width of the (11‾20) Rocking curves, respectively. A simple theoretical model is exposed to interpret the RSM. We concluded that the dominant contribution to the anisotropy is due to the scattering coherence length anisotropy present in our samples.

  15. N-polar GaN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistor formed on sapphire substrate with minimal step bunching

    Science.gov (United States)

    Prasertsuk, Kiattiwut; Tanikawa, Tomoyuki; Kimura, Takeshi; Kuboya, Shigeyuki; Suemitsu, Tetsuya; Matsuoka, Takashi

    2018-01-01

    The metal-insulator-semiconductor (MIS) gate N-polar GaN/AlGaN/GaN high-electron-mobility transistor (HEMT) on a (0001) sapphire substrate, which can be expected to operate with lower on-resistance and more easily work on the pinch-off operation than an N-polar AlGaN/GaN HEMT, was fabricated. For suppressing the step bunching and hillocks peculiar in the N-polar growth, a sapphire substrate with an off-cut angle as small as 0.8° was introduced and an N-polar GaN/AlGaN/GaN HEMT without the step bunching was firstly obtained by optimizing the growth conditions. The previously reported anisotropy of transconductance related to the step was eliminated. The pinch-off operation was also realized. These results indicate that this device is promising.

  16. Transformation of a Plane Wavefront in Hemispherical Lenses Made of Leuco-Sapphire

    Science.gov (United States)

    Vetrov, V. N.; Ignatenkov, B. A.; Yakobson, V. E.

    2018-01-01

    An algorithm for wavefront calculation of ordinary and extraordinary waves after propagation through hemispherical components made of a uniaxial crystal is developed. The influence of frequency dispersion of n o and n e , as well as change in the direction of the optic axis of the crystal, on extraordinary wavefront in hemispheres made of from leuco-sapphire and a plastically deformed analog thereof is determined.

  17. Highly efficient and reliable high power LEDs with patterned sapphire substrate and strip-shaped distributed current blocking layer

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Shengjun [School of Power and Mechanical Engineering, Wuhan University, Wuhan 430072 (China); State Key Laboratory of Mechanical System and Vibration, School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Yuan, Shu; Liu, Yingce [Quantum Wafer Inc., Foshan 528251 (China); Guo, L. Jay [Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, MI 48109 (United States); Liu, Sheng, E-mail: victor_liu63@126.com [School of Power and Mechanical Engineering, Wuhan University, Wuhan 430072 (China); Ding, Han [State Key Laboratory of Mechanical System and Vibration, School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China)

    2015-11-15

    Graphical abstract: - Highlights: • TEM is used to characterize threading dislocation existing in GaN epitaxial layer. • Effect of threading dislocation on optical and electrical of LEDs is discussed. • Strip-shaped SiO{sub 2} DCBL is designed to improve current spreading performance of LEDs. - Abstract: We demonstrated that the improvement in optical and electrical performance of high power LEDs was achieved using cone-shaped patterned sapphire substrate (PSS) and strip-shaped SiO{sub 2} distributed current blocking layer (DCBL). We found through transmission electron microscopy (TEM) observation that densities of both the screw dislocation and edge dislocation existing in GaN epitaxial layer grown on PSS were much less than that of GaN epitaxial layer grown on flat sapphire substrate (FSS). Compared to LED grown on FSS, LED grown on PSS showed higher sub-threshold forward-bias voltage and lower reverse leakage current, resulting in an enhancement in device reliability. We also designed a strip-shaped SiO{sub 2} DCBL beneath a strip-shaped p-electrode, which prevents the current from being concentrated on regions immediately adjacent the strip-shaped p-electrode, thereby facilitating uniform current spreading into the active region. By implementing strip-shaped SiO{sub 2} DCBL, light output power of high power PSS-LED chip could be further increased by 13%.

  18. Critical thickness for Nb nanofilm on sapphire substrate: a critical analysis using finite element method

    International Nuclear Information System (INIS)

    Kumar, Arun; Subramaniam, Anandh

    2009-01-01

    Full text: On growth beyond critical thickness, interfacial misfit dislocations partially relax the misfit strains, in epitaxially grown nanofilms. In this study the stress state and growth of nanofilms is simulated using Finite Element Method (FEM); by imposing stress-free strains, corresponding to the lattice mismatch between Nb nanofilm and Sapphire substrate. On growth of the Nb nanofilm, a triangular network of edge misfit dislocations nucleates at the (0001) Al2ο3 || (111) Nb , interface. Using a combined simulation of a coherently strained nanofilm and an edge dislocation, the equilibrium criterion for the nucleation of an edge dislocation is determined. Theoretical analyses in literature use only the component of the Burger's vector parallel to the interface, which is an erroneous description of the stress state and energetics of the system. In this investigation the full interfacial edge dislocation is simulated using standard commercially available software and comparisons are made with results available in literature to bring out the utility of the methodology

  19. Transfer-free graphene synthesis on sapphire by catalyst metal agglomeration technique and demonstration of top-gate field-effect transistors

    International Nuclear Information System (INIS)

    Miyoshi, Makoto; Arima, Yukinori; Kubo, Toshiharu; Egawa, Takashi; Mizuno, Masaya; Soga, Tetsuo

    2015-01-01

    Transfer-free graphene synthesis was performed on sapphire substrates by using the catalyst metal agglomeration technique, and the graphene film quality was compared to that synthesized on sputtered SiO 2 /Si substrates. Raman scattering measurements indicated that the graphene film on sapphire has better structural qualities than that on sputtered SiO 2 /Si substrates. The cross-sectional transmission microscopic study also revealed that the film flatness was drastically improved by using sapphire substrates instead of sputtered SiO 2 /Si substrates. These quality improvements seemed to be due the chemical and thermal stabilities of sapphire. Top-gate field-effect transistors were fabricated using the graphene films on sapphire, and it was confirmed that their drain current can be modulated with applied gate voltages. The maximum field-effect mobilities were estimated to be 720 cm 2 /V s for electrons and 880 cm 2 /V s for holes, respectively

  20. Transfer-free graphene synthesis on sapphire by catalyst metal agglomeration technique and demonstration of top-gate field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Miyoshi, Makoto, E-mail: miyoshi.makoto@nitech.ac.jp; Arima, Yukinori; Kubo, Toshiharu; Egawa, Takashi [Research Center for Nano Device and Advanced Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Mizuno, Masaya [Research Center for Nano Device and Advanced Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Department of Frontier Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Soga, Tetsuo [Department of Frontier Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan)

    2015-08-17

    Transfer-free graphene synthesis was performed on sapphire substrates by using the catalyst metal agglomeration technique, and the graphene film quality was compared to that synthesized on sputtered SiO{sub 2}/Si substrates. Raman scattering measurements indicated that the graphene film on sapphire has better structural qualities than that on sputtered SiO{sub 2}/Si substrates. The cross-sectional transmission microscopic study also revealed that the film flatness was drastically improved by using sapphire substrates instead of sputtered SiO{sub 2}/Si substrates. These quality improvements seemed to be due the chemical and thermal stabilities of sapphire. Top-gate field-effect transistors were fabricated using the graphene films on sapphire, and it was confirmed that their drain current can be modulated with applied gate voltages. The maximum field-effect mobilities were estimated to be 720 cm{sup 2}/V s for electrons and 880 cm{sup 2}/V s for holes, respectively.

  1. Improving InGaN-LED performance by optimizing the patterned sapphire substrate shape

    International Nuclear Information System (INIS)

    Huang Xiao-Hui; Liu Jian-Ping; Fan Ya-Ming; Kong Jun-Jie; Yang Hui; Wang Huai-Bing

    2012-01-01

    The epitaxial growths of GaN films and GaN-based LEDs on various patterned sapphire substrates (PSSes) with different values of fill factor (f) and slanted angle (θ) are investigated in detail. The threading dislocation (TD) density is lower in the film grown on the PSS with a smaller fill factor, resulting in a higher internal quantum efficiency (IQE). Also the ability of the LED to withstand the electrostatic discharge (ESD) increases as the fill factor decreases. The illumination output power of the LED is affected by both θ and f. It is found that the illumination output power of the LED grown on the PSS with a lower production of tan θ and f is higher than that with a higher production of tan θ and f. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  2. The growth and characterization of well aligned RuO2 nanorods on sapphire substrates

    International Nuclear Information System (INIS)

    Chen, C C; Chen, R S; Tsai, T Y; Huang, Y S; Tsai, D S; Tiong, K K

    2004-01-01

    Self-assembled and well aligned RuO 2 nanorods (NRs) have been grown on sapphire (SA) substrates via metal-organic chemical vapour deposition (MOCVD), using bis(ethylcyclopentadienyl)ruthenium as the source reagent. The surface morphology, structural, and spectroscopic properties of the as-deposited NRs were characterized using field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), selected-area electron diffractometry (SAD), x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS), and micro-Raman spectroscopy. FESEM micrographs reveal that vertically aligned nanorods (NRs) were grown on SA(100), while the NRs on the SA(012) were grown with a tilt angle of ∼ 35 degrees from the normal to the substrates. TEM and SAD measurements showed that the RuO 2 NRs with square cross-section have the long axis directed along the [001] direction. The XRD results indicate that the RuO 2 NRs are (002) oriented on SA(100) and (101) oriented on SA(012) substrates. A strong substrate effect on the alignment of the RuO 2 NRs growth has been demonstrated and the probable mechanism for the formation of these NRs has been discussed. XP spectra show the coexistence of higher oxidation state of ruthenium in the as-grown RuO 2 NRs. Micro-Raman spectra show the red-shift and peak broadening of the RuO 2 signatures with respect to that of the bulk counterpart which may be indicative of a phonon confinement effect for these NRs

  3. The Influence of Surface Anisotropy Crystalline Structure on Wetting of Sapphire by Molten Aluminum

    Science.gov (United States)

    Aguilar-Santillan, Joaquin

    2013-05-01

    The wetting of sapphire by molten aluminum was investigated by the sessile drop technique from 1073 K to 1473 K (800 °C to 1200 °C) at PO2 <10-15 Pa under Ar atmosphere. This study focuses on sapphire crystalline structure and its principle to the interface. The planes " a" and " b" are oxygen terminated structures and wet more by Al, whereas the " c" plane is an aluminum terminated structure. A wetting transition at 1273 K (1000 °C) was obtained and a solid surface tension proves the capillarity trends of the couple.

  4. Origin of the 2.45 eV luminescence band observed in ZnO epitaxial layers grown on c-plane sapphire by chemical vapour deposition

    International Nuclear Information System (INIS)

    Saroj, R K; Dhar, S

    2014-01-01

    Zinc oxide epitaxial layers have been grown on c-plane sapphire substrates by the chemical vapour deposition (CVD) technique. A structural study shows (0001)-oriented films with good crystalline quality. The temperature and excitation power dependence of the photoluminescence (PL) characteristics of these layers is studied as a function of various growth parameters, such as the growth temperature, oxygen flow rate and Zn flux, which suggest that the origin of the broad visible luminescence (VL), which peaks at 2.45 eV, is the transition between the conduction band and the Zn vacancy acceptor states. A bound excitonic transition observed at 3.32 eV in low temperature PL has been identified as an exciton bound to the neutral Zn vacancy. Our study also reveals the involvement of two activation processes in the dynamics of VL, which has been explained in terms of the fluctuation of the capture barrier height for the holes trapped in Zn vacancy acceptors. The fluctuation, which might be a result of the inhomogeneous distribution of Zn vacancies, is found to be associated with an average height of 7 and 90 meV, respectively, for the local and global maxima. (paper)

  5. Epitaxial hexagonal materials on IBAD-textured substrates

    Science.gov (United States)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  6. Reduced cost and improved figure of sapphire optical components

    Science.gov (United States)

    Walters, Mark; Bartlett, Kevin; Brophy, Matthew R.; DeGroote Nelson, Jessica; Medicus, Kate

    2015-10-01

    Sapphire presents many challenges to optical manufacturers due to its high hardness and anisotropic properties. Long lead times and high prices are the typical result of such challenges. The cost of even a simple 'grind and shine' process can be prohibitive. The high precision surfaces required by optical sensor applications further exacerbate the challenge of processing sapphire thereby increasing cost further. Optimax has demonstrated a production process for such windows that delivers over 50% time reduction as compared to traditional manufacturing processes for sapphire, while producing windows with less than 1/5 wave rms figure error. Optimax's sapphire production process achieves significant improvement in cost by implementation of a controlled grinding process to present the best possible surface to the polishing equipment. Following the grinding process is a polishing process taking advantage of chemical interactions between slurry and substrate to deliver excellent removal rates and surface finish. Through experiments, the mechanics of the polishing process were also optimized to produce excellent optical figure. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. Through specially developed polishing slurries, the peak-to-valley figure error of spherical sapphire parts is reduced by over 80%.

  7. Design, fabrication and characterisation of advanced substrate crosstalk suppression structures in silicon on insulator substrates with buried ground planes (GPSOI)

    International Nuclear Information System (INIS)

    Stefanou, Stefanos

    2002-07-01

    Substrate crosstalk or coupling has been acknowledged to be a limiting factor in mixed signal RF integration. Although high levels of integration and high frequencies of operation are desirable for mixed mode RF and microwave circuits, they make substrate crosstalk more pronounced and may lead to circuit performance degradation. High signal isolation is dictated by requirements for low power dissipation, reduced number of components and lower integration costs for feasible system-on-chip (SoC) solutions. Substrate crosstalk suppression in ground plane silicon-on-insulator (GPSOI) substrates is investigated in this thesis. Test structures are designed and fabricated on SOI substrates with a buried WSi 2 plane that is connected to ground; hence it is called a ground plane. A Faraday cage structure that exhibits very high degrees of signal isolation is presented and compared to other SOI isolation schemes. The Faraday cage structure is shown to achieve 20 dB increased isolation in the frequency range of 0.5-50 GHz compared to published data for high resistivity (200 Ωcm) thin film SOI substrates with no ground planes, but where capacitive guard rings were used. The measurement results are analysed with the aid of planar electromagnetic simulators and compact lumped element models of all the fabricated test structures are developed. The accuracy of the lumped models is validated against experimental measurements. (author)

  8. Structural and electronic characterization of graphene grown by chemical vapor deposition and transferred onto sapphire

    International Nuclear Information System (INIS)

    Joucken, Frédéric; Colomer, Jean-François; Sporken, Robert; Reckinger, Nicolas

    2016-01-01

    Highlights: • CVD graphene is transferred onto sapphire. • Transport measurements reveal relatively low charge carriers mobility. • Scanning probe microscopy experiments reveal the presence of robust contaminant layers between the graphene and the sapphire, responsible for the low carriers mobility. - Abstract: We present a combination of magnetotransport and local probe measurements on graphene grown by chemical vapor deposition on copper foil and subsequently transferred onto a sapphire substrate. A rather strong p-doping is observed (∼9 × 10 12 cm −2 ) together with quite low carrier mobility (∼1350 cm 2 /V s). Atomic force and tunneling imaging performed on the transport devices reveals the presence of contaminants between sapphire and graphene, explaining the limited performance of our devices. The transferred graphene displays ridges similar to those observed whilst graphene is still on the copper foil. We show that, on sapphire, these ridges are made of different thicknesses of the contamination layer and that, contrary to what was reported for hBN or certain transition metal dichalcogenides, no self-cleansing process of the sapphire substrate is observed.

  9. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    Science.gov (United States)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  10. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  11. Growth modes and epitaxy of FeAl thin films on a-cut sapphire prepared by pulsed laser and ion beam assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Xiang; Trautvetter, Moritz; Ziemann, Paul [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Wiedwald, Ulf [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Fakultät für Physik, Universität Duisburg-Essen, Lotharstraße 1, 47057 Duisburg (Germany)

    2014-01-14

    FeAl films around equiatomic composition are grown on a-cut (112{sup ¯}0) sapphire substrates by ion beam assisted deposition (IBAD) and pulsed laser deposition (PLD) at ambient temperature. Subsequent successive annealing is used to establish chemical order and crystallographic orientation of the films with respect to the substrate. We find a strongly [110]-textured growth for both deposition techniques. Pole figures prove the successful preparation of high quality epitaxial films by PLD with a single in-plane orientation. IBAD-grown films, however, exhibit three in-plane orientations, all of them with broad angular distributions. The difference of the two growth modes is attributed to the existence of a metastable intermediate crystalline orientation as concluded from nonassisted sputter depositions at different substrate temperatures. The formation of the chemically ordered crystalline B2 phase is accompanied by the expected transition from ferromagnetic to paramagnetic behavior of the films. In accordance with the different thermally induced structural recovery, we find a step-like magnetic transition to paramagnetic behavior after annealing for 1 h at T{sub A} = 300 °C for IBAD deposition, while PLD-grown films show a gradual decrease of ferromagnetic signals with rising annealing temperatures.

  12. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Fang-Wei [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China); Ke, Wen-Cheng, E-mail: wcke@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China)

    2016-07-01

    Highlights: • Nanoscale patterned sapphire substrate was prepared by anodic-aluminum-oxide etching mask. • Influence of aspect ratio of NPSS on structural and electrical properties of GaN films was studied. • Low dislocation density and high carrier mobility of GaN films were grown on high aspect ratio NPSS. - Abstract: This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 10{sup 8} cm{sup −2} for GaN on bare sapphire to 4.9 × 10{sup 8} cm{sup −2} for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm{sup 2}/Vs for GaN on bare sapphire to 199 cm{sup 2}/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with

  13. Empty substrate integrated waveguide technology for E plane high-frequency and high-performance circuits

    Science.gov (United States)

    Belenguer, Angel; Cano, Juan Luis; Esteban, Héctor; Artal, Eduardo; Boria, Vicente E.

    2017-01-01

    Substrate integrated circuits (SIC) have attracted much attention in the last years because of their great potential of low cost, easy manufacturing, integration in a circuit board, and higher-quality factor than planar circuits. A first suite of SIC where the waves propagate through dielectric have been first developed, based on the well-known substrate integrated waveguide (SIW) and related technological implementations. One step further has been made with a new suite of empty substrate integrated waveguides, where the waves propagate through air, thus reducing the associated losses. This is the case of the empty substrate integrated waveguide (ESIW) or the air-filled substrate integrated waveguide (air-filled SIW). However, all these SIC are H plane structures, so classical H plane solutions in rectangular waveguides have already been mapped to most of these new SIC. In this paper a novel E plane empty substrate integrated waveguide (ESIW-E) is presented. This structure allows to easily map classical E plane solutions in rectangular waveguide to this new substrate integrated solution. It is similar to the ESIW, although more layers are needed to build the structure. A wideband transition (covering the frequency range between 33 GHz and 50 GHz) from microstrip to ESIW-E is designed and manufactured. Measurements are successfully compared with simulation, proving the validity of this new SIC. A broadband high-frequency phase shifter (for operation from 35 GHz to 47 GHz) is successfully implemented in ESIW-E, thus proving the good performance of this new SIC in a practical application.

  14. Epitaxial hexagonal materials on IBAD-textured substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  15. Molecular beam epitaxy of InN layers on Sapphire, GaN and indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Landgraf, Boris; Schuhmann, Henning; Malindretos, Joerg; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut, Georg-August-Universitaet Goettingen (Germany); Segura-Ruiz, Jaime; Gomez-Gomez, Maribel; Cantarero, Andres [Materials Science Institute, University of Valencia, Paterna (Spain)

    2009-07-01

    Among the group-III nitrides semiconductors, InN is the one with the narrowest gap (0.67 eV), lowest effective electron mass and highest peak drift velocity. It is therefore a very interesting material for several applications, in particular semiconductor solar cells. Furthermore, the high electron affinity makes it suitable also as electrode material for organic solar cells. InN layers were grown by molecular beam epitaxy on MOCVD GaN templates, on bare c-plane sapphire and on polycrystalline indium tin oxide. On all substrates the III-V ratio as well as the substrate temperature was varied. A RHEED analysis of InN growth on GaN showed a relatively sharp transition from N-rich and columnar growth to In-rich growth with droplet formation by increasing the In flux impinging on the surface. The InN layers on single crystalline substrates were characterized by SEM, AFM, XRD, PL and Raman. The InN layers on ITO were mainly analyzed with respect to the surface morphology with SEM. HRTEM in cross section gives insight into the structure of the interface to the ITO substrate.

  16. Electrical parameters of silicon on sapphire; influence on aluminium gate MOS devices performances

    International Nuclear Information System (INIS)

    Suat, J.P.; Borel, J.

    1976-01-01

    The question is the quality level of the substrate obtained with MOS technologies on silicon on an insulating substrate. Experimental results are presented on the main electrical parameters of MOS transistors made on silicon on sapphire, e.g. mean values and spreads of: threhold voltage and surface mobilities of transistors, breakdown voltages, and leakage currents of diodes. These devices have been made in three different technologies: enhancement P. channel technology, depletion-enhancement P. channel technology, and complementary MOS technology. These technologies are all aluminium gate processes with standard design rules and 5μm channel length. Measurements show that presently available silicon on sapphire can be considered as a very suitable substrate for many MOS digital applications (but not for dynamic circuits) [fr

  17. Characterization of barium strontium titanate thin films on sapphire substrate prepared via RF magnetron sputtering system

    Science.gov (United States)

    Jamaluddin, F. W.; Khalid, M. F. Abdul; Mamat, M. H.; Zoolfakar, A. S.; Zulkefle, M. A.; Rusop, M.; Awang, Z.

    2018-05-01

    Barium Strontium Titanate (Ba0.5Sr0.5TiO3) is known to have a high dielectric constant and low loss at microwave frequencies. These unique features are useful for many electronic applications. This paper focuses on material characterization of BST thin films deposited on sapphire substrate by RF magnetron sputtering system. The sample was then annealed at 900 °C for two hours. Several methods were used to characterize the structural properties of the material such as X-ray diffraction (XRD) and atomic force microscopy (AFM). Field emission scanning electron microscopy (FESEM) was used to analyze the surface morphology of the thin film. From the results obtained, it can be shown that the annealed sample had a rougher surface and better crystallinity as compared to as-deposited sample.

  18. Mosaic Structure Characterization of the AlInN Layer Grown on Sapphire Substrate

    Directory of Open Access Journals (Sweden)

    Engin Arslan

    2014-01-01

    Full Text Available The 150 nm thick, (0001 orientated wurtzite-phase Al1−xInxN epitaxial layers were grown by metal organic chemical vapor deposition on GaN (2.3 µm template/(0001 sapphire substrate. The indium (x concentration of the Al1−xInxN epitaxial layers was changed as 0.04, 0.18, 0.20, 0.47, and 0.48. The Indium content (x, lattice parameters, and strain values in the AlInN layers were calculated from the reciprocal lattice mapping around symmetric (0002 and asymmetric (10–15 reflection of the AlInN and GaN layers. The mosaic structure characteristics of the AlInN layers, such as lateral and vertical coherence lengths, tilt and twist angle, heterogeneous strain, and dislocation densities (edge and screw type dislocations of the AlInN epilayers, were investigated by using high-resolution X-ray diffraction measurements and with a combination of Williamson-Hall plot and the fitting of twist angles.

  19. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ahn, Yong Nam; Lee, Sung Hoon; Lim, Sung Keun; Woo, Kwang Je; Kim, Hyunbin

    2015-01-01

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH 3 flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films

  20. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Yong Nam, E-mail: ynahn81@gmail.com; Lee, Sung Hoon, E-mail: sunghoon.lee@corning.com; Lim, Sung Keun, E-mail: sk96.lim@samsung.com; Woo, Kwang Je, E-mail: kwangje.woo@corning.com; Kim, Hyunbin, E-mail: hyunbin.kim@corning.com

    2015-03-15

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH{sub 3} flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films.

  1. Temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Kumagai, Yoshinao; Adachi, Hirokazu; Otake, Aya; Higashikawa, Yoshihiro; Togashi, Rie; Murakami, Hisashi; Koukitu, Akinori

    2010-01-01

    The temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy (HVPE) was investigated. N-polarity single-crystal InN layers were successfully grown at temperatures ranging from 400 to 500 C. The a and c lattice constants of InN layers grown at 450 C or below were slightly larger than those of InN layers grown above 450 C due to oxygen incorporation that also increased the carrier concentration. The optical absorption edge of the InN layer decreased from above 2.0 to 0.76 eV when the growth temperature was increased from 450 to 500 C. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Fe-N{sub x}/C assisted chemical–mechanical polishing for improving the removal rate of sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Li, E-mail: xl0522@126.com [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zou, Chunli; Shi, Xiaolei [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Luo, Guihai; Zhou, Yan [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-07-15

    Highlights: • A novel non-noble metal catalyst (Fe-N{sub x}/C) was prepared. • Fe-N{sub x}/C shows remarkable catalytic activity for improving the removal rate of sapphire in alkaline solution. • The optimum CMP removal by Fe-N{sub x}/C yielded a superior surface finish of 0.078 nm the average roughness. • Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group possibly serving as the catalytic sites. • A soft hydration layer (boehmite, AlO(OH)) was generated on the surface of sapphire during CMP process. - Abstract: In this paper, a novel non-noble metal catalyst (Fe-N{sub x}/C) is used to improve the removal mass of sapphire as well as obtain atomically smooth sapphire wafer surfaces. The results indicate that Fe-N{sub x}/C shows good catalytic activity towards sapphire removal rate. And the material removal rates (MRRs) are found to vary with the catalyst content in the polishing fluid. Especially that when the polishing slurry mixes with 16 ppm Fe-N{sub x}/C shows the maximum MRR and its removal mass of sapphire is 38.43 nm/min, more than 15.44% larger than traditional CMP using the colloidal silicon dioxide (SiO{sub 2}) without Fe-N{sub x}/C. Catalyst-assisted chemical–mechanical polishing of sapphire is studied with X-ray photoelectron spectroscopy (XPS). It is found that the formation of a soft hydration layer (boehmite, γ-AlOOH or γ-AlO(OH)) on sapphire surface facilitates the material removal and achieving fine surface finish on basal plane. Abrasives (colloid silica together with magnetite, ingredient of Fe-N{sub x}/C) with a hardness between boehmite and sapphire polish the c-plane of sapphire with good surface finish and efficient removal. Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group would be the catalytical active sites and accelerate this process. Surface quality is characterized with atomic force microscopy (AFM). The optimum CMP removal by Fe-N{sub x}/C also yields a superior

  3. Interfacial reactions between sapphire and Ag–Cu–Ti-based active braze alloys

    International Nuclear Information System (INIS)

    Ali, Majed; Knowles, Kevin M.; Mallinson, Phillip M.; Fernie, John A.

    2016-01-01

    The interfacial reactions between two commercially available Ag–Cu–Ti-based active braze alloys and sapphire have been studied. In separate experiments, Ag–35.3Cu–1.8Ti wt.% and Ag–26.7Cu–4.5Ti wt.% alloys have been sandwiched between pieces of R-plane orientated sapphire and heated in argon to temperatures between 750 and 900 °C for 1 min. The phases at the Ag–Cu–Ti/sapphire interfaces have been studied using selected area electron diffraction, energy dispersive X-ray spectroscopy and electron energy loss spectroscopy. Gradual and subtle changes at the Ag–Cu–Ti/sapphire interfaces were observed as a function of temperature, along with the formation of a transient phase that permitted wetting of the sapphire. Unequivocal evidence is shown that when the active braze alloys melt, titanium first migrates to the sapphire and reacts to dissolve up to ∼33 at.% oxygen, forming a nanometre-size polycrystalline layer with a chemical composition of Ti 2 O 1–x (x ≪ 1). Ti 3 Cu 3 O particles subsequently nucleate behind the Ti 2 O 1–x layer and grow to become a continuous micrometre-size layer, replacing the Ti 2 O 1–x layer. Finally at 845 °C, a nanometre-size γ-TiO layer forms on the sapphire to leave a typical interfacial structure of Ag–Cu/Ti 3 Cu 3 O/γ-TiO/sapphire consistent with that seen in samples of polycrystalline alumina joined to itself with these active braze alloys. These experimental observations have been used to establish a definitive bonding mechanism for the joining of sapphire with Ag–Cu alloys activated by small amounts of titanium.

  4. Contamination-Free Graphene Transfer from Cu-Foil and Cu-Thin-Film/Sapphire

    Directory of Open Access Journals (Sweden)

    Jaeyeong Lee

    2017-12-01

    Full Text Available The separation of graphene grown on metallic catalyst by chemical vapor deposition (CVD is essential for device applications. The transfer techniques of graphene from metallic catalyst to target substrate usually use the chemical etching method to dissolve the metallic catalyst. However, this causes not only high material cost but also environmental contamination in large-scale fabrication. We report a bubble transfer method to transfer graphene films to arbitrary substrate, which is nondestructive to both the graphene and the metallic catalyst. In addition, we report a type of metallic catalyst, which is 700 nm of Cu on sapphire substrate, which is hard enough to endure against any procedure in graphene growth and transfer. With the Cr adhesion layer between sapphire and Cu film, electrochemically delaminated graphene shows great quality during several growth cycles. The electrochemical bubble transfer method can offer high cost efficiency, little contamination and environmental advantages.

  5. Growth of M-plane (10-10)InN on LiAlO2(100) substrate

    International Nuclear Information System (INIS)

    Takagi, Yusuke; Muto, Daisuke; Araki, Tsutomu; Nanishi, Yasushi; Yamaguchi, Tomohiro

    2009-01-01

    In this study, we report the growth and characterization of M-plane InN films on LiAlO 2 (100) substrates by radio-frequency plasma assisted molecular beam epitaxy (RF-MBE). InN films were grown at various temperatures and under various V/III ratios on the substrates. Pure M -plane InN films were successfully grown at a high temperature of 450 C and under a slightly In-rich condition, while the incorporation of C-plane phase was observed in M -plane InN films grown at low temperatures of less than 400 C or under a N-rich condition. These indicate that controls of growth temperature and V/III ratio are important for the growth of pure M-plane InN films. The in-plane epitaxial relationships of M -plane InN on LiAlO 2 (100) were[0001] InN //[010] LiAlO 2 and[1-210] InN //[001] LiAlO 2 . A surface electron accumulation layer on the obtained M-plane InN film is also discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Across plane ionic conductivity of highly oriented neodymium doped ceria thin films.

    Science.gov (United States)

    Baure, G; Kasse, R M; Rudawski, N G; Nino, J C

    2015-05-14

    A methodology to limit interfacial effects in thin films is proposed and explained. The strategy is to reduce the impact of the electrode interfaces and eliminate cross grain boundaries that impede ionic motion. To this end, highly oriented Nd0.1Ce0.9O2-δ (NDC) nanocrystalline thin films were grown using pulsed laser deposition (PLD) on platinized single crystal a-plane sapphire substrates. High resolution cross-sectional transmission electron microscopy (HR-XTEM), scanning electron microscopy (SEM) and X-ray diffraction (XRD) verified the films were textured with columnar grains. The average widths of the columns were approximately 40 nm and not significantly changed by film thickness between 100 and 300 nm. HR-XTEM and XRD determined the {111} planes of NDC were grown preferentially on top of the {111} planes of platinum despite the large lattice mismatch between the two planes. From the XRD patterns, the out of plane strains on the platinum and NDC layers were less than 1%. This can be explained by the coincident site lattice (CSL) theory. Rotating the {111} ceria planes 19.11° with respect to the {111} platinum planes forms a Σ7 boundary where 1 in 7 cerium lattice sites are coincident with the platinum lattice sites. This orientation lowers interfacial energy promoting the preferential alignment of those two planes. The across plane ionic conductivity was measured at low temperatures (<350 °C) for the various film thicknesses. It is here shown that columnar grain growth of ceria can be induced on platinized substrates allowing pathways that are clear of blocking grain boundaries that cause conductivities to diminish as film thickness decreases.

  7. Research of Infrared Imaging at Atmospheric Pressure Using a Substrate-Free Focal Plane Array

    International Nuclear Information System (INIS)

    Wu Jian-Xiong; Cheng Teng; Zhang Qing-Chuan; Zhang Yong; Mao Liang; Gao Jie; Wu Xiao-Ping; Chen Da-Peng

    2013-01-01

    An equivalent circuit model to the substrate-free focal plane array (FPA) is established. Using this fast and effective model, the performance of infrared (IR) imaging at atmospheric pressure is investigated and it is found that the substrate-free FPA has the ability of IR imaging at atmospheric pressure, whereas it has a slightly degraded noise equivalent temperature difference (NETD) as compared with IR imaging under a high vacuum. This feature is also identified experimentally by a substrate-free FPA with pixel size of 50 × 50 μm 2 . The NETDs are measured to be 160 mK at 10 −2 Pa pressure and 1.08 K at atmospheric pressure

  8. Single phase semipolar (11 anti 22) GaN on (10 anti 10) sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Stellmach, J.; Schwaner, T.; Frentrup, M.; Wernicke, T.; Pristovsek, M.; Kneissl, M. [Institute of Solid States Physics, (Germany); Park, J.B.; Niermann, T.; Lehmann, M. [Institute of Optics and Atomic Physics, TU Berlin, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    InGaN quantum well based light emitters grown on (0001) GaN suffer from poor quantum efficiencies with increasing indium mole fraction due to strong polarization fields along the polar crystal orientation. This effect can be greatly reduced by growing on semi- and non-polar GaN orientations. Semipolar (11 anti 22) GaN layers were deposited by metalorganic vapour phase epitaxy on (10 anti 10) sapphire. After sapphire substrate nitridation at 1000 C, a GaN nucleation layer was deposited at high temperature, followed by the deposition of 1.5 nm thick GaN buffer layers. The samples show predominantly (11 anti 22) orientation with a small fraction of (10 anti 13) oriented domains. With increasing nitridation layer thickness the (10 anti 13) phase is suppressed leading to a very smooth surface morphology (rms roughness < 4nm). PL measurements show dominant basel plane stacking fault (BSF) I{sub 1} luminescence without any other defects. Transmission electron microscopy measurements reveal a high BSF density. The FWHM of the X-ray diffraction rocking curve measurements of the (1122) reflection decreases to 1193 arcsec and 739 arcsec along [1 anti 100] and [11 anti 23] respectively with increasing nucleation temperature. Using high temperature nucleation smooth and homogeneous (11 anti 22) phase GaN layers have been obtained.

  9. Order in nanometer thick intergranular films at Au-sapphire interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Baram, Mor [Department of Materials Engineering, Technion-Israel Institute of Technology, Haifa 32000 (Israel); Garofalini, Stephen H. [Department of Materials Science and Engineering, Rutgers University, Piscataway, NJ 08854-8065 (United States); Kaplan, Wayne D., E-mail: kaplan@tx.technion.ac.il [Department of Materials Engineering, Technion-Israel Institute of Technology, Haifa 32000 (Israel)

    2011-08-15

    Highlights: {yields} Au particles were equilibrated on (0 0 0 1) sapphire in the presence of anorthite. {yields} 1.2 nm thick equilibrium films (complexions) were formed at the Au-sapphire interfaces. {yields} Quantitative HRTEM was used to study the atomistic structure of the films. {yields} Structural order was observed in the 1.2 nm thick films adjacent to the sapphire crystal. {yields} This demonstrates that ordering is an intrinsic part of equilibrium intergranular films. - Abstract: In recent years extensive studies on interfaces have shown that {approx}1 nm thick intergranular films (IGF) exist at interfaces in different material systems, and that IGF can significantly affect the materials' properties. However, there is great deal of uncertainty whether such films are amorphous or partially ordered. In this study specimens were prepared from Au particles that were equilibrated on sapphire substrates in the presence of anorthite glass, leading to the formation of 1.2 nm thick IGF at the Au-sapphire interfaces. Site-specific cross-section samples were characterized using quantitative high resolution transmission electron microscopy to study the atomistic structure of the films. Order was observed in the 1.2 nm thick films adjacent to the sapphire crystal in the form of 'Ca cages', experimentally demonstrating that ordering is an intrinsic part of IGF, as predicted from molecular dynamics and diffuse interface theory.

  10. Growth on nonpolar and semipolar GaN: The substrate dilemma

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institute, Berlin (Germany); Kneissl, M. [Ferdinand-Braun-Institute, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2009-07-01

    Growth of nonpolar and semipolar GaN is very promising for achieving green laser diodes (LDs). However, the choice of the substrate is a difficult one: Heteroepitaxial growth on sapphire, SiC, LiAlO{sub 2} yields GaN films with a poor surface quality and high defect densities. On the other hand non- and semipolar bulk GaN substrates provide excellent crystal quality, but are so far only available in very small sizes. In this paper hetero- and homoepitaxial growth is compared. For all heteroepitaxially grown semi- and nonpolar GaN layers threading dislocations (TD) and basal plane stacking faults (BSF) can be found. There are four possible mechanisms for the generation of BSF: Growth of the N-polar basal plane, formation during nucleation at substrate steps, formation at the coalescence front of differently stacked nucleation islands, and generation at planar defects occurring in m-plane GaN on LiAlO{sub 2}. BSF induce surface roughening and are associated with partial dislocations causing nonradiative recombination. Thus they affect the performance of devices. We show that BSFs and TDs can be reduced by epitaxial lateral overgrowth resulting in several micrometer wide defect free areas. However, for LEDs larger defect-free areas are required. GaN layers grown on bulk GaN substrates exhibit a high crystal quality, but show in many cases long-range surface structures with a height of {approx}1{mu}m.

  11. High-quality single crystalline NiO with twin phases grown on sapphire substrate by metalorganic vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    Kazuo Uchida

    2012-12-01

    Full Text Available High-quality single crystalline twin phase NiO grown on sapphire substrates by metalorganic vapor phase epitaxy is reported. X-ray rocking curve analysis of NiO films grown at different temperatures indicates a minimum full width at half maximum of the cubic (111 diffraction peak of 0.107° for NiO film grown at as low as 550 °C. Detailed microstructural analysis by Φ scan X-ray diffraction and transmission electron microscopy reveal that the NiO film consists of large single crystalline domains with two different crystallographic orientations which are rotated relative to each other along the [111] axis by 60°. These single crystal domains are divided by the twin phase boundaries.

  12. Fabrication of Ternary AgPdAu Alloy Nanoparticles on c-Plane Sapphire by the Systematical Control of Film Thickness and Deposition Sequence

    Science.gov (United States)

    Kunwar, Sundar; Pandey, Puran; Sui, Mao; Bastola, Sushil; Lee, Jihoon

    2018-06-01

    In this work, a systematic study on the fabrication of ternary AgPdAu alloy nanoparticles (NPs) on c-plane sapphire (0001) is presented and the corresponding structural and optical characteristics are demonstrated. The metallic trilayers of various thicknesses and deposition orders are annealed in a controlled manner (400 °C to 900 °C) to induce the solid-state dewetting that yields the various structural configurations of AgPdAu alloy NPs. The dewetting of relatively thicker trilayers (15 nm) is gradually progressed with void nucleation, growth, and coalescence, isolated NP formation, and shape transformation, along with the temperature control. For 6 nm thickness, owing to the sufficient dewetting of trilayers along with enhanced diffusion, dense and small spherical alloy NPs are fabricated. Depending on the specific growth condition, the surface diffusion and interdiffusion of metal atoms, surface and interface energy minimization, Rayleigh instability, and equilibrium configuration are correlated to describe the fabrication of ternary alloy NPs. Ternary alloy NPs exhibit morphology-dependent ultraviolet-visible-near infrared (UV-VIS-NIR) reflectance properties such as the inverse relationship of average reflectance with the surface coverage, absorption enhancement in specific regions, and reflectance maxima in UV and NIR regions. In addition, Raman spectra depict the six active phonon modes of sapphires and their intensity and position modulation by the alloy NPs.

  13. Front Surface Tandem Filters using Sapphire (Al2O3) Substrates for Spectral Control in thermophotovoltaic Energy Conversion Systems

    International Nuclear Information System (INIS)

    T Rahmlow, Jr.; J Lazo-Wasem; E Gratrix; P Fourspring; D DePoy

    2005-01-01

    Front surface filters provide an effective means of improving thermophotovoltaic (TPV) system efficiency through spectral control of incident radiant energy. A front surface filter reflects the below band gap photons that can not be converted by the TPV cell back towards the high temperature radiator and allows convertible above band gap photons to pass through the filter into the TPV cell for conversion to electricity. The best spectral control efficiency to date has been demonstrated by front surface, tandem filters that combine an interference filter and an InPAs layer (plasma filter) in series. The InPAs material is a highly doped, epitaxially grown layer on an InP substrate. These tandem filter designs have been fabricated with energy and angle weighted spectral efficiencies of 76% for TPV cells with a 2.08(micro)m (0.6eV) band gap [1]. An alternative to the InPAs layer on an InP substrate is an Al 2 O 3 (sapphire) substrate. The use of Al 2 O 3 may increase transmission of above band gap photons, increase the mechanical strength of the tandem filter, and lower the cost of the tandem filter, all at the expense of lower spectral efficiency. This study presents design and fabrication results for front surface tandem filters that use an Al 2 O 3 substrate for 2.08(micro)m band gap TPV cells

  14. High performance sapphire windows

    Science.gov (United States)

    Bates, Stephen C.; Liou, Larry

    1993-02-01

    High-quality, wide-aperture optical access is usually required for the advanced laser diagnostics that can now make a wide variety of non-intrusive measurements of combustion processes. Specially processed and mounted sapphire windows are proposed to provide this optical access to extreme environment. Through surface treatments and proper thermal stress design, single crystal sapphire can be a mechanically equivalent replacement for high strength steel. A prototype sapphire window and mounting system have been developed in a successful NASA SBIR Phase 1 project. A large and reliable increase in sapphire design strength (as much as 10x) has been achieved, and the initial specifications necessary for these gains have been defined. Failure testing of small windows has conclusively demonstrated the increased sapphire strength, indicating that a nearly flawless surface polish is the primary cause of strengthening, while an unusual mounting arrangement also significantly contributes to a larger effective strength. Phase 2 work will complete specification and demonstration of these windows, and will fabricate a set for use at NASA. The enhanced capabilities of these high performance sapphire windows will lead to many diagnostic capabilities not previously possible, as well as new applications for sapphire.

  15. Neutron reflectivity study of substrate surface chemistry effects on supported phospholipid bilayer formation on (1120) sapphire.

    Energy Technology Data Exchange (ETDEWEB)

    Oleson, Timothy A. [University of Wisconsin, Madison; Sahai, Nita [University of Akron; Wesolowski, David J [ORNL; Dura, Joseph A [ORNL; Majkrzak, Charles F [ORNL; Giuffre, Anthony J. [University of Wisconsin, Madison

    2012-01-01

    Oxide-supported phospholipid bilayers (SPBs) used as biomimetric membranes are significant for a broad range of applications including improvement of biomedical devices and biosensors, and in understanding biomineralization processes and the possible role of mineral surfaces in the evolution of pre-biotic membranes. Continuous-coverage and/or stacjed SPBs retain properties (e.,g. fluidity) more similar to native biological membranes, which is desirable for most applications. Using neutron reflectivity, we examined face coverage and potential stacking of dipalmitoylphosphatidylcholine (DPPC) bilayers on the (1120) face of sapphire (a-Al2O3). Nearly full bilayers were formed at low to neutral pH, when the sapphire surface is positively charged, and at low ionic strength (l=15 mM NaCl). Coverage decreased at higher pH, close to the isoelectric point of sapphire, and also at high I>210mM, or with addition of 2mM Ca2+. The latter two effects are additive, suggesting that Ca2+ mitigates the effect of higher I. These trends agree with previous results for phospholipid adsorption on a-Al2O3 particles determined by adsorption isotherms and on single-crystal (1010) sapphire by atomic force microscopy, suggesting consistency of oxide surface chemistry-dependent effects across experimental techniques.

  16. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  17. Magnetron-sputter deposition of high-indium-content n-AlInN thin film on p-Si(001) substrate for photovoltaic applications

    International Nuclear Information System (INIS)

    Liu, H. F.; Tan, C. C.; Dalapati, G. K.; Chi, D. Z.

    2012-01-01

    Al 0.278 In 0.722 N thin films have been grown on p-type Si(001) and c-plane sapphire substrates by employing radio-frequency magnetron-sputter deposition at elevated temperatures. High-resolution x-ray diffraction, as well as pole-figure measurements, reveals no phase separation of the thin films. The Al 0.278 In 0.722 N film grown on p-Si(001) substrate is a typical fiber-texture with AlInN(0001)//Si(001) while that on the c-sapphire exhibits the onset of epitaxy. Microscopic studies reveal that the growth is dominated by a columnar mechanism and the average columnar grain diameter is about 31.5 and 50.8 nm on p-Si(001) and c-sapphire substrates, respectively. Photoluminescence at room-temperature exhibits a strong emission peak at 1.875 eV, smaller than the optical absorption edge (2.102 eV) but larger than the theoretical bandgap energy (1.70 eV), which is attributable to the band-filling effect, as is supported by the high electron density of 4.5 × 10 20 cm −3 . The n-Al 0.278 In 0.722 N/p-Si(001) heterostructure is tested for solar cells and the results are discussed based on the I-V characteristics and their fittings.

  18. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    Science.gov (United States)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  19. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    Science.gov (United States)

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  20. Assessment of the out-plane and in-plane ordering of high quality ZnO nanorods by X-ray multiple diffraction

    International Nuclear Information System (INIS)

    Martínez-Tomás, M.C.; Montenegro, D.N.; Agouram, S.; Sallet, V.; Muñoz-Sanjosé, V.

    2013-01-01

    ZnO nanorods grown on buffered and non buffered sapphire substrates have been investigated by X-ray multiple diffraction using Renninger scans of the ZnO(0001) and ZnO(0003) forbidden reflections. In this technique the diffracted X-ray beam is simultaneously diffracted by several sets of planes, providing information on the broadening in different directions, as well as from nanorods, and from the layer on which they grow. The intensities and angular widths of peaks obtained by azimuthal and omega scans have been analyzed, making a direct comparison with conventional measurements of the full width at half-maximum of symmetric and asymmetric reflections. The analysis leads to establish that the peaks of the Renninger scan are highly sensitive to structural characteristics, providing information related with both the out-plane and in-plane ordering of nanostructured samples with a single scan. - Highlights: ► Structural characteristics of ZnO nanorods have been analyzed by X-ray multiple diffraction. ► X-ray multiple diffraction can provide mosaic structure characteristics from a single scan. ► Peaks of Renninger scan result to be very sensitive to structural characteristics. ► X-ray multiple diffraction can be an alternative analysis method to X-ray diffraction

  1. Assessment of the out-plane and in-plane ordering of high quality ZnO nanorods by X-ray multiple diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Martínez-Tomás, M.C., E-mail: Carmen.Martinez-tomas@uv.es [Departamento de Física Aplicada y Electromagnetismo, Universitat de Valencia, Dr. Moliner 50, 46100 Burjassot (Spain); Montenegro, D.N.; Agouram, S. [Departamento de Física Aplicada y Electromagnetismo, Universitat de Valencia, Dr. Moliner 50, 46100 Burjassot (Spain); Sallet, V. [Groupe d' Etude de la Matière Condensée (GEMAC), CNRS-Université de Versailles St-Quentin, 45 avenue des Etats-Unis, 78035 Versailles Cedex (France); Muñoz-Sanjosé, V. [Departamento de Física Aplicada y Electromagnetismo, Universitat de Valencia, Dr. Moliner 50, 46100 Burjassot (Spain)

    2013-08-31

    ZnO nanorods grown on buffered and non buffered sapphire substrates have been investigated by X-ray multiple diffraction using Renninger scans of the ZnO(0001) and ZnO(0003) forbidden reflections. In this technique the diffracted X-ray beam is simultaneously diffracted by several sets of planes, providing information on the broadening in different directions, as well as from nanorods, and from the layer on which they grow. The intensities and angular widths of peaks obtained by azimuthal and omega scans have been analyzed, making a direct comparison with conventional measurements of the full width at half-maximum of symmetric and asymmetric reflections. The analysis leads to establish that the peaks of the Renninger scan are highly sensitive to structural characteristics, providing information related with both the out-plane and in-plane ordering of nanostructured samples with a single scan. - Highlights: ► Structural characteristics of ZnO nanorods have been analyzed by X-ray multiple diffraction. ► X-ray multiple diffraction can provide mosaic structure characteristics from a single scan. ► Peaks of Renninger scan result to be very sensitive to structural characteristics. ► X-ray multiple diffraction can be an alternative analysis method to X-ray diffraction.

  2. Monolayer Boron Nitride Substrate Interactions with Graphene Under In-Plane and Perpendicular Strains: A First-Principles Study

    Science.gov (United States)

    Behzad, Somayeh

    2018-04-01

    Effects of strain on the electronic and optical properties of graphene on monolayer boron nitride (BN) substrate are investigated using first-principle calculations based on density functional theory. Strain-free graphene/BN has a small band gap of 97 meV at the K point. The magnitude of band gap increases with in-plane biaxial strain while it decreases with the perpendicular uniaxial strain. The ɛ2 (ω ) spectrum of graphene/BN bilayer for parallel polarization shows red and blue shifts by applying the in-plane tensile and compressive strains, respectively. Also the positions of peaks in the ɛ2 (ω ) spectrum are not significantly changed under perpendicular strain. The calculated results indicate that graphene on the BN substrate has great potential in microelectronic and optoelectronic applications.

  3. GaN-based light-emitting diodes on various substrates: a critical review.

    Science.gov (United States)

    Li, Guoqiang; Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Wang, Haiyan; Lin, Zhiting; Zhou, Shizhong

    2016-05-01

    GaN and related III-nitrides have attracted considerable attention as promising materials for application in optoelectronic devices, in particular, light-emitting diodes (LEDs). At present, sapphire is still the most popular commercial substrate for epitaxial growth of GaN-based LEDs. However, due to its relatively large lattice mismatch with GaN and low thermal conductivity, sapphire is not the most ideal substrate for GaN-based LEDs. Therefore, in order to obtain high-performance and high-power LEDs with relatively low cost, unconventional substrates, which are of low lattice mismatch with GaN, high thermal conductivity and low cost, have been tried as substitutes for sapphire. As a matter of fact, it is not easy to obtain high-quality III-nitride films on those substrates for various reasons. However, by developing a variety of techniques, distincts progress has been made during the past decade, with high-performance LEDs being successfully achieved on these unconventional substrates. This review focuses on state-of-the-art high-performance GaN-based LED materials and devices on unconventional substrates. The issues involved in the growth of GaN-based LED structures on each type of unconventional substrate are outlined, and the fundamental physics behind these issues is detailed. The corresponding solutions for III-nitride growth, defect control, and chip processing for each type of unconventional substrate are discussed in depth, together with a brief introduction to some newly developed techniques in order to realize LED structures on unconventional substrates. This is very useful for understanding the progress in this field of physics. In this review, we also speculate on the prospects for LEDs on unconventional substrates.

  4. Sapphire: A kinking nonlinear elastic solid

    Science.gov (United States)

    Basu, S.; Barsoum, M. W.; Kalidindi, S. R.

    2006-03-01

    Kinking nonlinear elastic (KNE) solids are a recently identified large class of solids that deform fully reversibly by the formation of dislocation-based kink bands [Barsoum et al. Phys. Rev. Lett. 92, 255508 (2004)]. We further conjectured that a high c/a ratio-that ensures that only basal slip is operative-is a sufficient condition for a solid to be KNE. The c/a ratio of sapphire is 2.73 and thus, if our conjecture is correct, it should be a KNE solid. Herein by repeatedly loading-up to 30 times-the same location of sapphire single crystals of two orientations-A and C-with a 1 μm radius spherical nanoindenter, followed by atomic force microscopy, we showed that sapphire is indeed a KNE solid. After pop-ins of the order of 100 nm, the repeated loadings give rise to fully reversible, reproducible hysteresis loops wherein the energy dissipated per unit volume per cycle Wd is of the order of 0.5 GJ/m3. Wd is due to the back and fro motion of the dislocations making up the incipient kink bands that are fully reversible. The results presented here strongly suggest that-like in graphite and mica-kink bands play a more critical role in the room temperature constrained deformation of sapphire than had hitherto been appreciated. Our interpretation is also in agreement with, and can explain most, recent nanoindentation results on sapphire.

  5. Influence of Si-doping on heteroepitaxially grown a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Bastek, Barbara; Noltemeyer, Martin; Hempel, Thomas; Rohrbeck, Antje; Witte, Hartmut; Veit, Peter; Blaesing, Juergen; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-Universitaet Magdeburg, FNW/IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2011-07-01

    Si-doped a-plane GaN samples with nominal doping levels up to 10{sup 20} cm{sup -3} were grown on r-plane sapphire by metal organic vapor phase epitaxy. Silane flow rates higher than 59 nmol/min lead to three dimensional grown crystallites as revealed by scanning electron microscopy. High resolution X-ray diffraction, photoluminescence and cathodoluminescence suggest considerably reduced defect densities in the large micrometer-sized GaN crystallites. Especially, transmission electron microscopy images verify a very low density of basal plane stacking faults less than 10{sup 4} cm{sup -1} in these crystallites consisting of heteroepitaxially grown a-plane GaN. In our presentation the influence of the Si doping on the basal plane stacking faults will be discussed.

  6. Pb(Zr,TiO3 (PZT Thin Film Sensors for Fully-Integrated, Passive Telemetric Transponders

    Directory of Open Access Journals (Sweden)

    Richard X. FU

    2011-04-01

    Full Text Available The great potential of taking advantages of PZT in a single chip to achieve inexpensive, fully-integrated, passive telemetric transponders has been shown in this paper. The processes for the sputter deposition of Pb(Zr,TiO3 (PZT thin films from two different composite targets on both Si and c-plane sapphire substrates have been demonstrated. PZT thin films have been deposited by sputter technique. PZT films were deposited onto substrates (Si [(100 Cz wafer] and c-plane sapphire (0001//Ti//Pt followed by sputter-deposited Pt top electrodes. X-ray diffraction results showed that both sputtered PZT films were textured along the [110] direction. The degree of preference for the [110] direction was greater on sapphire substrate where the intensity of that peak is seen to be larger compared to the intensity one Si substrate. TEM data revealed that both sputtered PZT films were polycrystalline in nature. Selected area diffraction (SAD pattern showed that the degree of disorientation between the crystallites was smaller on sapphire substrate compared to on Si substrate, which confirmed the results from the XRD. The remnant polarization Pr on sapphire substrate was larger than on Si’s. The leakage current for the 11 % Pb target sputtered film was much less than 22 % Pb target sputtered film. The breakdown voltage on sapphire substrate was the best. However, for the 11 % Pb target sputtered film’s breakdown voltage was much higher than 22 % Pb target sputtered film.

  7. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    Science.gov (United States)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  8. Ultrasensitive label-free detection of DNA hybridization by sapphire-based graphene field-effect transistor biosensor

    Science.gov (United States)

    Xu, Shicai; Jiang, Shouzhen; Zhang, Chao; Yue, Weiwei; Zou, Yan; Wang, Guiying; Liu, Huilan; Zhang, Xiumei; Li, Mingzhen; Zhu, Zhanshou; Wang, Jihua

    2018-01-01

    Graphene has attracted much attention in biosensing applications for its unique properties. Because of one-atom layer structure, every atom of graphene is exposed to the environment, making the electronic properties of graphene are very sensitive to charged analytes. Therefore, graphene is an ideal material for transistors in high-performance sensors. Chemical vapor deposition (CVD) method has been demonstrated the most successful method for fabricating large area graphene. However, the conventional CVD methods can only grow graphene on metallic substrate and the graphene has to be transferred to the insulating substrate for further device fabrication. The transfer process creates wrinkles, cracks, or tears on the graphene, which severely degrade electrical properties of graphene. These factors severely degrade the sensing performance of graphene. Here, we directly fabricated graphene on sapphire substrate by high temperature CVD without the use of metal catalysts. The sapphire-based graphene was patterned and make into a DNA biosensor in the configuration of field-effect transistor. The sensors show high performance and achieve the DNA detection sensitivity as low as 100 fM (10-13 M), which is at least 10 times lower than prior transferred CVD G-FET DNA sensors. The use of the sapphire-based G-FETs suggests a promising future for biosensing applications.

  9. Enhanced c-axis orientation of aluminum nitride thin films by plasma-based pre-conditioning of sapphire substrates for SAW applications

    Science.gov (United States)

    Gillinger, M.; Shaposhnikov, K.; Knobloch, T.; Stöger-Pollach, M.; Artner, W.; Hradil, K.; Schneider, M.; Kaltenbacher, M.; Schmid, U.

    2018-03-01

    Aluminum nitride (AlN) on sapphire has been investigated with two different pretreatments prior to sputter deposition of the AlN layer to improve the orientation and homogeneity of the thin film. An inverse sputter etching of the substrate in argon atmosphere results in an improvement of the uniformity of the alignment of the AlN grains and hence, in enhanced electro-mechanical AlN film properties. This effect is demonstrated in the raw measurements of SAW test devices. Additionally, the impulse response of several devices shows that a poor AlN thin film layer quality leads to a higher signal damping during the transduction of energy in the inter-digital transducers. As a result, the triple-transit signal cannot be detected at the receiver.

  10. Structure of the Dislocation in Sapphire

    DEFF Research Database (Denmark)

    Bilde-Sørensen, Jørgen; Thölen, A. R.; Gooch, D. J.

    1976-01-01

    Experimental evidence of the existence of 01 0 dislocations in the {2 0} prism planes in sapphire has been obtained by transmission electron microscopy. By the weak-beam technique it has been shown that the 01 0 dislocations may dissociate into three partials. The partials all have a Burgers vector...... of ⅓ 01 0 and are separated by two identical faults. The distance between two partials is in the range 75-135 Å, corresponding to a fault energy of 320±60 mJ/m2. Perfect 01 0 dislocations have also been observed. These dislocations exhibited either one or two peaks when imaged in the (03 0) reflection...

  11. Microscopic investigations of the optical and structural properties of nonpolar InGaN MQWs on a-plane GaN ELOG structures

    Energy Technology Data Exchange (ETDEWEB)

    Schwarz, Torsten; Bastek, Barbara; Hempel, Thomas; Veit, Peter; Christen, Juergen [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Wernicke, Tim; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University Berlin (Germany)

    2010-07-01

    We present the optical and structural properties of InGaN MQWs which were grown by MOVPE on fully coalesced lateral epitaxially overgrown (ELOG) a-plane GaN on r-plane sapphire substrate and stripe masks orientated in the [0110] direction. Photoluminescence (PL) measurements exhibit a strong emission from the InGaN MQW at 3.109 eV at 4 K dominating the GaN (D{sup 0},X) emission at 3.488 eV by two orders of magnitude. The emission from basal plane stacking faults (BSF) was even more suppressed. Transmission electron microscopy showed a drastic reduction of the BSF in the lateral overgrown area (I) compared to the area of coherent growth (II). {mu}-PL and highly spatially resolved cathodoluminescence (CL) measurements revealed an intensity increase of the MQW emission by a factor of two for the defect reduced region (I) compared to the defective region (II). Also a blue shift by 20 meV of the MQW peak emission wavelength in the area (I) in comparison with defective area (II) was observed.

  12. Room-temperature epitaxial growth of high-quality m-plane InGaN films on ZnO substrates

    Energy Technology Data Exchange (ETDEWEB)

    Shimomoto, Kazuma; Ueno, Kohei [Institute of Industrial Science, University of Tokyo (Japan); Kobayashi, Atsushi [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Department of Applied Chemistry, University of Tokyo (Japan); Ohta, Jitsuo [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Oshima, Masaharu [Department of Applied Chemistry, University of Tokyo (Japan); Core Research for Evolutional Science and Technology, Japan Science and Technology Corporation (JST-CREST), Tokyo (Japan); Fujioka, Hiroshi [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Core Research for Evolutional Science and Technology, Japan Science and Technology Corporation (JST-CREST), Tokyo (Japan); Amanai, Hidetaka; Nagao, Satoru; Horie, Hideyoshi [Mitsubishi Chemical Group, Science and Technology Research Center, Higashi-Mamiana, Ushiku-shi, Ibaraki (Japan)

    2009-05-15

    The authors have grown high-quality m -plane In{sub 0.36}Ga{sub 0.64}N (1 anti 100) films on ZnO (1 anti 100) substrates at room temperature (RT) by pulsed laser deposition (PLD) and have investigated their structural properties. m-plane InGaN films grown on ZnO substrates at RT possess atomically flat surfaces with stepped and terraced structures, indicating that the film growth proceeds in a two-dimensional mode. X-ray diffraction measurements have revealed that the m-plane InGaN films grow without phase separation reactions at RT. The full-width at half-maximum values of the 1 anti 100 X-ray rocking curves of films with X-ray incident azimuths perpendicular to the c- and a-axis are 88 arcsec and 78 arcsec, respectively. Reciprocal space-mapping has revealed that a 50 nm thick m-plane In{sub 0.36}Ga{sub 0.64}N film grows coherently on the ZnO substrate, which can probably explain the low defect density that is observed in the film. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Room-temperature epitaxial growth of high-quality m-plane InGaN films on ZnO substrates

    International Nuclear Information System (INIS)

    Shimomoto, Kazuma; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Oshima, Masaharu; Fujioka, Hiroshi; Amanai, Hidetaka; Nagao, Satoru; Horie, Hideyoshi

    2009-01-01

    The authors have grown high-quality m -plane In 0.36 Ga 0.64 N (1 anti 100) films on ZnO (1 anti 100) substrates at room temperature (RT) by pulsed laser deposition (PLD) and have investigated their structural properties. m-plane InGaN films grown on ZnO substrates at RT possess atomically flat surfaces with stepped and terraced structures, indicating that the film growth proceeds in a two-dimensional mode. X-ray diffraction measurements have revealed that the m-plane InGaN films grow without phase separation reactions at RT. The full-width at half-maximum values of the 1 anti 100 X-ray rocking curves of films with X-ray incident azimuths perpendicular to the c- and a-axis are 88 arcsec and 78 arcsec, respectively. Reciprocal space-mapping has revealed that a 50 nm thick m-plane In 0.36 Ga 0.64 N film grows coherently on the ZnO substrate, which can probably explain the low defect density that is observed in the film. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Preparation and modification of VO2 thin film on R-sapphire substrate by rapid thermal process

    Science.gov (United States)

    Zhu, Nai-Wei; Hu, Ming; Xia, Xiao-Xu; Wei, Xiao-Ying; Liang, Ji-Ran

    2014-04-01

    The VO2 thin film with high performance of metal-insulator transition (MIT) is prepared on R-sapphire substrate for the first time by magnetron sputtering with rapid thermal process (RTP). The electrical characteristic and THz transmittance of MIT in VO2 film are studied by four-point probe method and THz time domain spectrum (THz-TDS). X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and search engine marketing (SEM) are employed to analyze the crystalline structure, valence state, surface morphology of the film. Results indicate that the properties of VO2 film which is oxidized from the metal vanadium film in oxygen atmosphere are improved with a follow-up RTP modification in nitrogen atmosphere. The crystallization and components of VO2 film are improved and the film becomes compact and uniform. A better phase transition performance is shown that the resistance changes nearly 3 orders of magnitude with a 2-°C hysteresis width and the THz transmittances are reduced by 64% and 60% in thermal and optical excitation respectively.

  15. Gate-Recessed AlGaN/GaN MOSHEMTs with the Maximum Oscillation Frequency Exceeding 120 GHz on Sapphire Substrates

    International Nuclear Information System (INIS)

    Kong Xin; Wei Ke; Liu Guo-Guo; Liu Xin-Yu

    2012-01-01

    Gate-recessed AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) on sapphire substrates are fabricated. The devices with a gate length of 160 nm and a gate periphery of 2 × 75 μm exhibit two orders of magnitude reduction in gate leakage current and enhanced off-state breakdown characteristics, compared with conventional HEMTs. Furthermore, the extrinsic transconductance of an MOSHEMT is 237.2 mS/mm, only 7% lower than that of Schottky-gate HEMT. An extrinsic current gain cutoff frequency f T of 65 GHz and a maximum oscillation frequency f max of 123 GHz are deduced from rf small signal measurements. The high f max demonstrates that gate-recessed MOSHEMTs are of great potential in millimeter wave frequencies. (cross-disciplinary physics and related areas of science and technology)

  16. Nonpolar ZnO film growth and mechanism for anisotropic in-plane strain relaxation

    International Nuclear Information System (INIS)

    Pant, P.; Budai, J.D.; Narayan, J.

    2010-01-01

    Using high-resolution transmission electron microscopy (HRTEM) and X-ray diffraction, we investigated the strain relaxation mechanisms for nonpolar (1 1 -2 0) a-plane ZnO epitaxy on (1 -1 0 2) r-plane sapphire, where the in-plane misfit ranges from -1.5% for the [0 0 0 1]ZnO-parallel [1 -1 0 -1]sapphire to -18.3% for the [-1 1 0 0]ZnO-parallel [-1 -1 2 0]sapphire direction. For the large misfit [-1 1 0 0]ZnO direction the misfit strains are fully relaxed at the growth temperature, and only thermal misfit and defect strains, which cannot be relaxed fully by slip dislocations, remain on cooling. For the small misfit direction, lattice misfit is not fully relaxed at the growth temperature. As a result, additive unrelaxed lattice and thermal misfit and defect strains contribute to the measured strain. Our X-ray diffraction measurements of lattice parameters show that the anisotropic in-plane biaxial strain leads to a distortion of the hexagonal symmetry of the ZnO basal plane. Based on the anisotropic strain relaxation observed along the orthogonal in-plane [-1 1 0 0] and [0 0 0 1]ZnO stress directions and our HRTEM investigations of the interface, we show that the plastic relaxation occurring in the small misfit direction [0 0 0 1]ZnO by dislocation nucleation is incomplete. These results are consistent with the domain-matching paradigm of a complete strain relaxation for large misfits and a difficulty in relaxing the film strain for small misfits.

  17. Epitactical FeAl films on sapphire and their magnetic properties

    International Nuclear Information System (INIS)

    Trautvetter, Moritz

    2011-01-01

    In the presented thesis epitaxial FeAl thin films on sapphire have been prepared by pulse laser deposition (PLD). The thin films deposited at room temperature exhibits ferromagnetism and subsequent annealing is necessary to transform the thin films to paramagnetic B2-phase, where the transition temperature depends on the crystalline orientation of the sapphire substrate. Alternatively, by deposition at higher substrate temperature the B2-phase is obtained directly. However, morphology of the FeAl film is influenced by different growth modes resulting from different substrate temperatures. The paramagnetic FeAl films can then be transformed to ferromagnetic phase by successive ion irradiation. Independent of the ion species used for irradiation, the same universal relation between thin films' coercive fields and irradiation damage is identified. The ion irradiation ferromagnetism can be transformed back to paramagnetism by subsequent annealing. The mutual transition between ferromagnetic and paramagnetic phases has been performed several times and shows full reversibility. The ferromagnetic phase induced by Kr + irradiation exhibits structural relaxation, where the saturate magnetization of FeAl thin film gradually decreases in several days. Later, ion irradiation has been performed selectively on defined areas of the thin film with the help of an unconventional lithography technique. The subsequent thin film is composed of ordered hexagonal array of ferromagnetic nano-cylinders separated by a paramagnetic matrix, suggesting a promising system for magnetic data storage. (orig.)

  18. High-sensitivity β-Ga_2O_3 solar-blind photodetector on high-temperature pretreated c-plane sapphire substrate

    KAUST Repository

    Qian, Ling-Xuan; Zhang, Hua-Fan; Lai, P. T.; Wu, Ze-Han; Liu, Xing-Zhao

    2017-01-01

    -annealing temperature must be sufficiently high to offset the rise of the dark current and thus achieve a remarkable improvement in the photodetection properties. As a result, the PD fabricated on the 1050 degrees C-annealed substrate exhibited extremely high

  19. Structural, transport and microwave properties of 123/sapphire films: Thickness effect

    Energy Technology Data Exchange (ETDEWEB)

    Predtechensky, MR.; Smal, A.N.; Varlamov, Y.D. [Institute of Thermophysics, Novosibirsk (Russian Federation)] [and others

    1994-12-31

    The effect of thickness and growth conditions on the structure and microwave properties has been investigated for the 123/sapphire films. It has been shown that in the conditions of epitaxial growth and Al atoms do not diffuse from substrate into the film and the films with thickness up to 100nm exhibit the excellent DC properties. The increase of thickness of GdBaCuO films causes the formation of extended line-mesh defects and the increase of the surface resistance (R{sub S}). The low value of surface resistance R{sub S}(75GHz,77K)=20 mOhm has been obtained for the two layer YBaCuO/CdBaCuO/sapphire films.

  20. Influence of TMAl preflow on AlN epitaxy on sapphire

    KAUST Repository

    Sun, Haiding; Wu, Feng; Park, Young Jae; Al tahtamouni, T. M.; Li, Kuang-Hui; Alfaraj, Nasir; Detchprohm, Theeradetch; Dupuis, Russell D.; Li, Xiaohang

    2017-01-01

    The trimethylaluminum (TMAl) preflow process has been widely applied on sapphire substrates prior to growing Al-polar AlN films by metalorganic chemical vapor deposition. However, it has been unclear how the TMAl preflow process really works. In this letter, we reported on carbon's significance in the polarity and growth mode of AlN films due to the TMAl preflow. Without the preflow, no trace of carbon was found at the AlN/sapphire interface and the films possessed mixed Al- and N-polarity. With the 5 s preflow, carbon started to precipitate due to the decomposition of TMAl, forming scattered carbon-rich clusters which were graphitic carbon. It was discovered that the carbon attracted surrounding oxygen impurity atoms and consequently suppressed the formation of AlxOyNz and thus N-polarity. With the 40 s preflow, the significant presence of carbon clusters at the AlN/sapphire interface was observed. While still attracting oxygen and preventing the N-polarity, the carbon clusters served as randomly distributed masks to further induce a 3D growth mode for the AlN growth. The corresponding epitaxial growth mode change is discussed.

  1. Influence of TMAl preflow on AlN epitaxy on sapphire

    KAUST Repository

    Sun, Haiding

    2017-05-12

    The trimethylaluminum (TMAl) preflow process has been widely applied on sapphire substrates prior to growing Al-polar AlN films by metalorganic chemical vapor deposition. However, it has been unclear how the TMAl preflow process really works. In this letter, we reported on carbon\\'s significance in the polarity and growth mode of AlN films due to the TMAl preflow. Without the preflow, no trace of carbon was found at the AlN/sapphire interface and the films possessed mixed Al- and N-polarity. With the 5 s preflow, carbon started to precipitate due to the decomposition of TMAl, forming scattered carbon-rich clusters which were graphitic carbon. It was discovered that the carbon attracted surrounding oxygen impurity atoms and consequently suppressed the formation of AlxOyNz and thus N-polarity. With the 40 s preflow, the significant presence of carbon clusters at the AlN/sapphire interface was observed. While still attracting oxygen and preventing the N-polarity, the carbon clusters served as randomly distributed masks to further induce a 3D growth mode for the AlN growth. The corresponding epitaxial growth mode change is discussed.

  2. Preparation and modification of VO2 thin film on R-sapphire substrate by rapid thermal process

    International Nuclear Information System (INIS)

    Zhu Nai-Wei; Hu Ming; Xia Xiao-Xu; Wei Xiao-Ying; Liang Ji-Ran

    2014-01-01

    The VO 2 thin film with high performance of metal–insulator transition (MIT) is prepared on R-sapphire substrate for the first time by magnetron sputtering with rapid thermal process (RTP). The electrical characteristic and THz transmittance of MIT in VO 2 film are studied by four-point probe method and THz time domain spectrum (THz-TDS). X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and search engine marketing (SEM) are employed to analyze the crystalline structure, valence state, surface morphology of the film. Results indicate that the properties of VO 2 film which is oxidized from the metal vanadium film in oxygen atmosphere are improved with a follow-up RTP modification in nitrogen atmosphere. The crystallization and components of VO 2 film are improved and the film becomes compact and uniform. A better phase transition performance is shown that the resistance changes nearly 3 orders of magnitude with a 2-°C hysteresis width and the THz transmittances are reduced by 64% and 60% in thermal and optical excitation respectively. (interdisciplinary physics and related areas of science and technology)

  3. Effect of low NH3 flux towards high quality semi-polar (11-22) GaN on m-plane sapphire via MOCVD

    Science.gov (United States)

    Omar, Al-Zuhairi; Shuhaimi Bin Abu Bakar, Ahmad; Makinudin, Abdullah Haaziq Ahmad; Khudus, Muhammad Imran Mustafa Abdul; Azman, Adreen; Kamarundzaman, Anas; Supangat, Azzuliani

    2018-05-01

    The effect of ammonia flux towards the quality of the semi-polar (11-22) gallium nitride thin film on m-plane (10-10) sapphire is presented. Semi-polar (11-22) gallium nitride epi-layers were obtained using a two-step growth method, consisting of high temperature aluminum nitride followed by gallium nitride via metal organic chemical vapor deposition. The surface morphology analysis via field emission scanning electron microscopy and atomic force microscopy of the semi-polar (11-22) gallium nitride has shown that low ammonia flux promotes two-dimensional growth with low surface roughness of 4.08 nm. A dominant diffraction peak of (11-22) gallium nitride was also observed via X-ray diffraction upon utilizing low ammonia flux. The on- and off-axis X-ray rocking curve measurements illustrate the enhancement of the crystal quality, which might result from the reduction of the basal stacking faults and perfect dislocation. The full width half maximum values were reduced by at least 15% for both on- and off-axis measurements.

  4. Differences in structure and magnetic behavior of Mn-AlN films due to substrate material

    International Nuclear Information System (INIS)

    Sato, Takanobu; Nakatani, Ryoichi; Endo, Yasushi; Kirino, Fumiyoshi

    2009-01-01

    The structure and magnetic behavior of Mn-AlN (Al 1-x Mn x N, x = 0.03, 0.04) films deposited on thermally oxidized Si (001) substrates and sapphire (0001) substrates were studied. Mn-AlN films deposited on each substrate had a wuertzite-type AlN phase with a preferentially oriented c-axis. Mn-AlN films that were deposited on Si (001) substrate exhibited paramagnetic behavior. In addition to paramagnetic behavior, weak ferromagnetic behavior with curie temperatures higher than room temperature were observed for Mn-AlN films deposited on sapphire (0001) substrates.

  5. Magnetic properties of in-plane oriented barium hexaferrite thin films prepared by direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xiaozhi; Yue, Zhenxing, E-mail: yuezhx@mail.tsinghua.edu.cn; Meng, Siqin; Yuan, Lixin [State Key Laboratory of New Ceramics and Fine Processing, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China)

    2014-12-28

    In-plane c-axis oriented Ba-hexaferrite (BaM) thin films were prepared on a-plane (112{sup ¯}0) sapphire (Al{sub 2}O{sub 3}) substrates by DC magnetron sputtering followed by ex-situ annealing. The DC magnetron sputtering was demonstrated to have obvious advantages over the traditionally used RF magnetron sputtering in sputtering rate and operation simplicity. The sputtering power had a remarkable influence on the Ba/Fe ratio, the hematite secondary phase, and the grain morphology of the as-prepared BaM films. Under 80 W of sputtering power, in-plane c-axis highly oriented BaM films were obtained. These films had strong magnetic anisotropy with high hysteresis loop squareness (M{sub r}/M{sub s} of 0.96) along the in-plane easy axis and low M{sub r}/M{sub s} of 0.03 along the in-plane hard axis. X-ray diffraction patterns and pole figures revealed that the oriented BaM films grew via an epitaxy-like growth process with the crystallographic relationship BaM (101{sup ¯}0)//α-Fe{sub 2}O{sub 3}(112{sup ¯}0)//Al{sub 2}O{sub 3}(112{sup ¯}0)

  6. A peek into the history of sapphire crystal growth

    Science.gov (United States)

    Harris, Daniel C.

    2003-09-01

    After the chemical compositions of sapphire and ruby were unraveled in the middle of the 19th century, chemists set out to grow artificial crystals of these valuable gemstones. In 1885 a dealer in Geneva began to sell ruby that is now believed to have been created by flame fusion. Gemnologists rapidly concluded that the stones were artificial, but the Geneva ruby stimulated A. V. L. Verneuil in Paris to develop a flame fusion process to produce higher quality ruby and sapphire. By 1900 there was brisk demand for ruby manufactured by Verneuil's method, even though Verneuil did not publicly announce his work until 1902 and did not publish details until 1904. The Verneuil process was used with little alteration for the next 50 years. From 1932-1953, S. K. Popov in the Soviet Union established a capability for manufacturing high quality sapphire by the Verneuil process. In the U.S., under government contract, Linde Air Products Co. implemented the Verneuil process for ruby and sapphire when European sources were cut off during World War II. These materials were essential to the war effort for jewel bearings in precision instruments. In the 1960s and 1970s, the Czochralski process was implemented by Linde and its successor, Union Carbide, to make higher crystal quality material for ruby lasers. Stimulated by a government contract for structural fibers in 1966, H. LaBelle invented edge-defined film-fed growth (EFG). The Saphikon company, which is currently owned by Saint-Gobain, evolved from this effort. Independently and simultaneously, Stepanov developed edge-defined film-fed growth in the Soviet Union. In 1967 F. Schmid and D. Viechnicki at the Army Materials Research Lab grew sapphire by the heat exchanger method (HEM). Schmid went on to establish Crystal Systems, Inc. around this technology. Rotem Industries, founded in Israel in 1969, perfected the growth of sapphire hemispheres and near-net-shape domes by gradient solidification. In the U.S., growth of near

  7. Structural analysis of GaN using high-resolution X-ray diffraction at variable temperatures; Analyse struktureller Eigenschaften von GaN mittels hochaufloesender Roentgenbeugung bei variabler Messtemperatur

    Energy Technology Data Exchange (ETDEWEB)

    Roder, C.

    2007-02-26

    The main topic of this thesis was the study of stress phenomena in GaN layers by application of high-resolution X-ray diffractometry at variable measurement temperature. For this a broad spectrum of different GaN samples was studied, which extended from bulk GaN crystals as well as thick c-plane oriented HVPE-GaN layers on c-plane sapphire over laterlaly overgrown c-plane GaN Layers on Si(111) substrates toon-polar a-plnae GaN layers on r-plane sapphire. The main topic of the measurements was the determination of the lattice parameters. Supplementarily the curvature of the waver as well as the excitonic resosance energies were studied by means of photoluminescence respectively photoreflection spectroscopy. By the measurement of the temperature-dependent lattice parameters of different GaN bulk crystals for the first time a closed set of thermal-expansion coefficients of GaN was determined from 12 to 1205 K with large accuracy. Analoguously the thermal-expansion coefficents of the substrate material sapphire were determinde over a temperature range from 10 to 1166 K.

  8. Photonics of 2D gold nanolayers on sapphire surface

    Energy Technology Data Exchange (ETDEWEB)

    Muslimov, A. E., E-mail: amuslimov@mail.ru; Butashin, A. V.; Nabatov, B. V. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Research Center “Crystallography and Photonics” (Russian Federation); Konovko, A. A.; Belov, I. V.; Gizetdinov, R. M.; Andreev, A. V. [Moscow State University (Russian Federation); Kanevsky, V. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Research Center “Crystallography and Photonics” (Russian Federation)

    2017-03-15

    Gold layers with thicknesses of up to several nanometers, including ordered and disordered 2D nanostructures of gold particles, have been formed on sapphire substrates; their morphology is described; and optical investigations are carried out. The possibility of increasing the accuracy of predicting the optical properties of gold layers and 2D nanostructures using quantum-mechanical models based on functional density theory calculation techniques is considered. The application potential of the obtained materials in photonics is estimated.

  9. Surface-Energy-Anisotropy-Induced Orientation Effects on RayleighInstabilities in Sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Santala, Melissa; Glaeser, Andreas M.

    2006-01-01

    Arrays of controlled-geometry, semi-infinite pore channels of systematically varied crystallographic orientation were introduced into undoped m-plane (10{bar 1}0) sapphire substrates using microfabrication techniques and ion-beam etching and subsequently internalized by solid-state diffusion bonding. A series of anneals at 1700 C caused the breakup of these channels into discrete pores via Rayleigh instabilities. In all cases, channels broke up with a characteristic wavelength larger than that expected for a material with isotropic surface energy, reflecting stabilization effects due to surface-energy anisotropy. The breakup wavelength and the time required for complete breakup varied significantly with channel orientation. For most orientations, the instability wavelength for channels of radius R was in the range of 13.2R-25R, and complete breakup occurred within 2-10 h. To first order, the anneal times for complete breakup scale with the square of the breakup wavelength. Channels oriented along a <11{bar 2}0> direction had a wavelength of {approx} 139R, and required 468 h for complete breakup. Cross-sectional analysis of channels oriented along a <11{bar 2}0> direction showed the channel to be completely bounded by stable c(0001), r{l_brace}{bar 1}012{r_brace}, and s{l_brace}10{bar 1}1{r_brace} facets.

  10. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  11. Optical properties of a-plane (Al, Ga)N/GaN multiple quantum wells grown on strain engineered Zn1-xMgxO layers by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Xia, Y.; Vinter, B.; Chauveau, J.-M.; Brault, J.; Nemoz, M.; Teisseire, M.; Leroux, M.

    2011-01-01

    Nonpolar (1120) Al 0.2 Ga 0.8 N/GaN multiple quantum wells (MQWs) have been grown by molecular beam epitaxy on (1120) Zn 0.74 Mg 0.26 O templates on r-plane sapphire substrates. The quantum wells exhibit well-resolved photoluminescence peaks in the ultra-violet region, and no sign of quantum confined Stark effect is observed in the complete multiple quantum well series. The results agree well with flat band quantum well calculations. Furthermore, we show that the MQW structures are strongly polarized along the [0001] direction. The origin of the polarization is discussed in terms of the strain anisotropy dependence of the exciton optical oscillator strengths.

  12. Effect of Annealing Temperature on Morphological and Optical Transition of Silver Nanoparticles on c-Plane Sapphire.

    Science.gov (United States)

    Pandey, Puran; Kunwar, Sundar; Sui, Mao; Li, Ming-Yu; Zhang, Quanzhen; Lee, Jihoon

    2018-05-01

    As a promising candidate for the improved performance, silver nanoparticles (Ag NPs) have been successfully adapted in various applications such as photovoltaics, light emitting diodes (LEDs), sensors and catalysis by taking the advantage of their controllable plasmonic properties. In this paper, the control on the morphologies and optical properties of Ag NPs on c-plane sapphire (0001) is demonstrated by the systematic control of annealing temperature (between 200 and 950 °C) with 20 and 6 nm thick Ag films through the solid state dewetting. With the relatively thicker film of 20 nm, various configuration and size of Ag NPs are fabricated such as irregular, round dome-shaped and tiny Ag NPs depending on the annealing temperature. In a shrill contrast, the 6 nm Ag set exhibits a sharp distinction with the formation of densely packed small NPs and ultra-highly dense tiny Ag NPs due to the higher dewetting rate. While, the surface diffusion assumes the main driving force in the evolution process of Ag NP morphologies up to 550 °C, the sublimation of Ag atoms has played a significant role on top on the surface diffusion between 600 and 950 °C. The reflectance spectra of Ag NPs exhibit the quadrupolar resonance and dipolar resonance peaks, and the evolution of peaks, shift and average reflectance were discussed based on the Ag NPs size and surface coverage. In particular, the dipolar resonance peak in the reflectance spectra red shifts from ~475 to ~570 nm due to the size increment of Ag NPs (38.31 to 74.68 nm). The wide surface coverage of Ag NPs exhibits the highest average reflectance (~27%) and the lowest Raman intensity.

  13. Micromachining and dicing of sapphire, gallium nitride and micro LED devices with UV copper vapour laser

    International Nuclear Information System (INIS)

    Gu, E.; Jeon, C.W.; Choi, H.W.; Rice, G.; Dawson, M.D.; Illy, E.K.; Knowles, M.R.H.

    2004-01-01

    Gallium nitride (GaN) and sapphire are important materials for fabricating photonic devices such as high brightness light emitting diodes (LEDs). These materials are strongly resistant to wet chemical etching and also, low etch rates restrict the use of dry etching. Thus, to develop alternative high resolution processing and machining techniques for these materials is important in fabricating novel photonic devices. In this work, a repetitively pulsed UV copper vapour laser (255 nm) has been used to machine and dice sapphire, GaN and micro LED devices. Machining parameters were optimised so as to achieve controllable machining and high resolution. For sapphire, well-defined grooves 30 μm wide and 430 μm deep were machined. For GaN, precision features such as holes on a tens of micron length scale have been fabricated. By using this technique, compact micro LED chips with a die spacing 100 and a 430 μm thick sapphire substrate have been successfully diced. Measurements show that the performances of LED devices are not influenced by the UV laser machining. Our results demonstrate that the pulsed UV copper vapour laser is a powerful tool for micromachining and dicing of photonic materials and devices

  14. Large scale metal-free synthesis of graphene on sapphire and transfer-free device fabrication.

    Science.gov (United States)

    Song, Hyun Jae; Son, Minhyeok; Park, Chibeom; Lim, Hyunseob; Levendorf, Mark P; Tsen, Adam W; Park, Jiwoong; Choi, Hee Cheul

    2012-05-21

    Metal catalyst-free growth of large scale single layer graphene film on a sapphire substrate by a chemical vapor deposition (CVD) process at 950 °C is demonstrated. A top-gated graphene field effect transistor (FET) device is successfully fabricated without any transfer process. The detailed growth process is investigated by the atomic force microscopy (AFM) studies.

  15. Wrinkle-Free Single-Crystal Graphene Wafer Grown on Strain-Engineered Substrates.

    Science.gov (United States)

    Deng, Bing; Pang, Zhenqian; Chen, Shulin; Li, Xin; Meng, Caixia; Li, Jiayu; Liu, Mengxi; Wu, Juanxia; Qi, Yue; Dang, Wenhui; Yang, Hao; Zhang, Yanfeng; Zhang, Jin; Kang, Ning; Xu, Hongqi; Fu, Qiang; Qiu, Xiaohui; Gao, Peng; Wei, Yujie; Liu, Zhongfan; Peng, Hailin

    2017-12-26

    Wrinkles are ubiquitous for graphene films grown on various substrates by chemical vapor deposition at high temperature due to the strain induced by thermal mismatch between the graphene and substrates, which greatly degrades the extraordinary properties of graphene. Here we show that the wrinkle formation of graphene grown on Cu substrates is strongly dependent on the crystallographic orientations. Wrinkle-free single-crystal graphene was grown on a wafer-scale twin-boundary-free single-crystal Cu(111) thin film fabricated on sapphire substrate through strain engineering. The wrinkle-free feature of graphene originated from the relatively small thermal expansion of the Cu(111) thin film substrate and the relatively strong interfacial coupling between Cu(111) and graphene, based on the strain analyses as well as molecular dynamics simulations. Moreover, we demonstrated the transfer of an ultraflat graphene film onto target substrates from the reusable single-crystal Cu(111)/sapphire growth substrate. The wrinkle-free graphene shows enhanced electrical mobility compared to graphene with wrinkles.

  16. Formation of Au nanoparticles in sapphire by using Ar ion implantation and thermal annealing

    International Nuclear Information System (INIS)

    Zhou, L.H.; Zhang, C.H.; Yang, Y.T.; Li, B.S.; Zhang, L.Q.; Fu, Y.C.; Zhang, H.H.

    2009-01-01

    In this paper, we present results of the synthesis of gold nanoclusters in sapphire, using Ar ion implantation and annealing in air. Unlike the conventional method of Au implantation followed by thermal annealing, Au was deposited on the surface of m- and a- cut sapphire single crystal samples including those pre-implanted with Ar ions. Au atoms were brought into the substrate by subsequent implantation of Ar ions to form Au nanoparticles. Samples were finally annealed stepwisely in air at temperatures ranging from 400 to 800 deg. C and then studied using UV-vis absorption spectrometry, transmission electron microscopy and Rutherford backscattered spectrometry. Evidence of the formation Au nanoparticles in the sapphire can be obtained from the characteristic surface plasmon resonance (SPR) absorption band in the optical absorption spectra or directly from the transmission electron microscopy. The results of optical absorption spectra indicate that the specimen orientations and pre-implantation also influence the size and the volume fraction of Au nanoparticles formed. Theoretical calculations using Maxwell-Garnett effective medium theory supply a good interpretation of the optical absorption results.

  17. Research Progress and Development of Sapphire Fiber Sensor

    Directory of Open Access Journals (Sweden)

    Guochang ZHAO

    2014-07-01

    Full Text Available Sapphire fiber thermometers have become a new potential option in the field of high-temperature measurements. Recent research progress of sapphire fiber sensors is summarized; operational principles, advantages, disadvantages, and applications of sapphire fiber sensors are introduced. Research has shown that sapphire fiber sensors can be used to accurately measure very high temperatures in harsh environments and has been widely applied in fields such as aviation, metallurgy, the chemical industry, energy, and other high temperature measurement areas. Sapphire optical fiber temperature measurement technology will move toward miniaturization, intelligence following the advances in materials, micro-fabrication and communication technologies.

  18. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure

  19. Natural substrate lift-off technique for vertical light-emitting diodes

    Science.gov (United States)

    Lee, Chia-Yu; Lan, Yu-Pin; Tu, Po-Min; Hsu, Shih-Chieh; Lin, Chien-Chung; Kuo, Hao-Chung; Chi, Gou-Chung; Chang, Chun-Yen

    2014-04-01

    Hexagonal inverted pyramid (HIP) structures and the natural substrate lift-off (NSLO) technique were demonstrated on a GaN-based vertical light-emitting diode (VLED). The HIP structures were formed at the interface between GaN and the sapphire substrate by molten KOH wet etching. The threading dislocation density (TDD) estimated by transmission electron microscopy (TEM) was reduced to 1 × 108 cm-2. Raman spectroscopy indicated that the compressive strain from the bottom GaN/sapphire was effectively released through the HIP structure. With the adoption of the HIP structure and NSLO, the light output power and yield performance of leakage current could be further improved.

  20. Noise measurements on NbN thin films with a negative temperature resistance coefficient deposited on sapphire and on SiO2

    NARCIS (Netherlands)

    Leroy, G.; Gest, J.; Vandamme, L.K.J.; Bourgeois, O.

    2007-01-01

    We characterize granular NbNx thin cermet films deposited on either sapphire substrate or on SiO2 and compare the 1/f noise at 300 K and 80 K. The films were characterized with an impedance analyzer from 20 Hz to 1 MHz and analyzed as a resistor R in parallel with a capacitor C. The calculated noise

  1. Recombination dynamics in coalesced a-plane GaN ELO structures investigated by high spatially and ps-time-resolved cathodoluminescence microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bastek, B.; Bertram, F.; Christen, J. [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, M. [Institute of Solid State Physics, Technical University, Berlin (Germany)

    2008-07-01

    The characteristic epitaxial lateral overgrowth (ELO) domains of fully coalesced a-plane GaN layers were directly imaged by highly spatially and spectrally resolved cathodoluminescence microscopy (CL) at 5 K. The patterned layers were grown by MOVPE on r-plane sapphire substrate and stripe masks oriented in the [01 anti 10] direction. In the area of coherent growth (I) the broad basal plane stacking fault (BSF) emission centered at 3.41 eV dominates the spectra. Also in the region (II) of coalescence the BSF luminescence dominates, however, the intensity increases by one order of magnitude compared to area (I). In complete contrast, in the stripes associated with the laterally grown domains (III) in [0001] direction, exclusively an intense and sharp (D{sup 0},X) emission at 3.475 eV is observed. ps-time-resolved CL of the free excitons (FX) recorded from this domains (III) decays bi-exponentially. The initial lifetime of 180 ps is primarily given by the capture of FX by impurities to form bound excitons (BE). With rising temperature this capture time constant decreases as T{sup -1/4} and reaches a minimum of 104 ps at T=60 K. Above 60 K, i.e. when FX starts to dominate the BEs, the lifetime increases rapidly to a value of 240 ps for 300 K.

  2. Analysis of High Tc Superconducting Rectangular Microstrip Patches over Ground Planes with Rectangular Apertures in Substrates Containing Anisotropic Materials

    Directory of Open Access Journals (Sweden)

    Abderraouf Messai

    2013-01-01

    Full Text Available A rigorous full-wave analysis of high Tc superconducting rectangular microstrip patch over ground plane with rectangular aperture in the case where the patch is printed on a uniaxially anisotropic substrate material is presented. The dyadic Green’s functions of the considered structure are efficiently determined in the vector Fourier transform domain. The effect of the superconductivity of the patch is taken into account using the concept of the complex resistive boundary condition. The accuracy of the analysis is tested by comparing the computed results with measurements and previously published data for several anisotropic substrate materials. Numerical results showing variation of the resonant frequency and the quality factor of the superconducting antenna with regard to operating temperature are given. Finally, the effects of uniaxial anisotropy in the substrate on the resonant frequencies of different TM modes of the superconducting microstrip antenna with rectangular aperture in the ground plane are presented.

  3. Use of sapphire as a neutron damage monitor for pressure vessel steels

    International Nuclear Information System (INIS)

    Pells, G.P.; Fudge, A.J.; Murphy, M.J.; Watt, S.

    1989-01-01

    Single crystal α-Al 2 O 3 (sapphire) has been neutron irradiated over a range of dose, dose rate and neutron energy spectra at temperatures from 60 to 310 0 C. Values of optical absorption at 400 nm, the peak of the aluminum vacancy absorption band, were plotted against damage dose expressed in terms of dpa of Al in sapphire obtained from measurements of induced radio-activity in activation foils irradiated with the sapphires and from calculation of the neutron energy spectrum at the irradiation position. The neutron energy spectrum was calculated using modern neutron transport computer codes and adjusted in the light of measurements obtained from multiple foil activation experiments. A simple response curve was obtained for all sapphires irradiated at temperatures between 220 to 310 0 C and for sapphires irradiated below 200 0 C which had been annealed at 290 0 C irrespective of dose rate or neutron beam energy spectrum. The single response curve for irradiations performed in a variety of neutron energy spectra validate the neutron energy spectrum computational procedures

  4. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  5. Morphological Evolution of a-GaN on r-Sapphire by Metalorganic Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Sang Ling; Liu Jian-Ming; Xu Xiao-Qing; Wang Jun; Zhao Gui-Juan; Liu Chang-Bo; Gu Cheng-Yan; Liu Gui-Peng; Wei Hong-Yuan; Liu Xiang-Lin; Yang Shao-Yan; Zhu Qin-Sheng; Wang Zhan-Guo

    2012-01-01

    The morphological evolution of a-GaN deposited by metalorganic chemical vapor deposition (MOCVD) on r-sapphire is studied. The influences of V/III ratio and growth temperature on surface morphology are investigated. V-pits and stripes are observed on the surface of a-GaN grown at 1050°C and 1100°C, respectively. The overall orientation and geometry of V-pits are uniform and independent on the V/III molar ratio in the samples grown at 1050°C, while in the samples grown at 1100°C, the areas of stripes decrease with the adding of V/III ratio. We deduce the origin of V-pits and stripes by annealing the buffer layers at different temperatures. Because of the existence of inclined (101-bar1) facets, V-pits are formed at 1050°C. The (101-bar1) plane is an N terminated surface, which is metastable at higher temperature, so stripes instead of V-pits are observed at 1100°C. Raman spectra suggest that the growth temperature of the first layer in the two-step process greatly affects the strain of the films. Hence, to improve the growth temperature of the first layer in the two-step method may be an effective way to obtain high quality a-GaN film on r-sapphire. (condensed matter: structure, mechanical and thermal properties)

  6. Defect formation and recrystallization in the silicon on sapphire films under Si{sup +} irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Shemukhin, A.A., E-mail: shemuhin@gmail.com [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University, Moscow (Russian Federation); Nazarov, A.V.; Balakshin, Yu. V. [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University, Moscow (Russian Federation); Chernysh, V.S. [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University, Moscow (Russian Federation); Faculty of Physics, Lomonosov Moscow State University, Moscow (Russian Federation)

    2015-07-01

    Silicon-on-sapphire (SOS) is one of the most promising silicon-on-insulator (SOI) technologies. SOS structures are widely used in microelectronics, but to meet modern requirements the silicon layer should be 100 nm thick or less. The problem is in amount of damage in the interface layer, which decreases the quality of the produced devices. In order to improve the crystalline structure quality SOS samples with 300 nm silicon layers were implanted with Si{sup +} ions with energies in the range from 180 up to 230 keV with fluences in the range from 10{sup 14} up to 5 × 10{sup 15} cm{sup −2} at 0 °C. The crystalline structure of the samples was studied with RBS and the interface layer was studied with SIMS after subsequent annealing. It has been found out that to obtain silicon films with high lattice quality it is necessary to damage the sapphire lattice near the silicon–sapphire interface. Complete destruction of the strongly defected area and subsequent recrystallization depends on the energy of implanted ions and the substrate temperature. No significant mixing in the interface layer was observed with the SIMS.

  7. Artificial in-plane ordering of textured YBa2Cu3O(7-x) films deposited on polycrystalline yttria-stabilized zirconia substrates

    Science.gov (United States)

    Harshavardhan, K. S.; Rajeswari, M.; Hwang, D. M.; Chen, C. Y.; Sands, T. D.; Venkatesan, T.; Tkaczyk, J. E.; Lay, K. W.; Safari, A.; Johnson, L.

    1992-12-01

    Anisotropic surface texturing of the polycrystalline yttria-stabilized zirconia substrates, prior to YBa2Cu3O(7-x) film deposition, is shown to promote in-plane (basal plane) ordering of the film growth in addition to the c-axis texturing. The Jc's of the films in the weak-link-dominated low-field regime are enhanced considerably, and this result is attributed to the reduction of weak links resulting from a reduction in the number of in-plane large-angle grain boundaries.

  8. FEM Modeling of In-Plane Stress Distribution in Thick Brittle Coatings/Films on Ductile Substrates Subjected to Tensile Stress to Determine Interfacial Strength

    Directory of Open Access Journals (Sweden)

    Kaishi Wang

    2018-03-01

    Full Text Available The ceramic-metal interface is present in various material structures and devices that are vulnerable to failures, like cracking, which are typically due to their incompatible properties, e.g., thermal expansion mismatch. In failure of these multilayer systems, interfacial shear strength is a good measure of the robustness of interfaces, especially for planar films. There is a widely-used shear lag model and method by Agrawal and Raj to analyse and measure the interfacial shear strength of thin brittle film on ductile substrates. The use of this classical model for a type of polymer derived ceramic coatings (thickness ~18 μm on steel substrate leads to high values of interfacial shear strength. Here, we present finite element simulations for such a coating system when it is subjected to in-plane tension. Results show that the in-plane stresses in the coating are non-uniform, i.e., varying across the thickness of the film. Therefore, they do not meet one of the basic assumptions of the classical model: uniform in-plane stress. Furthermore, effects of three significant parameters, film thickness, crack spacing, and Young’s modulus, on the in-plane stress distribution have also been investigated. ‘Thickness-averaged In-plane Stress’ (TIS, a new failure criterion, is proposed for estimating the interfacial shear strength, which leads to a more realistic estimation of the tensile strength and interfacial shear strength of thick brittle films/coatings on ductile substrates.

  9. FEM Modeling of In-Plane Stress Distribution in Thick Brittle Coatings/Films on Ductile Substrates Subjected to Tensile Stress to Determine Interfacial Strength.

    Science.gov (United States)

    Wang, Kaishi; Zhang, Fangzhou; Bordia, Rajendra K

    2018-03-27

    The ceramic-metal interface is present in various material structures and devices that are vulnerable to failures, like cracking, which are typically due to their incompatible properties, e.g., thermal expansion mismatch. In failure of these multilayer systems, interfacial shear strength is a good measure of the robustness of interfaces, especially for planar films. There is a widely-used shear lag model and method by Agrawal and Raj to analyse and measure the interfacial shear strength of thin brittle film on ductile substrates. The use of this classical model for a type of polymer derived ceramic coatings (thickness ~18 μm) on steel substrate leads to high values of interfacial shear strength. Here, we present finite element simulations for such a coating system when it is subjected to in-plane tension. Results show that the in-plane stresses in the coating are non-uniform, i.e., varying across the thickness of the film. Therefore, they do not meet one of the basic assumptions of the classical model: uniform in-plane stress. Furthermore, effects of three significant parameters, film thickness, crack spacing, and Young's modulus, on the in-plane stress distribution have also been investigated. 'Thickness-averaged In-plane Stress' (TIS), a new failure criterion, is proposed for estimating the interfacial shear strength, which leads to a more realistic estimation of the tensile strength and interfacial shear strength of thick brittle films/coatings on ductile substrates.

  10. Growth and characterization of β-Ga2O3 crystals

    Science.gov (United States)

    Nikolaev, V. I.; Maslov, V.; Stepanov, S. I.; Pechnikov, A. I.; Krymov, V.; Nikitina, I. P.; Guzilova, L. I.; Bougrov, V. E.; Romanov, A. E.

    2017-01-01

    Here we report on the growth and characterization of β-Ga2O3 bulk crystals and polycrystalline layer on different substrates. Bulk β-Ga2O3 crystals were produced by free crystallisation of gallium oxide melt in sapphire crucible. Transparent single crystals measuring up to 8 mm across were obtained. Good structural quality was confirmed by x-ray diffraction rocking curve FWHM values of 46″. Young's modulus, shear modulus and hardness of the β-Ga2O3 crystals were measured by nanoindentation and Vickers microindentation techniques. Polycrystalline β-Ga2O3 films were deposited on silicon and sapphire substrates by sublimation method. It was found that structure and morphology of the films were greatly influenced by the material and orientation of the substrates. The best results were achieved on a-plane sapphire substrates where predominantly (111) oriented films were obtained.

  11. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  12. Nonpolar a-plane light-emitting diode with an in-situ SiNx interlayer on r-plane sapphire grown by metal-organic chemical vapour deposition

    International Nuclear Information System (INIS)

    Fang Hao; Long Hao; Sang Li-Wen; Qi Sheng-Li; Xiong Chang; Yu Tong-Jun; Yang Zhi-Jian; Zhang Guo-Yi

    2011-01-01

    We report on the growth and fabrication of nonpolar a-plane light emitting diodes with an in-situ SiN x interlayer grown between the undoped a-plane GaN buffer and Si-doped GaN layer. X-ray diffraction shows that the crystalline quality of the GaN buffer layer is greatly improved with the introduction of the SiN x interlayer. The electrical properties are also improved. For example, electron mobility and sheet resistance are reduced from high resistance to 31.6 cm 2 /(V·s) and 460 Ω/□ respectively. Owing to the significant effect of the SiN x interlayer, a-plane LEDs are realized. Electroluminescence of a nonpolar a-plane light-emitting diode with a wavelength of 488nm is demonstrated. The emission peak remains constant when the injection current increases to over 20 mA. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  13. Structure and strain relaxation effects of defects in In{sub x}Ga{sub 1–x}N epilayers

    Energy Technology Data Exchange (ETDEWEB)

    Rhode, S. L., E-mail: sr583@cam.ac.uk; Fu, W. Y.; Massabuau, F. C.-P.; Kappers, M. J.; McAleese, C.; Oehler, F.; Humphreys, C. J.; Sahonta, S.-L. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Moram, M. A. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom); Dusane, R. O. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology Bombay, Mumbai 400076 (India)

    2014-09-14

    The formation of trench defects is observed in 160 nm-thick In{sub x}Ga{sub 1–x}N epilayers with x≤0.20, grown on GaN on (0001) sapphire substrates using metalorganic vapour phase epitaxy. The trench defect density increases with increasing indium content, and high resolution transmission electron microscopy shows an identical structure to those observed previously in InGaN quantum wells, comprising meandering stacking mismatch boundaries connected to an I₁-type basal plane stacking fault. These defects do not appear to relieve in-plane compressive strain. Other horizontal sub-interface defects are also observed within the GaN pseudosubstrate layer of these samples and are found to be pre-existing threading dislocations which form half-loops by bending into the basal plane, and not basal plane stacking faults, as previously reported by other groups. The origins of these defects are discussed and are likely to originate from a combination of the small in-plane misorientation of the sapphire substrate and the thermal mismatch strain between the GaN and InGaN layers grown at different temperatures.

  14. Sea level characterization of a 1100 g sapphire bolometer

    CERN Document Server

    Pécourt, S; Bobin, C; Coron, N; Jesus, M D; Hadjout, J P; Leblanc, J W; Marcillac, P D

    1999-01-01

    A first characterization of a 1100 g sapphire bolometer, performed at sea level and at a working temperature of 40 mK, is presented. Despite perturbations coming from the high-radioactive background and cosmic rays, calibration spectra could be achieved with an internal alpha source and a sup 5 sup 7 Co gamma-ray source: the experimental threshold is 25 keV, while the FWHM resolution is 17.4 keV for the 122 keV peak. Possible heat release effects are discussed, and a new limit of 9x10 sup - sup 1 sup 4 W/g is obtained for sapphire.

  15. Sapphire capillary interstitial irradiators for laser medicine

    Science.gov (United States)

    Shikunova, I. A.; Dolganova, I. N.; Dubyanskaya, E. N.; Mukhina, E. E.; Zaytsev, K. I.; Kurlov, V. N.

    2018-04-01

    In this paper, we demonstrate instruments for laser radiation delivery based on sapphire capillary needles. Such sapphire irradiators (introducers) can be used for various medical applications, such as photodynamic therapy, laser hyperthermia, laser interstitial thermal therapy, and ablation of tumors of various organs. Unique properties of sapphire allow for effective redistribution of the heat, generated in biological tissues during their exposure to laser radiation. This leads to homogeneous distribution of the laser irradiation around the needle, and lower possibility of formation of the overheating focuses, as well as the following non-transparent thrombi.

  16. Broadband dielectric characterization of sapphire/TiOx/Ba₀.₃Sr₀.₇TiO₃ (111)-oriented thin films for the realization of a tunable interdigitated capacitor.

    Science.gov (United States)

    Ghalem, Areski; Ponchel, Freddy; Remiens, Denis; Legier, Jean-Francois; Lasri, Tuami

    2013-05-01

    A complete microwave characterization up to 67 GHz using specific coplanar waveguides was performed to determine the dielectric properties (permittivity, losses, and tunability) of sapphire/TiOx/Ba0.3Sr0.7TiO3 (BST) (111)-oriented thin films. To that end, BaxSr1-xTiO3 thin films were deposited by RF magnetron sputtering on sapphire (0001) substrate. To control the preferred (111) orientation, a TiOx buffer layer was deposited on sapphire. According to the detailed knowledge of the material properties, it has been possible to conceive, fabricate, and test interdigitated capacitors, the basic element for future microwave tunable applications. Retention of capacitive behavior up to 67 GHz and a tunability of 32% at 67 GHz at an applied voltage of 30 V (150 kV/cm) were observed. The Q-factor remains greater than 30 over the entire frequency band. The possibility of a complete characterization of the material for the realization of high-performance interdigitated capacitors opens the door to microwave device fabrication.

  17. Neutron irradiation of sapphire for compressive strengthening. II. Physical properties changes

    Energy Technology Data Exchange (ETDEWEB)

    Regan, Thomas M. E-mail: thomas_regan@uml.edu; Harris, Daniel C. E-mail: harrisdc@navair.navy.mil; Blodgett, David W.; Baldwin, Kevin C.; Miragliotta, Joseph A.; Thomas, Michael E.; Linevsky, Milton J.; Giles, John W.; Kennedy, Thomas A.; Fatemi, Mohammad; Black, David R.; Lagerloef, K. Peter D

    2002-01-01

    Irradiation of sapphire with fast neutrons (0.8-10 MeV) at a fluence of 10{sup 22}/m{sup 2} increased the c-axis compressive strength and the c-plane biaxial flexure strength at 600 deg. C by a factor of {approx}2.5. Both effects are attributed to inhibition of r-plane twin propagation by damage clusters resulting from neutron impact. The a-plane biaxial flexure strength and four-point flexure strength in the c- and m-directions decreased by 10-23% at 600 deg. C after neutron irradiation. Neutron irradiation had little or no effect on thermal conductivity, infrared absorption, elastic constants, hardness, and fracture toughness. A featureless electron paramagnetic resonance signal at g=2.02 was correlated with the strength increase: This signal grew in amplitude with increasing neutron irradiation, which also increased the compressive strength. Annealing conditions that reversed the strengthening also annihilated the g=2.02 signal. A signal associated with a paramagnetic center containing two Al nuclei was not correlated with strength. Ultraviolet and visible color centers also were not correlated with strength in that they could be removed by annealing at temperatures that were too low to reverse the compressive strengthening effect of neutron irradiation.

  18. Large-area WSe2 electric double layer transistors on a plastic substrate

    KAUST Repository

    Funahashi, Kazuma; Pu, Jiang; Li, Ming Yang; Li, Lain-Jong; Iwasa, Yoshihiro; Takenobu, Taishi

    2015-01-01

    Due to the requirements for large-area, uniform films, currently transition metal dichalcogenides (TMDC) cannot be used in flexible transistor industrial applications. In this study, we first transferred chemically grown large-area WSe2 monolayer films from the as-grown sapphire substrates to the flexible plastic substrates. We also fabricated electric double layer transistors using the WSe2 films on the plastic substrates. These transistors exhibited ambipolar operation and an ON/OFF current ratio of ∼104, demonstrating chemically grown WSe2 transistors on plastic substrates for the first time. This achievement can be an important first step for the next-generation TMDC based flexible devices. © 2015 The Japan Society of Applied Physics.

  19. Large-area WSe2 electric double layer transistors on a plastic substrate

    KAUST Repository

    Funahashi, Kazuma

    2015-04-27

    Due to the requirements for large-area, uniform films, currently transition metal dichalcogenides (TMDC) cannot be used in flexible transistor industrial applications. In this study, we first transferred chemically grown large-area WSe2 monolayer films from the as-grown sapphire substrates to the flexible plastic substrates. We also fabricated electric double layer transistors using the WSe2 films on the plastic substrates. These transistors exhibited ambipolar operation and an ON/OFF current ratio of ∼104, demonstrating chemically grown WSe2 transistors on plastic substrates for the first time. This achievement can be an important first step for the next-generation TMDC based flexible devices. © 2015 The Japan Society of Applied Physics.

  20. Sapphire: Canada's Answer to Space-Based Surveillance of Orbital Objects

    Science.gov (United States)

    Maskell, P.; Oram, L.

    The Canadian Department of National Defence is in the process of developing the Canadian Space Surveillance System (CSSS) as the main focus of the Surveillance of Space (SofS) Project. The CSSS consists of two major elements: the Sapphire System and the Sensor System Operations Centre (SSOC). The space segment of the Sapphire System is comprised of the Sapphire Satellite - an autonomous spacecraft with an electro-optical payload which will act as a contributing sensor to the United States (US) Space Surveillance Network (SSN). It will operate in a circular, sunsynchronous orbit at an altitude of approximately 750 kilometers and image a minimum of 360 space objects daily in orbits ranging from 6,000 to 40,000 kilometers in altitude. The ground segment of the Sapphire System is composed of a Spacecraft Control Center (SCC), a Satellite Processing and Scheduling Facility (SPSF), and the Sapphire Simulator. The SPSF will be responsible for data transmission, reception, and processing while the SCC will serve to control and monitor the Sapphire Satellite. Surveillance data will be received from Sapphire through two ground stations. Following processing by the SPSF, the surveillance data will then be forwarded to the SSOC. The SSOC will function as the interface between the Sapphire System and the US Joint Space Operations Center (JSpOC). The JSpOC coordinates input from various sensors around the world, all of which are a part of the SSN. The SSOC will task the Sapphire System daily and provide surveillance data to the JSpOC for correlation with data from other SSN sensors. This will include orbital parameters required to predict future positions of objects to be tracked. The SSOC receives daily tasking instructions from the JSpOC to determine which objects the Sapphire spacecraft is required to observe. The advantage of this space-based sensor over ground-based telescopes is that weather and time of day are not factors affecting observation. Thus, space-based optical

  1. Oleophobic properties of the step-and-terrace sapphire surface

    Energy Technology Data Exchange (ETDEWEB)

    Muslimov, A. E., E-mail: amuslimov@mail.ru; Butashin, A. V.; Kanevsky, V. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Research Center “Crystallography and Photonics” (Russian Federation)

    2017-03-15

    Sapphire is widely used in production of optical windows for various devices due to its mechanical and optical properties. However, during operation the surface can be affected by fats, oils, and other organic contaminations. Therefore, it is important to improve the oleophobic properties of sapphire windows. In this study, we investigate the interaction of a supersmooth sapphire surface with oleic acid droplets, which imitate human finger printing. It is established that chemical–mechanical polishing with additional annealing in air, which leads to the formation of an atomically smooth sapphire surface, makes it possible to significantly improve the oleophobic properties of the surface. The results are analyzed using the Ventsel–Deryagin homogeneous wetting model.

  2. Surface study of irradiated sapphires from Phrae Province, Thailand using AFM

    Science.gov (United States)

    Monarumit, N.; Jivanantaka, P.; Mogmued, J.; Lhuaamporn, T.; Satitkune, S.

    2017-09-01

    The irradiation is one of the gemstone enhancements for improving the gem quality. Typically, there are many varieties of irradiated gemstones in the gem market such as diamond, topaz, and sapphire. However, it is hard to identify the gemstones before and after irradiation. The aim of this study is to analyze the surface morphology for classifying the pristine and irradiated sapphires using atomic force microscope (AFM). In this study, the sapphire samples were collected from Phrae Province, Thailand. The samples were irradiated by high energy electron beam for a dose of ionizing radiation at 40,000 kGy. As the results, the surface morphology of pristine sapphires shows regular atomic arrangement, whereas, the surface morphology of irradiated sapphires shows the nano-channel observed by the 2D and 3D AFM images. The atomic step height and root mean square roughness have changed after irradiation due to the micro-structural defect on the sapphire surface. Therefore, this study is a frontier application for sapphire identification before and after irradiation.

  3. Effects of annealing temperature and duration on the morphological and optical evolution of self-assembled Pt nanostructures on c-plane sapphire.

    Science.gov (United States)

    Sui, Mao; Li, Ming-Yu; Kunwar, Sundar; Pandey, Puran; Zhang, Quanzhen; Lee, Jihoon

    2017-01-01

    Metallic nanostructures (NSs) have been widely adapted in various applications and their physical, chemical, optical and catalytic properties are strongly dependent on their surface morphologies. In this work, the morphological and optical evolution of self-assembled Pt nanostructures on c-plane sapphire (0001) is demonstrated by the control of annealing temperature and dwelling duration with the distinct thickness of Pt films. The formation of Pt NSs is led by the surface diffusion, agglomeration and surface and interface energy minimization of Pt thin films, which relies on the growth parameters such as system temperature, film thickness and annealing duration. The Pt layer of 10 nm shows the formation of overlaying NPs below 650°C and isolated Pt nanoparticles above 700°C based on the enhanced surface diffusion and Volmer-Weber growth model whereas larger wiggly nanostructures are formed with 20 nm thick Pt layers based on the coalescence growth model. The morphologies of Pt nanostructures demonstrate a sharp distinction depending on the growth parameters applied. By the control of dwelling duration, the gradual transition from dense Pt nanoparticles to networks-like and large clusters is observed as correlated to the Rayleigh instability and Ostwald ripening. The various Pt NSs show a significant distinction in the reflectance spectra depending on the morphology evolution: i.e. the enhancement in UV-visible and NIR regions and the related optical properties are discussed in conjunction with the Pt NSs morphology and the surface coverage.

  4. Leveraging Python Interoperability Tools to Improve Sapphire's Usability

    Energy Technology Data Exchange (ETDEWEB)

    Gezahegne, A; Love, N S

    2007-12-10

    The Sapphire project at the Center for Applied Scientific Computing (CASC) develops and applies an extensive set of data mining algorithms for the analysis of large data sets. Sapphire's algorithms are currently available as a set of C++ libraries. However many users prefer higher level scripting languages such as Python for their ease of use and flexibility. In this report, we evaluate four interoperability tools for the purpose of wrapping Sapphire's core functionality with Python. Exposing Sapphire's functionality through a Python interface would increase its usability and connect its algorithms to existing Python tools.

  5. Strain-dependence of the structure and ferroic properties of epitaxial Ni1−xTi1−yO3 thin films grown on sapphire substrates

    International Nuclear Information System (INIS)

    Varga, Tamas; Droubay, Timothy C.; Bowden, Mark E.; Stephens, Sean A.; Manandhar, Sandeep; Shutthanandan, Vaithiyalingam; Colby, Robert J.; Hu, Dehong; Shelton, William A.; Chambers, Scott A.

    2015-01-01

    Polarization-induced weak ferromagnetism has been predicted a few years back in compounds MTiO 3 (M = Fe, Mn, Ni) (Fennie, 2008). We set out to stabilize this metastable, distorted perovskite structure by growing NiTiO 3 epitaxially on sapphire Al 2 O 3 (001) substrate, and to control the polar and magnetic properties via strain. Epitaxial Ni 1−x Ti 1−y O 3 films of different Ni/Ti ratios and thicknesses were deposited on Al 2 O 3 substrates by pulsed laser deposition at different temperatures, and characterized using several techniques. The effect of film thickness, deposition temperature, and film stoichiometry on lattice strain, film structure, and physical properties was investigated. Our structural data from x-ray diffraction, electron microscopy, and x-ray absorption spectroscopy shows that substrate-induced strain has a marked effect on the structure and crystalline quality of the films. Physical property measurements reveal a dependence of the Néel transition and lattice polarization on strain, and highlight our ability to control the ferroic properties in NiTiO 3 thin films by film stoichiometry and thickness. - Highlights: • NiTiO 3 epitaxial thin films with LiNbO 3 -type structure by pulsed laser deposition. • Strain varied by film thickness, stoichiometry, and synthesis temperature. • Systematic study of the effect of strain on film structure and physical properties. • Manipulation of ferroic properties by strain confirmed

  6. Effect of the laser sputtering parameters on the orientation of a cerium oxide buffer layer on sapphire and the properties of a YBa2Cu3Ox superconducting film

    DEFF Research Database (Denmark)

    Mozhaev, P. B.; Ovsyannikov, G. A.; Skov, Johannes

    1999-01-01

    The effect of the laser sputtering parameters on the crystal properties of CeO2 buffer layers grown on a (1 (1) under bar 02) sapphire substrate and on the properties of superconducting YBa2Cu3Ox thin films are investigated. It is shown that (100) and (111) CeO2 growth is observed, depending on t...

  7. Crystal Structure and Ferroelectric Properties of ε-Ga2O3 Films Grown on (0001)-Sapphire.

    Science.gov (United States)

    Mezzadri, Francesco; Calestani, Gianluca; Boschi, Francesco; Delmonte, Davide; Bosi, Matteo; Fornari, Roberto

    2016-11-21

    The crystal structure and ferroelectric properties of ε-Ga 2 O 3 deposited by low-temperature MOCVD on (0001)-sapphire were investigated by single-crystal X-ray diffraction and the dynamic hysteresis measurement technique. A thorough investigation of this relatively unknown polymorph of Ga 2 O 3 showed that it is composed of layers of both octahedrally and tetrahedrally coordinated Ga 3+ sites, which appear to be occupied with a 66% probability. The refinement of the crystal structure in the noncentrosymmetric space group P6 3 mc pointed out the presence of uncompensated electrical dipoles suggesting ferroelectric properties, which were finally demonstrated by independent measurements of the ferroelectric hysteresis. A clear epitaxial relation is observed with respect to the c-oriented sapphire substrate, with the Ga 2 O 3 [10-10] direction being parallel to the Al 2 O 3 direction [11-20], yielding a lattice mismatch of about 4.1%.

  8. Spatial chirp in Ti:sapphire multipass amplifier

    International Nuclear Information System (INIS)

    Li Wenkai; Lu Jun; Li Yanyan; Guo Xiaoyang; Wu Fenxiang; Yu Linpeng; Wang Pengfei; Xu Yi; Leng Yuxin

    2017-01-01

    The spatial chirp generated in the Ti:sapphire multipass amplifier is numerically investigated based on the one-dimensional (1D) and two-dimensional (2D) Frantz–Nodvik equations. The simulation indicates that the spatial chirp is induced by the spatially inhomogeneous gain, and it can be almost eliminated by utilization of proper beam profiles and spot sizes of the signal and pump pulses, for example, the pump pulse has a top-hatted beam profile and the signal pulse has a super-Gaussian beam profile with a relatively larger spot size. In this way, a clear understanding of spatial chirp mechanisms in the Ti:sapphire multipass amplifier is proposed, therefore we can effectively almost eliminate the spatial chirp and improve the beam quality of a high-power Ti:sapphire chirped pulse amplifier system. (paper)

  9. GaN-Ready Aluminum Nitride Substrates for Cost-Effective, Very Low Dislocation Density III-Nitride LEDs

    International Nuclear Information System (INIS)

    Schujman, Sandra; Schowalter, Leo

    2011-01-01

    The objective of this project was to develop and then demonstrate the efficacy of a cost effective approach for a low defect density substrate on which AlInGaN LEDs can be fabricated. The efficacy of this 'GaN-ready' substrate would then be tested by growing high efficiency, long lifetime InxGa1-xN blue LEDs. The approach used to meet the project objectives was to start with low dislocation density AlN single-crystal substrates and grow graded Al x Ga 1-x N layers on top. Pseudomorphic Al x Ga 1-x N epitaxial layers grown on bulk AlN substrates were used to fabricate light emitting diodes and demonstrate better device performance as a result of the low defect density in these layers when benched marked against state-of-the-art LEDs fabricated on sapphire substrates. The pseudomorphic LEDs showed excellent output powers compared to similar wavelength devices grown on sapphire substrates, with lifetimes exceeding 10,000 hours (which was the longest time that could reliably be estimated). In addition, high internal quantum efficiencies were demonstrated at high driving current densities even though the external quantum efficiencies were low due to poor photon extraction. Unfortunately, these pseudomorphic LEDs require high Al content so they emit in the ultraviolet. Sapphire based LEDs typically have threading dislocation densities (TDD) > 10 8 cm -2 while the pseudomorphic LEDs have TDD (le) 10 5 cm -2 . The resulting TDD, when grading the Al x Ga 1-x N layer all the way to pure GaN to produce a 'GaN-ready' substrate, has varied between the mid 10 8 down to the 10 6 cm -2 . These inconsistencies are not well understood. Finally, an approach to improve the LED structures on AlN substrates for light extraction efficiency was developed by thinning and roughening the substrate.

  10. Onset of surface stimulated emission at 260 nm from AlGaN multiple quantum wells

    KAUST Repository

    Li, Xiaohang; Xie, Hongen; Ponce, Fernando A.; Ryou, Jae-Hyun; Detchprohm, Theeradetch; Dupuis, Russell D.

    2015-01-01

    We demonstrated onset of deep-ultraviolet (DUV) surface stimulated emission (SE) from c-plane AlGaNmultiple-quantum well(MQW)heterostructuresgrown on a sapphire substrate by optical pumping at room temperature. The onset of SE became observable at a

  11. Substrate Lattice-Guided Seed Formation Controls the Orientation of 2D Transition Metal Dichalcogenides

    KAUST Repository

    Aljarb, Areej

    2017-08-07

    Two-dimensional (2D) transition metal dichalcogenide (TMDCs) semiconductors are important for next-generation electronics and optoelectronics. Given the difficulty in growing large single crystals of 2D TMDC materials, understanding the factors affecting the seed formation and orientation becomes an important issue for controlling the growth. Here, we systematically study the growth of molybdenum disulfide (MoS2) monolayer on c-plane sapphire with chemical vapor deposition (CVD) to discover the factors controlling their orientation. We show that the concentration of precursors, i.e., the ratio between sulfur and molybdenum oxide (MoO3), plays a key role in the size and orientation of seeds, subsequently controlling the orientation of MoS2 monolayers. High S/MoO3 ratio is needed in the early stage of growth to form small seeds that can align easily to the substrate lattice structures while the ratio should be decreased to enlarge the size of the monolayer at the next stage of the lateral growth. Moreover, we show that the seeds are actually crystalline MoS2 layers as revealed by high-resolution transmission electron microscopy. There exist two preferred orientations (0° or 60°) registered on sapphire, confirmed by our density functional theory (DFT) simulation. This report offers a facile technique to grow highly aligned 2D TMDCs and contributes to knowledge advancement in growth mechanism.

  12. Structure and strain relaxation effects of defects in InxGa1−xN epilayers

    International Nuclear Information System (INIS)

    Rhode, S. L.; Fu, W. Y.; Massabuau, F. C.-P.; Kappers, M. J.; McAleese, C.; Oehler, F.; Humphreys, C. J.; Sahonta, S.-L.; Moram, M. A.; Dusane, R. O.

    2014-01-01

    The formation of trench defects is observed in 160 nm-thick In x Ga 1−x N epilayers with x ≤ 0.20, grown on GaN on (0001) sapphire substrates using metalorganic vapour phase epitaxy. The trench defect density increases with increasing indium content, and high resolution transmission electron microscopy shows an identical structure to those observed previously in InGaN quantum wells, comprising meandering stacking mismatch boundaries connected to an I 1 -type basal plane stacking fault. These defects do not appear to relieve in-plane compressive strain. Other horizontal sub-interface defects are also observed within the GaN pseudosubstrate layer of these samples and are found to be pre-existing threading dislocations which form half-loops by bending into the basal plane, and not basal plane stacking faults, as previously reported by other groups. The origins of these defects are discussed and are likely to originate from a combination of the small in-plane misorientation of the sapphire substrate and the thermal mismatch strain between the GaN and InGaN layers grown at different temperatures.

  13. Multiphoton imaging with a novel compact diode-pumped Ti:sapphire oscillator

    DEFF Research Database (Denmark)

    König, Karsten; Andersen, Peter E.; Le, Tuan

    2015-01-01

    Multiphoton laser scanning microscopy commonly relies on bulky and expensive femtosecond lasers. We integrated a novel minimal-footprint Ti:sapphire oscillator, pumped by a frequency-doubled distributed Bragg reflector tapered diode laser, into a clinical multiphoton tomograph and evaluated its...... imaging capability using different biological samples, i.e. cell monolayers, corneal tissue, and human skin. With the novel laser, the realization of very compact Ti:sapphire-based systems for high-quality multiphoton imaging at a significantly size and weight compared to current systems will become...

  14. Single-Crystal Sapphire Optical Fiber Sensor Instrumentation

    Energy Technology Data Exchange (ETDEWEB)

    Pickrell, Gary [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States); Scott, Brian [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States); Wang, Anbo [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States); Yu, Zhihao [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States)

    2013-12-31

    This report summarizes technical progress on the program “Single-Crystal Sapphire Optical Fiber Sensor Instrumentation,” funded by the National Energy Technology Laboratory of the U.S. Department of Energy, and performed by the Center for Photonics Technology of the Bradley Department of Electrical and Computer Engineering at Virginia Tech. This project was completed in three phases, each with a separate focus. Phase I of the program, from October 1999 to April 2002, was devoted to development of sensing schema for use in high temperature, harsh environments. Different sensing designs were proposed and tested in the laboratory. Phase II of the program, from April 2002 to April 2009, focused on bringing the sensor technologies, which had already been successfully demonstrated in the laboratory, to a level where the sensors could be deployed in harsh industrial environments and eventually become commercially viable through a series of field tests. Also, a new sensing scheme was developed and tested with numerous advantages over all previous ones in Phase II. Phase III of the program, September 2009 to December 2013, focused on development of the new sensing scheme for field testing in conjunction with materials engineering of the improved sensor packaging lifetimes. In Phase I, three different sensing principles were studied: sapphire air-gap extrinsic Fabry-Perot sensors; intensity-based polarimetric sensors; and broadband polarimetric sensors. Black body radiation tests and corrosion tests were also performed in this phase. The outcome of the first phase of this program was the selection of broadband polarimetric differential interferometry (BPDI) for further prototype instrumentation development. This approach is based on the measurement of the optical path difference (OPD) between two orthogonally polarized light beams in a single-crystal sapphire disk. At the beginning of Phase II, in June 2004, the BPDI sensor was tested at the Wabash River coal gasifier

  15. Strain Multiplexed Metasurface Holograms on a Stretchable Substrate.

    Science.gov (United States)

    Malek, Stephanie C; Ee, Ho-Seok; Agarwal, Ritesh

    2017-06-14

    We demonstrate reconfigurable phase-only computer-generated metasurface holograms with up to three image planes operating in the visible regime fabricated with gold nanorods on a stretchable polydimethylsiloxane substrate. Stretching the substrate enlarges the hologram image and changes the location of the image plane. Upon stretching, these devices can switch the displayed holographic image between multiple distinct images. This work opens up the possibilities for stretchable metasurface holograms as flat devices for dynamically reconfigurable optical communication and display. It also confirms that metasurfaces on stretchable substrates can serve as platform for a variety of reconfigurable optical devices.

  16. Nanostructured sapphire optical fiber for sensing in harsh environments

    Science.gov (United States)

    Chen, Hui; Liu, Kai; Ma, Yiwei; Tian, Fei; Du, Henry

    2017-05-01

    We describe an innovative and scalable strategy of transforming a commercial unclad sapphire optical fiber to an allalumina nanostructured sapphire optical fiber (NSOF) that overcomes decades-long challenges faced in the field of sapphire fiber optics. The strategy entails fiber coating with metal Al followed by subsequent anodization to form anodized alumina oxide (AAO) cladding of highly organized pore channel structure. We show that Ag nanoparticles entrapped in AAO show excellent structural and morphological stability and less susceptibility to oxidation for potential high-temperature surface-enhanced Raman Scattering (SERS). We reveal, with aid of numerical simulations, that the AAO cladding greatly increases the evanescent-field overlap both in power and extent and that lower porosity of AAO results in higher evanescent-field overlap. This work has opened the door to new sapphire fiber-based sensor design and sensor architecture.

  17. Effect of indium accumulation on the characteristics of a-plane InN epi-films under different growth conditions

    Energy Technology Data Exchange (ETDEWEB)

    Lo, Yun-Yo [Institute of Photonics, National Changhua University of Education, Changhua, Taiwan, ROC (China); Huang, Man-Fang, E-mail: mfhuang@cc.ncue.edu.tw [Institute of Photonics, National Changhua University of Education, Changhua, Taiwan, ROC (China); Chiang, Yu-Chia [Institute of Photonics, National Changhua University of Education, Changhua, Taiwan, ROC (China); Fan, Jenn-Chyuan [Department of Electronic Engineering, Nan Kai University of Technology, Nantou, Taiwan, ROC (China)

    2015-08-31

    This study investigated the influence of indium accumulation happened on the surface of a-plane InN grown under different growth conditions. Three different growth rates with N/In ratio from stoichiometric to N-rich were used to grow a-plane InN epifilms on GaN-buffered r-plane sapphires by plasma-assisted molecular beam epitaxy. When a-plane InN was grown above 500 °C with a high growth rate, abnormally high in-situ reflectivity was found during a-plane InN growth, which was resulted from indium accumulation on surface owing to In-N bonding difficulty on certain crystal faces of a-plane InN surface. Even using excess N-flux, indium accumulation could still be found in initial growth and formed 3-dimension-like patterns on a-plane InN surface which resulted in rough surface morphology. By reducing growth rate, surface roughness was improved because indium atoms could have more time to migrate to suitable position. Nonetheless, basal stacking fault density and crystal anisotropic property were not affected by growth rate. - Highlights: • High growth temperature could cause indium accumulation on a-plane InN surface. • Indium accumulation on a-plane InN surface causes rough surface. • Low growth rate improves surface morphology but not crystal quality.

  18. Substrate Lattice-Guided Seed Formation Controls the Orientation of 2D Transition Metal Dichalcogenides

    KAUST Repository

    Aljarb, Areej; Cao, Zhen; Tang, Hao-Ling; Huang, Jing-Kai; Li, Mengliu; Hu, Weijin; Cavallo, Luigi; Li, Lain-Jong

    2017-01-01

    affecting the seed formation and orientation becomes an important issue for controlling the growth. Here, we systematically study the growth of molybdenum disulfide (MoS2) monolayer on c-plane sapphire with chemical vapor deposition (CVD) to discover

  19. Response of Seven Crystallographic Orientations of Sapphire Crystals to Shock Stresses of 16 to 86 GPa

    OpenAIRE

    Kanel, G. I.; Nellis, W. J.; Savinykh, A. S.; Razorenov, S. V.; Rajendran, A. M.

    2009-01-01

    Shock-wave profiles of sapphire (single-crystal Al2O3) with seven crystallographic orientations were measured with time-resolved VISAR interferometry at shock stresses in the range 16 to 86 GPa. Shock propagation was normal to the surface of each cut. The angle between the c-axis of the hexagonal crystal structure and the direction of shock propagation varied from 0 for c-cut up to 90 degrees for m-cut in the basal plane. Based on published shock-induced transparencies, shock-induced optical ...

  20. Efficient continuous-wave and passively Q-switched pulse laser operations in a diffusion-bonded sapphire/Er:Yb:YAl3(BO3)4/sapphire composite crystal around 1.55 μm.

    Science.gov (United States)

    Chen, Yujin; Lin, Yanfu; Huang, Jianhua; Gong, Xinghong; Luo, Zundu; Huang, Yidong

    2018-01-08

    A composite crystal consisting of a 1.5-mm-thick Er:Yb:YAl 3 (BO 3 ) 4 crystal between two 1.2-mm-thick sapphire crystals was fabricated by the thermal diffusion bonding technique. Compared with a lone Er:Yb:YAl 3 (BO 3 ) 4 crystal measured under the identical experimental conditions, higher laser performances were demonstrated in the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal due to the reduction of the thermal effects. End-pumped by a 976 nm laser diode in a hemispherical cavity, a 1.55 μm continuous-wave laser with a maximum output power of 1.75 W and a slope efficiency of 36% was obtained in the composite crystal when the incident pump power was 6.54 W. Passively Q-switched by a Co 2+ :MgAl 2 O 4 crystal, a 1.52 μm pulse laser with energy of 10 μJ and repetition frequency of 105 kHz was also realized in the composite crystal. Pulse width was 315 ns. The results show that the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal is an excellent active element for 1.55 μm laser.

  1. Formation of metal nanoparticles of various sizes in plasma plumes produced by Ti:sapphire laser pulses

    International Nuclear Information System (INIS)

    Chakravarty, U.; Naik, P. A.; Mukherjee, C.; Kumbhare, S. R.; Gupta, P. D.

    2010-01-01

    In this paper, an experimental study on generation of nanoparticle various sizes using Ti:sapphire laser pulses, is reported. Nanoparticle formation in plasma plumes of metals like silver and copper, expanding in vacuum, has been studied using stretched pulses of 300 ps duration [subnanoseconds (sub-ns)] from a Ti:sapphire laser. It has been compared with the nanoparticle formation (of the same materials) when compressed pulses of 45 fs duration were used under similar focusing conditions. Nanoparticle formation is observed at intensities as high as 2x10 16 W/cm 2 . The structural analysis of the nanoparticle deposition on a silicon substrate showed that, using 45 fs pulses, smaller nanoparticles of average size ∼20 nm were generated, whereas on using the sub-ns pulses, larger particles were produced. Also, the visible light transmission and reflection from the nanoparticle film of Ag on glass substrate showed surface plasmon resonance (SPR). The SPR curves of the films of nanoparticles deposited by femtosecond pulses were always broader and reflection/transmission was always smaller when compared with the films formed using the sub-ns pulses, indicating smaller size particle formation by ultrashort pulses. Thus, it has been demonstrated that variation in the laser pulse duration of laser offers a simple tool for varying the size of the nanoparticles generated in plasma plumes.

  2. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    International Nuclear Information System (INIS)

    Bootkul, D.; Chaiwai, C.; Tippawan, U.; Wanthanachaisaeng, B.; Intarasiri, S.

    2015-01-01

    Highlights: • Ion beam analysis is an effective method for detecting trace elements. • Ion beam treatment is able to improve optical and color appearances of the blue sapphire from Rwanda. • These alternative methods can be extended to jewelry industry for large scale application. - Abstract: Blue sapphire is categorised in a corundum (Al_2O_3) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV–Vis–NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  3. Improvement of in-plane alignment for surface oxidized NiO layer on textured Ni substrate by two-step heat-treatment

    International Nuclear Information System (INIS)

    Hasegawa, Katsuya; Izumi, Toru; Izumi, Teruo; Shiohara, Yuh; Maeda, Toshihiko

    2004-01-01

    Epitaxial growth of NiO on a textured Ni substrate as a template for an REBa 2 Cu 3 O y coated conductor was investigated. Highly in-plane aligned NiO layers were successfully fabricated using a new process of a two-step heat-treatment for oxidation. In the first-step, a highly in-plane aligned thin NiO layer was formed on a textured Ni substrate under a low driving force of oxidation. Then, in the second-step, a thick NiO layer was grown at a higher rate with maintaining its high in-plane grain alignment, as if the first NiO layer acts as a seed crystal layer. Further, growth rates and microstructures of the NiO layers were studied comparatively in the cases with and without the first layer. It was found that the oxidation rate in the case with the first layer was lower than that without the first layer. The microstructure observation revealed that the NiO without the first layer was poly-crystalline with many grain-boundaries. On the other hand, in the case with the first layer, grain-boundaries of the NiO were hardly observed. Hence, the reason for this difference of the growth rate and the microstructure of the NiO layers were discussed in view of a diffusivity path

  4. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    Science.gov (United States)

    Bootkul, D.; Chaiwai, C.; Tippawan, U.; Wanthanachaisaeng, B.; Intarasiri, S.

    2015-12-01

    Blue sapphire is categorised in a corundum (Al2O3) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV-Vis-NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  5. Porosity-induced relaxation of strains in GaN layers studied by means of micro-indentation and optical spectroscopy

    KAUST Repository

    Najar, Adel; Gerland, Michel; Jouiad, Mustapha

    2012-01-01

    We report the fabrication of porous GaNnanostructures using UV-assisted electroless etching of bulk GaN layer grown on c-plane sapphire substrate in a solution consisting of HF:CH3OH:H2O2. The morphology of the porous Ga

  6. Spectroscopic properties for identifying sapphire samples from Ban Bo Kaew, Phrae Province, Thailand

    Science.gov (United States)

    Mogmued, J.; Monarumit, N.; Won-in, K.; Satitkune, S.

    2017-09-01

    Gemstone commercial is a high revenue for Thailand especially ruby and sapphire. Moreover, Phrae is a potential gem field located in the northern part of Thailand. The studies of spectroscopic properties are mainly to identify gemstone using advanced techniques (e.g. UV-Vis-NIR spectrophotometry, FTIR spectrometry and Raman spectroscopy). Typically, UV-Vis-NIR spectrophotometry is a technique to study the cause of color in gemstones. FTIR spectrometry is a technique to study the functional groups in gem-materials. Raman pattern can be applied to identify the mineral inclusions in gemstones. In this study, the natural sapphires from Ban Bo Kaew were divided into two groups based on colors including blue and green. The samples were analyzed by UV-Vis-NIR spectrophotometer, FTIR spectrometer and Raman spectroscope for studying spectroscopic properties. According to UV-Vis-NIR spectra, the blue sapphires show higher Fe3+/Ti4+ and Fe2+/Fe3+ absorption peaks than those of green sapphires. Otherwise, green sapphires display higher Fe3+/Fe3+ absorption peaks than blue sapphires. The FTIR spectra of both blue and green sapphire samples show the absorption peaks of -OH,-CH and CO2. The mineral inclusions such as ferrocolumbite and rutile in sapphires from this area were observed by Raman spectroscope. The spectroscopic properties of sapphire samples from Ban Bo Kaew, Phrae Province, Thailand are applied to be the specific evidence for gemstone identification.

  7. In-plane thermal conductivity measurements of ZnO-, ZnS-, and YSZ thin-films on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Hartung, David; Gather, Florian; Kronenberger, Achim; Kuhl, Florian; Meyer, Bruno K.; Klar, Peter J. [I. Physikalisches Institut, Justus-Liebig-University, Heinrich-Buff-Ring 16, 35392 Giessen (Germany)

    2012-07-01

    In this work we present in-plane thermal conductivity measurements of ZnO-, ZnS-, and YSZ thin-films. Borosilicate glass with a thickness of 50 microns and low thermal conductivity for improving the signal to noise ratio was used as substrate material. The above different films are deposited by rf-sputtering and have a thickness of about 1 micron. Our approach is a steady-state measurement. A wide metal wire on the film is used as a heater and two parallel lying narrow wires at distances of 100 microns and 200 microns from the heater wire, respectively, serve as the temperature sensors. The wire structure design is transfered on to the thin films by photolithography and metal evaporation. Measurements of the in-plane thermal conductivities of the above mentioned materials are presented and compared with corresponding results in the literature.

  8. Fabrication and examination of epitaxial HTSC/isolator thin films on sapphire substrates for application in high frequency devices; Herstellung und Untersuchung von epitaktischen HTSL/Isolator-Schichten auf Saphirsubstraten zur Anwendung in HF-Bauelementen

    Energy Technology Data Exchange (ETDEWEB)

    Kittel, H.

    1995-10-01

    The use of high temperature superconductors (HTSC) like YBCO with distinct lower surface resistance compared to normal conductors allows miniaturisation of high frequency (HF) circuits. The object of this work was the fabrication of YBCO thin films on low loss sapphire substrates applicable for stripline devices. To induce epitaxial growth and to avoid chemical reaction at the film-substrate boundary buffer layers were investigated. The examination of the growth properties and especially of the surface impedance has been allotted particular importance. In contrast to CaTiO{sub 3} it was possible to deposit CeO{sub 2}-buffer layers in direct growth up to a thickness of about 30 nm without cracks. The films show all growth properties required and even Laue-oscillations being a feature of high quality growth enabling the determination of film thickness distribution without destruction. The YBCO growth-, transport- and HF-properties meet the ones of YBCO films on standard substrates. A remarkable result is that the mosaic distribution of the CEO film, itself strongly dependend on film thickness, does not influence that of the YBCO film considerably. Rather it changes its shape subsequently due to YBCO deposition. A further particularity in contrast to deposition on standard substrates is the need to adjust the substrate heater tempeature for deposition of YBCO films with thicknesses {>=}300 nm needed for HF application. To demonstrate their usefullness some stripline devices like planar coils and side coupled filters have been fabricated and characterised. (orig.)

  9. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  10. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  11. Ti:Sapphire waveguide lasers

    NARCIS (Netherlands)

    Pollnau, Markus; Pashinin, P.P.; Grivas, C.; Laversenne, L.; Wilkinson, J.S.; Eason, R.W.; Shepherd, D.P.

    2007-01-01

    Titanium-doped sapphire is one of the most prominent laser materials and is appreciated for its excellent heat conductivity and broadband gain spectrum, allowing for a wide wavelength tunability and generation of ultrashort pulses. As one of the hardest materials, it can also serve as a model system

  12. Reliability improvement methods for sapphire fiber temperature sensors

    Science.gov (United States)

    Schietinger, C.; Adams, B.

    1991-08-01

    Mechanical, optical, electrical, and software design improvements can be brought to bear in the enhancement of fiber-optic sapphire-fiber temperature measurement tool reliability in harsh environments. The optical fiber thermometry (OFT) equipment discussed is used in numerous process industries and generally involves a sapphire sensor, an optical transmission cable, and a microprocessor-based signal analyzer. OFT technology incorporating sensors for corrosive environments, hybrid sensors, and two-wavelength measurements, are discussed.

  13. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    Energy Technology Data Exchange (ETDEWEB)

    Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science - Gems & Jewelry, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Chaiwai, C.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Wanthanachaisaeng, B. [Gems Enhancement Research Unit, Faculty of Gems, Burapha University, Chanthaburi Campus, Chanthaburi 22170 (Thailand); Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2015-12-15

    Highlights: • Ion beam analysis is an effective method for detecting trace elements. • Ion beam treatment is able to improve optical and color appearances of the blue sapphire from Rwanda. • These alternative methods can be extended to jewelry industry for large scale application. - Abstract: Blue sapphire is categorised in a corundum (Al{sub 2}O{sub 3}) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV–Vis–NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  14. Multilayered structures of (RE = rare earth)Ba2Cu3Ox films: an approach for the growth of superior quality large-area superconducting films on sapphire substrates

    International Nuclear Information System (INIS)

    Develos-Bagarinao, K; Yamasaki, H; Ohki, K; Nakagawa, Y

    2007-01-01

    Relatively thick REBa 2 Cu 3 O 7-δ (RE = rare earth) films (thickness ∼400-600 nm) with significantly improved surface morphology and critical current properties using a multilayered structure which alternates main layers of YBa 2 Cu 3 O 7-δ (YBCO) with intermediate DyBa 2 Cu 3 O 7-δ (DyBCO) layers on CeO 2 -buffered sapphire substrates were investigated. The DyBCO layer, which has a close lattice matching with YBCO, functions as a good starting template for the growth of high-quality YBCO layers. Critical current density (J c ) drastically increased up to a factor of 2 for YBCO/DyBCO multilayer films, compared to YBCO monolayer films in both the self-field and applied magnetic field. The significant improvement in J c is attributed to the improvement of surface smoothness and enhanced flux pinning properties as revealed by the magnetic-field angular dependence of J c . (rapid communication)

  15. Origin for the shape of Au small crystals formed inside sapphire by ion implantation

    International Nuclear Information System (INIS)

    Ohkubo, M.; Hioki, T.

    1989-01-01

    In ion-implanted oxides, precipitation is usually formed except the case of forming solid solution. The precipitation comprises the metallic particles of implanted atoms, the oxide of implanted atoms, the metal of matrix elements, the compound of implanted atoms and matrix and so on. In particular, the metallic particles of implanted atoms are frequently faceted. From the facets, the equilibrium shape of crystals can be imagined. The equilibrium shape is determined so that the surface free energy is to be minimized. However, the shape of the metallic particles precipitated inside oxides should not be such equilibrium shape because they come in contact with foreign crystals. As the result, in the precipitation phenomena induced by ion implantation, the crystal structures of precipitated particles and substrates, the crystallographic relation between two crystals, interfacial energy and so on must be taken in consideration. In this paper, the report is made on the shape of the metallic gold particles formed inside sapphires by ion implantation that it was caused by only the crystal habit of sapphires regardless of the above-mentioned complexity. (K.I.)

  16. Addition of Sb as a surfactant for the growth of nonpolar a-plane GaN by using mixed-source hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ok, Jin Eun; Jo, Dong Wan; Yun, Wy Il; Han, Young Hun; Jeon, Hun Soo; Lee, Gang Suok; Jung, Se Gyo; Bae, Seon Min; Ahn, Hyung Soo; Yang, Min

    2011-01-01

    The influence of Sb as a surfactant on the morphology and on the structural and the optical characteristics of a-plane GaN grown on r-plane sapphire by using mixed-source hydride vapor phase epitaxy was investigated. The a-plane GaN:Sb layers were grown at various temperatures ranging from 1000 .deg. C to 1100 .deg. C, and the reactor pressure was maintained at 1 atm. The atomic force microscope (AFM), scanning electron microscope (SEM), X-ray diffraction (XRD) and photoluminescence(PL) results indicated that the surface morphologies and the structural and the optical characteristics of a-plane GaN were markedly improved, compared to the a-plane GaN layers grown without Sb, by using Sb as a surfactant. The addition of Sb was found to alter epitaxial lateral overgrowth (ELO) facet formation. The Sb was not detected from the a-plane-GaN epilayers within the detection limit of the energy dispersive spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS) measurements, suggesting that Sb act as a surfactant during the growth of a-plane GaN by using mixed-source HVPE method.

  17. Characteristics of a Ti:sapphire laser pumped by a Nd:YAG laser and its analysis. Nd:YAG laser reiki Ti:sapphire laser no dosa tokusei to sono kaiseki

    Energy Technology Data Exchange (ETDEWEB)

    Okada, T.; Masumoto, J.; Mizunami, T.; Maeda, M.; Muraoka, K. (Kyushu Univ., Fukuoka (Japan). Faculty of Engineering)

    1991-06-29

    Although Ti: Sapphire expects of a possibility of being a light source much superior to a dye laser having been used as a wavelength variable laser for spectral analyses, it has a limitation that it does not oscillate directly in the visible and ultraviolet regions. In order to develop a light source that is synchronizable over ultraviolet-near infrared regions, by means of combining a Ti: Sapphire laser of a high peak power, comprising an oscillator and a multistage amplifier, with a non-linear frequency conversion method for harmonic generation and Raman conversion, a prototype Ti:Sapphire laser that is excited by YAG laser second harmonic, and that synchronizes with a prism was fabricated, and its operational characteristics were investigated. As a result, an output energy of 35.6 mJ at a maximum was obtained at a wavelength of 773 nm against an excitation energy of 129 mJ, a conversion efficiency of 38.2% was obtained against the absorption energy of the crystals, and a continuous synchronism was achieved over 750 to 900 nm. 4 refs., 9 figs., 1 tab.

  18. Hydrogen-Induced Buckling of Pd Films Deposited on Various Substrates

    KAUST Repository

    Vlček, Marián

    2015-07-01

    A Pd-H system is a model system suitable for studying interactions of hydrogen with metals. In the present work, we studied hydrogen-induced buckling of thin Pd films deposited on various substrates with different bonding strengths (sapphire, glimmer) and also the effect of deposition temperature. Lattice expansion and phase transitions were investigated by X-ray diffraction of synchrotron radiation. The influence of the substrate and microstructure of the film on the buckling process and phase transformation to palladium hydride are discussed.

  19. Hydrogen-Induced Buckling of Pd Films Deposited on Various Substrates

    KAUST Repository

    Vlček, Mariá n; Luká č, František; Vlach, Martin; Prochá zka, Ivan; Wagner, Stefan; Uchida, Helmut; Pundt, Astrid; Gemma, Ryota; Čí žek, Jakub

    2015-01-01

    A Pd-H system is a model system suitable for studying interactions of hydrogen with metals. In the present work, we studied hydrogen-induced buckling of thin Pd films deposited on various substrates with different bonding strengths (sapphire, glimmer) and also the effect of deposition temperature. Lattice expansion and phase transitions were investigated by X-ray diffraction of synchrotron radiation. The influence of the substrate and microstructure of the film on the buckling process and phase transformation to palladium hydride are discussed.

  20. Effect of the out-of-plane stress on the properties of epitaxial SrTiO3 films with nano-pillar array on Si-substrate

    Science.gov (United States)

    Bai, Gang; Xie, Qiyun; Liu, Zhiguo; Wu, Dongmei

    2015-08-01

    A nonlinear thermodynamic formalism has been proposed to calculate the physical properties of the epitaxial SrTiO3 films containing vertical nano-pillar array on Si-substrate. The out-of-plane stress induced by the mismatch between film and nano-pillars provides an effective way to tune the physical properties of ferroelectric SrTiO3 films. Tensile out-of-plane stress raises the phase transition temperature and increases the out-of-plane polarization, but decreases the out-of-plane dielectric constant below Curie temperature, pyroelectric coefficient, and piezoelectric coefficient. These results showed that by properly controlling the out-of-plane stress, the out-of-plane stress induced paraelectric-ferroelectric phase transformation will appear near room temperature. Excellent dielectric, pyroelectric, piezoelectric properties of these SrTiO3 films similar to PZT and other lead-based ferroelectrics can be expected.

  1. Kerr-lens mode-locked Ti:Sapphire laser pumped by a single laser diode

    Science.gov (United States)

    Kopylov, D. A.; Esaulkov, M. N.; Kuritsyn, I. I.; Mavritskiy, A. O.; Perminov, B. E.; Konyashchenko, A. V.; Murzina, T. V.; Maydykovskiy, A. I.

    2018-04-01

    The performance of a Ti:sapphire laser pumped by a single 461 nm laser diode is presented for both the continuous-wave and the mode-locked regimes of operation. We introduce a simple astigmatism correction scheme for the laser diode beam consisting of two cylindrical lenses affecting the pump beam along the fast axis of the laser diode, which provides the mode-matching between the nearly square-shaped pump beam and the cavity mode. The resulting efficiency of the suggested Ti:Sapphire oscillator pumped by such a laser diode is analyzed for the Ti:sapphire crystals of 3 mm, 5 mm and 10 mm in length. We demonstrate that such a system provides the generation of ultrashort pulses up to 15 fs in duration with the repetition rate of 87 MHz, the average power being 170 mW.

  2. Synthesis of single-crystalline Al layers in sapphire

    International Nuclear Information System (INIS)

    Schlosser, W.; Lindner, J.K.N.; Zeitler, M.; Stritzker, B.

    1999-01-01

    Single-crystalline, buried aluminium layers were synthesized by 180 keV high-dose Al + ion implantation into sapphire at 500 deg. C. The approximately 70 nm thick Al layers exhibit in XTEM investigations locally abrupt interfaces to the single-crystalline Al 2 O 3 top layer and bulk, while thickness and depth position are subjected to variations. The layers grow by a ripening process of oriented Al precipitates, which at low doses exist at two different orientations. With increasing dose, precipitates with one out of the two orientations are observed to exist preferentially, finally leading to the formation of a single-crystalline layer. Al outdiffusion to the surface and the formation of spherical Al clusters at the surface are found to be competing processes to buried layer formation. The formation of Al layers is described by Rutherford Backscattering Spectroscopy (RBS), Cross-section transmission electron microscopy (XTEM) and Scanning electron microscopy (SEM) studies as a function of dose, temperature and substrate orientation

  3. Optimizing Ti:Sapphire laser for quantitative biomedical imaging

    Science.gov (United States)

    James, Jeemol; Thomsen, Hanna; Hanstorp, Dag; Alemán Hérnandez, Felipe Ademir; Rothe, Sebastian; Enger, Jonas; Ericson, Marica B.

    2018-02-01

    Ti:Sapphire lasers are powerful tools in the field of scientific research and industry for a wide range of applications such as spectroscopic studies and microscopic imaging where tunable near-infrared light is required. To push the limits of the applicability of Ti:Sapphire lasers, fundamental understanding of the construction and operation is required. This paper presents two projects, (i) dealing with the building and characterization of custom built tunable narrow linewidth Ti:Sapphire laser for fundamental spectroscopy studies; and the second project (ii) the implementation of a fs-pulsed commercial Ti:Sapphire laser in an experimental multiphoton microscopy platform. For the narrow linewidth laser, a gold-plated diffraction grating with a Littrow geometry was implemented for highresolution wavelength selection. We demonstrate that the laser is tunable between 700 to 950 nm, operating in a pulsed mode with a repetition rate of 1 kHz and maximum average output power around 350 mW. The output linewidth was reduced from 6 GHz to 1.5 GHz by inserting an additional 6 mm thick etalon. The bandwidth was measured by means of a scanning Fabry Perot interferometer. Future work will focus on using a fs-pulsed commercial Ti:Sapphire laser (Tsunami, Spectra physics), operating at 80 MHz and maximum average output power around 1 W, for implementation in an experimental multiphoton microscopy set up dedicated for biomedical applications. Special focus will be on controlling pulse duration and dispersion in the optical components and biological tissue using pulse compression. Furthermore, time correlated analysis of the biological samples will be performed with the help of time correlated single photon counting module (SPCM, Becker&Hickl) which will give a novel dimension in quantitative biomedical imaging.

  4. Frequency-doubled diode laser for direct pumping of Ti:sapphire lasers

    DEFF Research Database (Denmark)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika

    2012-01-01

    . However, the superior electro-optical efficiency of the diode laser improves the overall efficiency of the Ti:sapphire laser by a factor > 2. The optical spectrum emitted by the Ti:sapphire laser shows a spectral width of 112 nm (FWHM). Based on autocorrelation measurements, pulse widths of less than 20...... fs are measured. These results open the opportunity of establishing diode laser pumped Ti:sapphire lasers for e.g. biophotonic applications like retinal optical coherence tomography or pumping of photonic crystal fibers for CARS microscopy.......A single-pass frequency doubled high-power tapered diode laser emitting nearly 1.3 W of green light suitable for direct pumping of Ti:sapphire lasers generating ultrashort pulses is demonstrated. The pump efficiencies reached 75 % of the values achieved with a commercial solid-state pump laser...

  5. Complementary analyses on the local polarity in lateral polarity-inverted GaN heterostructure on sapphire (0001) substrate

    International Nuclear Information System (INIS)

    Katayama, Ryuji; Kuge, Yoshihiro; Onabe, Kentaro; Matsushita, Tomonori; Kondo, Takashi

    2006-01-01

    The fabrication of the lateral polarity-inverted GaN heterostructure on sapphire (0001) using a radio-frequency-plasma-enhanced molecular beam epitaxy is demonstrated. Its microscopic properties such as surface potentials, piezoelectric polarizations, and residual carrier densities were investigated by Kelvin force microscopy and micro-Raman scattering. The inversion from Ga polarity to N polarity in a specific domain and its higher crystal perfection had been unambiguously confirmed by these complementary analyses. The results were also fairly consistent with that of KOH etching, which suggests the applicability of these processes to the fabrication of photonic nanostructures

  6. Nanostructured carbon films with oriented graphitic planes

    International Nuclear Information System (INIS)

    Teo, E. H. T.; Kalish, R.; Kulik, J.; Kauffmann, Y.; Lifshitz, Y.

    2011-01-01

    Nanostructured carbon films with oriented graphitic planes can be deposited by applying energetic carbon bombardment. The present work shows the possibility of structuring graphitic planes perpendicular to the substrate in following two distinct ways: (i) applying sufficiently large carbon energies for deposition at room temperature (E>10 keV), (ii) utilizing much lower energies for deposition at elevated substrate temperatures (T>200 deg. C). High resolution transmission electron microscopy is used to probe the graphitic planes. The alignment achieved at elevated temperatures does not depend on the deposition angle. The data provides insight into the mechanisms leading to the growth of oriented graphitic planes under different conditions.

  7. Epitactical FeAl films on sapphire and their magnetic properties; Epitaktische FeAl-Filme auf Saphir und ihre magnetischen Eigenschaften

    Energy Technology Data Exchange (ETDEWEB)

    Trautvetter, Moritz

    2011-05-05

    In the presented thesis epitaxial FeAl thin films on sapphire have been prepared by pulse laser deposition (PLD). The thin films deposited at room temperature exhibits ferromagnetism and subsequent annealing is necessary to transform the thin films to paramagnetic B2-phase, where the transition temperature depends on the crystalline orientation of the sapphire substrate. Alternatively, by deposition at higher substrate temperature the B2-phase is obtained directly. However, morphology of the FeAl film is influenced by different growth modes resulting from different substrate temperatures. The paramagnetic FeAl films can then be transformed to ferromagnetic phase by successive ion irradiation. Independent of the ion species used for irradiation, the same universal relation between thin films' coercive fields and irradiation damage is identified. The ion irradiation ferromagnetism can be transformed back to paramagnetism by subsequent annealing. The mutual transition between ferromagnetic and paramagnetic phases has been performed several times and shows full reversibility. The ferromagnetic phase induced by Kr{sup +} irradiation exhibits structural relaxation, where the saturate magnetization of FeAl thin film gradually decreases in several days. Later, ion irradiation has been performed selectively on defined areas of the thin film with the help of an unconventional lithography technique. The subsequent thin film is composed of ordered hexagonal array of ferromagnetic nano-cylinders separated by a paramagnetic matrix, suggesting a promising system for magnetic data storage. (orig.)

  8. A Century of Sapphire Crystal Growth

    Science.gov (United States)

    2004-05-17

    should be aware that notwithstanding any other provision of law , no person shall be subject to a penalty for failing to comply with a collection of...and ruby were oxides of the elements aluminum and silicon.1 In 1817, J. L. Gay- Lussac found that pure aluminum oxide (also called alumina) could...thought to consist of Al2O3 and SiO2 •1817: Gay- Lussac : •1840: Rose: Found SiO2 in sapphire is from agate mortar used for grinding •1837-72: Gaudin

  9. Review and perspective: Sapphire optical fiber cladding development for harsh environment sensing

    Science.gov (United States)

    Chen, Hui; Buric, Michael; Ohodnicki, Paul R.; Nakano, Jinichiro; Liu, Bo; Chorpening, Benjamin T.

    2018-03-01

    The potential to use single-crystal sapphire optical fiber as an alternative to silica optical fibers for sensing in high-temperature, high-pressure, and chemically aggressive harsh environments has been recognized for several decades. A key technological barrier to the widespread deployment of harsh environment sensors constructed with sapphire optical fibers has been the lack of an optical cladding that is durable under these conditions. However, researchers have not yet succeeded in incorporating a high-temperature cladding process into the typical fabrication process for single-crystal sapphire fibers, which generally involves seed-initiated fiber growth from the molten oxide state. While a number of advances in fabrication of a cladding after fiber-growth have been made over the last four decades, none have successfully transitioned to a commercial manufacturing process. This paper reviews the various strategies and techniques for fabricating an optically clad sapphire fiber which have been proposed and explored in published research. The limitations of current approaches and future prospects for sapphire fiber cladding are discussed, including fabrication methods and materials. The aim is to provide an understanding of the past research into optical cladding of sapphire fibers and to assess possible material systems for future research on this challenging problem for harsh environment sensors.

  10. Nonlinearity Mechanism and Correction of Sapphire Fiber Temperature Sensor on Blackbody Cavity

    Directory of Open Access Journals (Sweden)

    Tiejun Cao

    2014-06-01

    Full Text Available Based on the principle of blackbody radiation, sapphire optic fiber temperature sensor has been more widely used in recent years, and its temperature range is between 800 ~ 2000 oC, and the response time is in 10-2 magnitude, and transient temperature measurement can be high precision in harsh environments. Nonlinear constraints on sapphire fiber temperature sensor affect the accuracy and stability of the sensor. In order to solve the nonlinear problems which exist in the measurement, at first, the sapphire fiber optic temperature sensor temperature measurement principle and nonlinear generation mechanism are studied; secondly piecewise linear interpolation and spline interpolation linearization algorithm is designed with combining the nonlinear characteristics of sapphire optical fiber temperature sensor, and the program is designed on its linear and associated signal processing. Experimental results show that a good linearization of sapphire fiber optic temperature sensor can been achieved in this method.

  11. Oxidation states of Fe and Ti in blue sapphire

    International Nuclear Information System (INIS)

    Wongrawang, P; Wongkokua, W; Monarumit, N; Thammajak, N; Wathanakul, P

    2016-01-01

    X-ray absorption near-edge spectroscopy (XANES) can be used to study the oxidation state of a dilute system such as transition metal defects in solid-state samples. In blue sapphire, Fe and Ti are defects that cause the blue color. Inter-valence charge transfer (IVCT) between Fe 2+ and Ti 4+ has been proposed to describe the optical color’s origin. However, the existence of divalent iron cations has not been thoroughly investigated. Fluorescent XANES is therefore employed to study K-edge absorptions of Fe and Ti cations in various blue sapphire samples including natural, synthetic, diffused and heat-treated sapphires. All the samples showed an Fe absorption edge at 7124 eV, corresponding to the Fe 3+ state; and Ti at 4984 eV, corresponding to Ti 4+ . From these results, we propose Fe 3+ -Ti 4+ mixed acceptor states located at 1.75 eV and 2.14 eV above the valence band of corundum, that correspond to 710 nm and 580 nm bands of UV–vis absorption spectra, to describe the cause of the color of blue sapphire. (paper)

  12. Cu-doped AlN: A possible spinaligner at room-temperature grown by molecular beam epitaxy?

    Science.gov (United States)

    Ganz, P. R.; Schaadt, D. M.

    2011-12-01

    Cu-doped AlN was prepared by plasma assisted molecular beam epitaxy on C-plane sapphire substrates. The growth conditions were investigated for different Cu to Al flux ratios from 1.0% to 4.0%. The formation of Cu-Al alloys on the surface was observed for all doping level. In contrast to Cu-doped GaN, all samples showed diamagnetic behavior determined by SQUID measurements.

  13. A neutron method for NDA analysis in the SAPPHIRE Project

    International Nuclear Information System (INIS)

    Lewis, K.D.

    1995-01-01

    The implementation of Project SAPPHIRE, the top secret mission to the Republic of Kazakhstan to recover weapons grade nuclear materials, consisted of four major elements: (1) the re-packing of fissile material from Kazakh containers into suitable US containers; (2) nondestructive analyses (NDA) to quantify the U-235 content of each container for Nuclear Criticality Safety and compliance purposes; (3) the packaging of the fissile material containers into 6M/2R drums, which are internationally approved for shipping fissile material; and (4) the shipping or transport of the recovered fissile material to the United States. This paper discusses the development and application of a passive neutron counting technique used in the NDA phase of SAPPHIRE operations to analyze uranium/beryllium (U/Be) alloys and compounds for U-235 content

  14. Photosensitive N channel MOSFET device on silicon on sapphire substrate

    International Nuclear Information System (INIS)

    Le Goascoz, V.; Borel, J.

    1975-01-01

    An anomalous behavior of the N channel output current characteristic in a SOS MOSFET with a floating bulk is described. Such a phenomenon can be used in a photosensitive device with internal gain. Such devices can be used on SOS substrates to achieve integrated circuits with high insulating voltages and data transmission by optical means [fr

  15. Electron Microscopy Characterization of Vanadium Dioxide Thin Films and Nanoparticles

    Science.gov (United States)

    Rivera, Felipe

    Vanadium dioxide (VO_2) is a material of particular interest due to its exhibited metal to insulator phase transition at 68°C that is accompanied by an abrupt and significant change in its electronic and optical properties. Since this material can exhibit a reversible drop in resistivity of up to five orders of magnitude and a reversible drop in infrared optical transmission of up to 80%, this material holds promise in several technological applications. Solid phase crystallization of VO_2 thin films was obtained by a post-deposition annealing process of a VO_{x,x approx 2} amorphous film sputtered on an amorphous silicon dioxide (SiO_2) layer. Scanning electron microscopy (SEM) and electron-backscattered diffraction (EBSD) were utilized to study the morphology of the solid phase crystallization that resulted from this post-deposition annealing process. The annealing parameters ranged in temperature from 300°C up to 1000°C and in time from 5 minutes up to 12 hours. Depending on the annealing parameters, EBSD showed that this process yielded polycrystalline vanadium dioxide thin films, semi-continuous thin films, and films of isolated single-crystal particles. In addition to these films on SiO_2, other VO_2 thin films were deposited onto a-, c-, and r-cuts of sapphire and on TiO_2(001) heated single-crystal substrates by pulsed-laser deposition (PLD). The temperature of the substrates was kept at ˜500°C during deposition. EBSD maps and orientation imaging microscopy were used to study the epitaxy and orientation of the VO_2 grains deposited on the single crystal substrates, as well as on the amorphous SiO_2 layer. The EBSD/OIM results showed that: 1) For all the sapphire substrates analyzed, there is a predominant family of crystallographic relationships wherein the rutile VO_2{001} planes tend to lie parallel to the sapphire's {10-10} and the rutile VO_2{100} planes lie parallel to the sapphire's {1-210} and {0001}. Furthermore, while this family of

  16. Frictional interactions in forming processes: New studies with transparent sapphire strip-drawing dies

    Science.gov (United States)

    Rao, R. S.; Lu, C. Y.; Wright, P. K.; Devenpeck, M. L.; Richmond, O.; Appleby, E. J.

    1982-05-01

    This research is concerned with the frictional interactions at the toolwork interfaces in the machining and strip-drawing processes. A novel feature is that transparent sapphire (single crystal Al2O3) is being used as the tool and die material. This allows the tribological features of the interface to be directly observed and recorded on movie-film. These qualitative studies provide information on the role of lubricants. In addition, techniques are being developed to quantify the velocity gradient along the interface. For example, in the drawing work it has been found that tracer markings (e.g. dye-spots), applied to the undrawn strip, remain intact during drawing and can be tracked along the sapphire/strip interface. Such data will be used as input to a finite-element, elasto-plastic-workhardening model of the deformation process. The latter can compute strip deformation characteristics, drawing forces and local coefficients of friction at the interface. Introductory results will be presented in this paper, obtained from drawing tin-plated mild steel with sapphire and cemented carbide dies. Drawing loads and die-separating forces will be presented and movie-films of the action of tracer markings at the interface shown. In order to demonstrate how this data can be used in an analysis of a large strain deformation process with friction, initial results from running the FIPDEF elasto-plastic code will be discussed. From a commercial viewpoint research on strip-drawing is of special interest to the can-making industry. From a physical viewpoint stripdrawing is of particular interest because it is a symmetrical, plane strain deformation and, in comparison with other metal processing operations, it is more readily modeled. However, until now the elasto-plastic codes that have been developed to predictively model drawing have had limitations: the most notable being that of quantifying the friction conditions at the die-work interface. Hence the specification of the

  17. Analysis of the AlGaN/GaN vertical bulk current on Si, sapphire, and free-standing GaN substrates

    International Nuclear Information System (INIS)

    Pérez-Tomás, A.; Fontserè, A.; Llobet, J.; Placidi, M.; Rennesson, S.; Chenot, S.; Moreno, J. C.; Cordier, Y.; Baron, N.

    2013-01-01

    The vertical bulk (drain-bulk) current (I db ) properties of analogous AlGaN/GaN hetero-structures molecular beam epitaxially grown on silicon, sapphire, and free-standing GaN (FS-GaN) have been evaluated in this paper. The experimental I db (25–300 °C) have been well reproduced with physical models based on a combination of Poole-Frenkel (trap assisted) and hopping (resistive) conduction mechanisms. The thermal activation energies (E a ), the (soft or destructive) vertical breakdown voltage (V B ), and the effect of inverting the drain-bulk polarity have also been comparatively investigated. GaN-on-FS-GaN appears to adhere to the resistive mechanism (E a = 0.35 eV at T = 25–300 °C; V B = 840 V), GaN-on-sapphire follows the trap assisted mechanism (E a = 2.5 eV at T > 265 °C; V B > 1100 V), and the GaN-on-Si is well reproduced with a combination of the two mechanisms (E a = 0.35 eV at T > 150 °C; V B = 420 V). Finally, the relationship between the vertical bulk current and the lateral AlGaN/GaN transistor leakage current is explored.

  18. Effect of the out-of-plane stress on the properties of epitaxial SrTiO{sub 3} films with nano-pillar array on Si-substrate

    Energy Technology Data Exchange (ETDEWEB)

    Bai, Gang, E-mail: baigang@njupt.edu.cn [Jiangsu Provincial Engineering Laboratory for RF Integration and Micropackaging and College of Electronic Science and Engineering, Nanjing University of Posts and Telecommunications, Nanjing 210023 (China); Laboratory of Solid State Microstructures, Nanjing University, Nanjing 210093 (China); Xie, Qiyun [Jiangsu Provincial Engineering Laboratory for RF Integration and Micropackaging and College of Electronic Science and Engineering, Nanjing University of Posts and Telecommunications, Nanjing 210023 (China); Liu, Zhiguo [Laboratory of Solid State Microstructures, Nanjing University, Nanjing 210093 (China); Wu, Dongmei [School of Automation, Nanjing University of Posts and Telecommunications, Nanjing 210023 (China)

    2015-08-21

    A nonlinear thermodynamic formalism has been proposed to calculate the physical properties of the epitaxial SrTiO{sub 3} films containing vertical nano-pillar array on Si-substrate. The out-of-plane stress induced by the mismatch between film and nano-pillars provides an effective way to tune the physical properties of ferroelectric SrTiO{sub 3} films. Tensile out-of-plane stress raises the phase transition temperature and increases the out-of-plane polarization, but decreases the out-of-plane dielectric constant below Curie temperature, pyroelectric coefficient, and piezoelectric coefficient. These results showed that by properly controlling the out-of-plane stress, the out-of-plane stress induced paraelectric-ferroelectric phase transformation will appear near room temperature. Excellent dielectric, pyroelectric, piezoelectric properties of these SrTiO{sub 3} films similar to PZT and other lead-based ferroelectrics can be expected.

  19. High Temperature Testing with Sapphire Fiber White-Light Michelson Interferometers

    Science.gov (United States)

    Barnes, A.; Pedrazzani, J.; May, R.; Murphy, K.; Tran, T.; Coate, J.

    1996-01-01

    In the design of new aerospace materials, developmental testing is conducted to characterize the behavior of the material under severe environmental conditions of high stress, temperature, and vibration. But to test these materials under extreme conditions requires sensors that can perform in harsh environments. Current sensors can only monitor high temperature test samples using long throw instrumentation, but this is inherently less accurate than a surface mounted sensor, and provides no means for fabrication process monitoring. A promising alternative is the use of sapphire optical fiber sensors. Sapphire is an incredibly rugged material, being extremely hard (9 mhos), chemically inert, and having a melting temperature (over 2000 C). Additionally, there is a extensive background of optical fiber sensors upon which to draw for sapphire sensor configurations.

  20. Self-limiting growth of ZnO films on (0 0 0 1) sapphire substrates by atomic layer deposition at low temperatures using diethyl-zinc and nitrous oxide

    International Nuclear Information System (INIS)

    Lin, Yen-Ting; Chung, Ping-Han; Lai, Hung-Wei; Su, Hsin-Lun; Lyu, Dong-Yuan; Yen, Kuo-Yi; Lin, Tai-Yuan; Kung, Chung-Yuan; Gong, Jyh-Rong

    2009-01-01

    Atomic layer deposition (ALD) of zinc oxide (ZnO) films on (0 0 0 1) sapphire substrates was conducted at low temperatures by using diethyl-zinc (DEZn) and nitrous oxide (N 2 O) as precursors. It was found that a monolayer-by-monolayer growth regime occurred at 300 deg. C in a range of DEZn flow rates from 5.7 to 8.7 μmol/min. Furthermore, the temperature self-limiting process window for the ALD-grown ZnO films was also observed ranging from 290 to 310 deg. C. A deposition mechanism is proposed to explain how saturated growth of ZnO is achieved by using DEZn and N 2 O. Transmission spectroscopic studies of the ZnO films prepared in the self-limiting regime show that the transmittances of ZnO films are as high as 80% in visible and near infrared spectra. Experimental results indicate that ZnO films with high optical quality can be achieved by ALD at low temperatures using DEZn and N 2 O precursors.

  1. 'Sapphire' project. Objectives and outcomes

    International Nuclear Information System (INIS)

    Shkolnik, V.S.

    1997-01-01

    'Sapphire' Project contains the US assistance in purchasing/exporting 600 kg of highly enriched uranium from the State Holding Association 'Ulba' Uranium Plant, and compensatory equipment and service deliveries under the mutually concerted list. The compensatory payments were as separate projects in conformity with Kazakhstan enterprises needs, participation quota of which was determined by the Kazakhstan Government. Realization Milestones. Activity on Separate Projects: - basic 'Sapphire' part includes medical projects; - Kazakhstan Services were equipped with computers by the American International Development Agency for Taxation Services of Kazakhstan and by US Department of Energy for Monitoring preparation of Kazakhstan Atomic energy Agency. - 7 Research projects are being realized via the International Science and Technological Center; - export control. It has been realized as the equipment delivery under the concerted list; - equipping of nuclear materials accounting and control system at 'Ulba' Association enterprises

  2. Development of frequency tunable Ti:sapphire laser and dye laser pumped by a pulsed Nd:YAG laser

    International Nuclear Information System (INIS)

    Yi, Jong Hoon; Horn, Roland; Wendt, K.

    2001-01-01

    We investigated lasing characteristics of two kinds of tunable laser, liquid dye laser and solid Ti:sapphire crystal laser, pumped by high pulse repetition rate Nd:YAG laser. Dye laser showed drastically reduced pulsewidth compared with that of pump laser and it also contained large amount of amplified spontaneous emission. Ti:sapphire laser showed also reduced pulsewidth. But, the laser conversion pump laser and Ti:sapphire laser pulse, we used a Brewster-cut Pockel's cell for Q-switching. The laser was frequency doubled by a type I BBO crystal outside of the cavity.

  3. Investigation of iron film-substrate interfaces using Rutherford backscattering and channeling techniques

    International Nuclear Information System (INIS)

    Maheswaran, S.; Thevuthasan, S.

    1999-01-01

    Thin films of α-Fe 2 O 3 (0001) (hematite) were epitaxially grown on Al 2 O 3 (0001) substrates using the new molecular beam epitaxy (MBE) system at the Environmental Molecular Sciences Laboratory (EMSL). We have investigated the interface between the hematite films and sapphire substrates using Rutherford Backscattering (RBS) and channeling experiments. Theoretical simulations were performed using VEGAS code to investigate the surface and interface structural properties of the films

  4. Flashlamp pumped Ti-sapphire laser for ytterbium glass chirped pulse amplification

    Energy Technology Data Exchange (ETDEWEB)

    Nishimura, Akihiko; Ohzu, Akira; Sugiyama, Akira [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment; and others

    1998-03-01

    A flashlamp pumped Ti:sapphire laser is designed for ytterbium glass chirped pulse amplification. A high quality Ti:sapphire rod and a high energy long pulse discharging power supply are key components. The primary step is to produce the output power of 10 J per pulse at 920 nm. (author)

  5. Investigation of the photoluminescence properties of Au/ZnO/sapphire and ZnO/Au/sapphire films by experimental study and electromagnetic simulation

    International Nuclear Information System (INIS)

    Zeng, Yong; Zhao, Yan; Jiang, Yijian

    2015-01-01

    Highlights: • Photoluminescent properties from Au/ZnO/sapphire and ZnO/Au/sapphire structures have been investigated. • The enhancement of UV intensity is a result of the enhanced electric field intensity of the 325 nm excitation light. • Electron transfer which induced by the local surface may be also account for the enhancement of UV emissions. • The suppression of the visible emissions might be due to the flowing of electrons in the defect states to the Au. - Abstract: Photoluminescent properties from Au/ZnO/sapphire and ZnO/Au/sapphire structures have been investigated. It is found that due to the co-interaction between the incident light and local surface plasmons, the ultraviolet (UV) emissions from the two structures were both enhanced and the visible emissions related to the defects were suppressed. By the means of electromagnetic simulation, it indicates that the enhancement of UV intensity is a result of the enhanced electric field intensity of the 325 nm excitation light, which is induced by localized surface plasmons resonance (LSPR). On the other hand, electron transfer which is induced by the local surface also account for the enhancement of UV emissions. The suppression of the visible emissions might be due to the flowing of electrons in the defect states to the Au, which caused the reduction of the electrons in the defect states

  6. Structural anisotropy of nonpolar and semipolar InN epitaxial layers

    Science.gov (United States)

    Darakchieva, V.; Xie, M.-Y.; Franco, N.; Giuliani, F.; Nunes, B.; Alves, E.; Hsiao, C. L.; Chen, L. C.; Yamaguchi, T.; Takagi, Y.; Kawashima, K.; Nanishi, Y.

    2010-10-01

    We present a detailed study of the structural characteristics of molecular beam epitaxy grown nonpolar InN films with a- and m-plane surface orientations on r-plane sapphire and (100) γ-LiAlO2, respectively, and semipolar (101¯1) InN grown on r-plane sapphire. The on-axis rocking curve (RC) widths were found to exhibit anisotropic dependence on the azimuth angle with minima at InN [0001] for the a-plane films, and maxima at InN [0001] for the m-plane and semipolar films. The different contributions to the RC broadening are analyzed and discussed. The finite size of the crystallites and extended defects are suggested to be the dominant factors determining the RC anisotropy in a-plane InN, while surface roughness and curvature could not play a major role. Furthermore, strategy to reduce the anisotropy and magnitude of the tilt and minimize defect densities in a-plane InN films is suggested. In contrast to the nonpolar films, the semipolar InN was found to contain two domains nucleating on zinc-blende InN(111)A and InN(111)B faces. These two wurtzite domains develop with different growth rates, which was suggested to be a consequence of their different polarity. Both, a- and m-plane InN films have basal stacking fault densities similar or even lower compared to nonpolar InN grown on free-standing GaN substrates, indicating good prospects of heteroepitaxy on foreign substrates for the growth of InN-based devices.

  7. Structural anisotropy of nonpolar and semipolar InN epitaxial layers

    International Nuclear Information System (INIS)

    Darakchieva, V.; Xie, M.-Y.; Franco, N.; Alves, E.; Giuliani, F.; Nunes, B.; Hsiao, C. L.; Chen, L. C.; Yamaguchi, T.; Takagi, Y.; Kawashima, K.; Nanishi, Y.

    2010-01-01

    We present a detailed study of the structural characteristics of molecular beam epitaxy grown nonpolar InN films with a- and m-plane surface orientations on r-plane sapphire and (100) γ-LiAlO 2 , respectively, and semipolar (1011) InN grown on r-plane sapphire. The on-axis rocking curve (RC) widths were found to exhibit anisotropic dependence on the azimuth angle with minima at InN [0001] for the a-plane films, and maxima at InN [0001] for the m-plane and semipolar films. The different contributions to the RC broadening are analyzed and discussed. The finite size of the crystallites and extended defects are suggested to be the dominant factors determining the RC anisotropy in a-plane InN, while surface roughness and curvature could not play a major role. Furthermore, strategy to reduce the anisotropy and magnitude of the tilt and minimize defect densities in a-plane InN films is suggested. In contrast to the nonpolar films, the semipolar InN was found to contain two domains nucleating on zinc-blende InN(111)A and InN(111)B faces. These two wurtzite domains develop with different growth rates, which was suggested to be a consequence of their different polarity. Both, a- and m-plane InN films have basal stacking fault densities similar or even lower compared to nonpolar InN grown on free-standing GaN substrates, indicating good prospects of heteroepitaxy on foreign substrates for the growth of InN-based devices.

  8. Microstructural dependency of optical properties of m-plane InGaN multiple quantum wells grown on 2° misoriented bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Fengzai; Barnard, Jonathan S.; Zhu, Tongtong; Oehler, Fabrice; Kappers, Menno J.; Oliver, Rachel A., E-mail: rao28@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom)

    2015-08-24

    A non-polar m-plane structure consisting of five InGaN/GaN quantum wells (QWs) was grown on ammonothermal bulk GaN by metal-organic vapor phase epitaxy. Surface step bunches propagating through the QW stack were found to accommodate the 2° substrate miscut towards the -c direction. Both large steps with heights of a few tens of nanometres and small steps between one and a few atomic layers in height are observed, the former of which exhibit cathodoluminescence at longer wavelengths than the adjacent m-plane terraces. This is attributed to the formation of semi-polar facets at the steps on which the QWs are shown to be thicker and have higher Indium contents than those in the adjacent m-plane regions. Discrete basal-plane stacking faults (BSFs) were occasionally initiated from the QWs on the main m-plane terraces, but groups of BSFs were frequently observed to initiate from those on the large steps, probably related to the increased strain associated with the locally higher indium content and thickness.

  9. Microstructural dependency of optical properties of m-plane InGaN multiple quantum wells grown on 2° misoriented bulk GaN substrates

    International Nuclear Information System (INIS)

    Tang, Fengzai; Barnard, Jonathan S.; Zhu, Tongtong; Oehler, Fabrice; Kappers, Menno J.; Oliver, Rachel A.

    2015-01-01

    A non-polar m-plane structure consisting of five InGaN/GaN quantum wells (QWs) was grown on ammonothermal bulk GaN by metal-organic vapor phase epitaxy. Surface step bunches propagating through the QW stack were found to accommodate the 2° substrate miscut towards the -c direction. Both large steps with heights of a few tens of nanometres and small steps between one and a few atomic layers in height are observed, the former of which exhibit cathodoluminescence at longer wavelengths than the adjacent m-plane terraces. This is attributed to the formation of semi-polar facets at the steps on which the QWs are shown to be thicker and have higher Indium contents than those in the adjacent m-plane regions. Discrete basal-plane stacking faults (BSFs) were occasionally initiated from the QWs on the main m-plane terraces, but groups of BSFs were frequently observed to initiate from those on the large steps, probably related to the increased strain associated with the locally higher indium content and thickness

  10. Sapphire-fiber-based distributed high-temperature sensing system.

    Science.gov (United States)

    Liu, Bo; Yu, Zhihao; Hill, Cary; Cheng, Yujie; Homa, Daniel; Pickrell, Gary; Wang, Anbo

    2016-09-15

    We present, for the first time to our knowledge, a sapphire-fiber-based distributed high-temperature sensing system based on a Raman distributed sensing technique. High peak power laser pulses at 532 nm were coupled into the sapphire fiber to generate the Raman signal. The returned Raman Stokes and anti-Stokes signals were measured in the time domain to determine the temperature distribution along the fiber. The sensor was demonstrated from room temperature up to 1200°C in which the average standard deviation is about 3.7°C and a spatial resolution of about 14 cm was achieved.

  11. Growth and characterization of AlxGa1-xN LEO substrates

    International Nuclear Information System (INIS)

    Paek, H.S.; Sakong, T.; Lee, S.N.; Son, J.K.; Ryu, H.Y.; Nam, O.H.; Park, Y.

    2006-01-01

    We have studied the effect of Al composition on the properties of Al x Ga 1-x N LEO substrates. Al x Ga 1-x N LEO substrates were prepared on stripe-patterned 2μm-thick undoped GaN/sapphire substrates by metalorganic chemical vapor deposition. To investigate the dislocation and crack density, and the surface morphology of Al x Ga 1-x N LEO substrates with different Al compositions, photoluminescence and optical microscope were used. At a 1% of Al composition, we obtained crack-free and mirror-like substrates having a low dislocation density of ∼1E6cm -2 . We expect considerable reduction in threshold current density to be achieved from blue-violet laser diodes grown on Al x Ga 1-x N LEO substrates because of the increased optical gain, as compared to the laser diodes grown on Al-free LEO substrates

  12. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  13. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  14. SERS Raman Sensor Based on Diameter-Modulated Sapphire Fiber

    Energy Technology Data Exchange (ETDEWEB)

    Shimoji, Yutaka

    2010-08-09

    Surface enhanced Raman scattering (SERS) has been observed using a sapphire fiber coated with gold nano-islands for the first time. The effect was found to be much weaker than what was observed with a similar fiber coated with silver nanoparticles. Diameter-modulated sapphire fibers have been successfully fabricated on a laser heated pedestal growth system. Such fibers have been found to give a modest increase in the collection efficiency of induced emission. However, the slow response of the SERS effect makes it unsuitable for process control applications.

  15. Neutron Transmission through Sapphire Crystals

    DEFF Research Database (Denmark)

    of simulations, in order to reproduce the transmission of cold neutrons through sapphire crystals. Those simulations were part of the effort of validating and improving the newly developed interface between the Monte-Carlo neutron transport code MCNP and the Monte Carlo ray-tracing code McStas....

  16. Development of High-Performance eSWIR HgCdTe-Based Focal-Plane Arrays on Silicon Substrates

    Science.gov (United States)

    Park, J. H.; Pepping, J.; Mukhortova, A.; Ketharanathan, S.; Kodama, R.; Zhao, J.; Hansel, D.; Velicu, S.; Aqariden, F.

    2016-09-01

    We report the development of high-performance and low-cost extended short-wavelength infrared (eSWIR) focal-plane arrays (FPAs) fabricated from molecular beam epitaxial (MBE)-grown HgCdTe on Si-based substrates. High-quality n-type eSWIR HgCdTe (cutoff wavelength ˜2.68 μm at 77 K, electron carrier concentration 5.82 × 1015 cm-3) layers were grown on CdTe/Si substrates by MBE. High degrees of uniformity in composition and thickness were demonstrated over three-inch areas, and low surface defect densities (voids 9.56 × 101 cm-2, micro-defects 1.67 × 103 cm-2) were measured. This material was used to fabricate 320 × 256 format, 30 μm pitch FPAs with a planar device architecture using arsenic implantation to achieve p-type doping. The dark current density of test devices showed good uniformity between 190 K and room temperature, and high-quality eSWIR imaging from hybridized FPAs was obtained with a median dark current density of 2.63 × 10-7 A/cm2 at 193 K with a standard deviation of 1.67 × 10-7 A/cm2.

  17. Epitaxial growth of ReS2(001) thin film via deposited-Re sulfurization

    Science.gov (United States)

    Urakami, Noriyuki; Okuda, Tetsuya; Hashimoto, Yoshio

    2018-02-01

    In this paper, we present the formation of large-size rhenium disulfide (ReS2) films via the sulfurization of Re films deposited on sapphire substrates. The effects of sulfurization temperature and pressure on the crystal quality were investigated. A [001]-oriented single crystal of ReS2 films with 6 × 10 mm2 area was realized. By sulfurizing Re films at 1100 °C, ReS2 films with well-defined sharp interfaces to c-plane sapphire substrates could be formed. Below and above the sulfurization temperature of 1100 °C, incomplete sulfurization and film degradation were observed. The twofold symmetry of the monocrystalline in-plane structure composed of Re-Re bonds along with Re-S bonds pointed to a distorted 1T structure, indicating that this structure is the most stable atomic arrangement for ReS2. For a S/Re compositional ratio equal to or slightly lower than 2.0, characteristic Raman vibrational modes with the narrowest line widths were observed. The typical absorption peak of ReS2 can be detected at 1.5 eV.

  18. Indium clustering in a-plane InGaN quantum wells as evidenced by atom probe tomography

    International Nuclear Information System (INIS)

    Tang, Fengzai; Zhu, Tongtong; Oehler, Fabrice; Fu, Wai Yuen; Griffiths, James T.; Massabuau, Fabien C.-P.; Kappers, Menno J.; Oliver, Rachel A.; Martin, Tomas L.; Bagot, Paul A. J.; Moody, Michael P.

    2015-01-01

    Atom probe tomography (APT) has been used to characterize the distribution of In atoms within non-polar a-plane InGaN quantum wells (QWs) grown on a GaN pseudo-substrate produced using epitaxial lateral overgrowth. Application of the focused ion beam microscope enabled APT needles to be prepared from the low defect density regions of the grown sample. A complementary analysis was also undertaken on QWs having comparable In contents grown on polar c-plane sample pseudo-substrates. Both frequency distribution and modified nearest neighbor analyses indicate a statistically non-randomized In distribution in the a-plane QWs, but a random distribution in the c-plane QWs. This work not only provides insights into the structure of non-polar a-plane QWs but also shows that APT is capable of detecting as-grown nanoscale clustering in InGaN and thus validates the reliability of earlier APT analyses of the In distribution in c-plane InGaN QWs which show no such clustering

  19. Indium clustering in a-plane InGaN quantum wells as evidenced by atom probe tomography

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Fengzai; Zhu, Tongtong; Oehler, Fabrice; Fu, Wai Yuen; Griffiths, James T.; Massabuau, Fabien C.-P.; Kappers, Menno J.; Oliver, Rachel A., E-mail: rao28@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Martin, Tomas L.; Bagot, Paul A. J.; Moody, Michael P., E-mail: michael.moody@materials.ox.ac.uk [Department of Materials, University of Oxford, Parks Road, Oxford OX1 3PH (United Kingdom)

    2015-02-16

    Atom probe tomography (APT) has been used to characterize the distribution of In atoms within non-polar a-plane InGaN quantum wells (QWs) grown on a GaN pseudo-substrate produced using epitaxial lateral overgrowth. Application of the focused ion beam microscope enabled APT needles to be prepared from the low defect density regions of the grown sample. A complementary analysis was also undertaken on QWs having comparable In contents grown on polar c-plane sample pseudo-substrates. Both frequency distribution and modified nearest neighbor analyses indicate a statistically non-randomized In distribution in the a-plane QWs, but a random distribution in the c-plane QWs. This work not only provides insights into the structure of non-polar a-plane QWs but also shows that APT is capable of detecting as-grown nanoscale clustering in InGaN and thus validates the reliability of earlier APT analyses of the In distribution in c-plane InGaN QWs which show no such clustering.

  20. Quantum-Well Infrared Photodetector (QWIP) Focal Plane Assembly

    Science.gov (United States)

    Jhabvala, Murzy; Jhabvala, Christine A.; Ewin, Audrey J.; Hess, Larry A.; Hartmann, Thomas M.; La, Anh T.

    2012-01-01

    A paper describes the Thermal Infrared Sensor (TIRS), a QWIP-based instrument intended to supplement the Operational Land Imager (OLI) for the Landsat Data Continuity Mission (LDCM). The TIRS instrument is a far-infrared imager operating in the pushbroom mode with two IR channels: 10.8 and 12 microns. The focal plane will contain three 640x512 QWIP arrays mounted on a silicon substrate. The silicon substrate is a custom-fabricated carrier board with a single layer of aluminum interconnects. The general fabrication process starts with a 4-in. (approx.10-cm) diameter silicon wafer. The wafer is oxidized, a single substrate contact is etched, and aluminum is deposited, patterned, and alloyed. This technology development is aimed at incorporating three large-format infrared detecting arrays based on GaAs QWIP technology onto a common focal plane with precision alignment of all three arrays. This focal plane must survive the rigors of flight qualification and operate at a temperature of 43 K (-230 C) for five years while orbiting the Earth. The challenges presented include ensuring thermal compatibility among all the components, designing and building a compact, somewhat modular system and ensuring alignment to very tight levels. The multi-array focal plane integrated onto a single silicon substrate is a new application of both QWIP array development and silicon wafer scale integration. The Invar-based assembly has been tested to ensure thermal reliability.

  1. Cracking of GaN on sapphire from etch-process-induced nonuniformity in residual thermal stress

    International Nuclear Information System (INIS)

    Lacroix, Yves; Chung, Sung-Hoon; Sakai, Shiro

    2001-01-01

    An experiment was performed to explain the appearance of cracks along mesa structures during the processing of GaN device layers grown on sapphire substrates. Micro-Raman spectroscopy was used to measure the position-dependent stress in the GaN layer. We show evidence that the stress at the interface with the substrate may be larger along the mesa structures than that of the as-grown layer, and that this increase in stress can be enough to induce cracks along mesa structures during processing. We report on the formation of cracks that propagate guided by the nonuniformity of the stress induced by the formation of mesa structures in the GaN layer, independent of crystal direction. The understanding of cracking mechanisms has implications in GaN-based device structures that require heteroepitaxial growth of layers with different lattice size and thermal expansion coefficients. [copyright] 2001 American Institute of Physics

  2. Material and device studies for the development of ultra-violet light emitting diodes (UV-LEDS) along polar, non-polar and semi-polar directions

    Science.gov (United States)

    Chandrasekaran, Ramya

    Over the past few years, significant effort was dedicated to the development of ultraviolet light emitting diodes (UV-LEDs) for a variety of applications. Such applications include chemical and biological detection, water purification and solid-state lighting. III-Nitride LEDs based on multiple quantum wells (MQWs) grown along the conventional [0001] (polar) direction suffer from the quantum confined Stark effect (QCSE), due to the existence of strong electric fields that arise from spontaneous and piezoelectric polarization. Thus, there is strong motivation to develop MQW-based III-nitride LED structures grown along non-polar and semi-polar directions. The goal of this dissertation is to develop UV-LEDs along the [0001] polar and [11 2¯ 0] non-polar directions by the method of Molecular Beam Epitaxy (MBE). The polar and non-polar LEDs were grown on the C-plane and R-plane sapphire substrates respectively. This work is a combination of materials science studies related to the nucleation, growth and n- and p-type doping of III-nitride films on these two substrates, as well as device studies related to fabrication and characterization of UV-LEDs. It was observed that the crystallographic orientation of the III-nitride films grown on R-plane sapphire depends strongly on the kinetic conditions of growth of the Aluminum Nitride (AIN) buffer. Specifically, growth of the AIN buffer under group III-rich conditions leads to nitride films having the (11 2¯ 0) non polar planes parallel to the sapphire surface, while growth of the buffer under nitrogen rich conditions leads to nitride films with the (11 2¯ 6) semi-polar planes parallel to the sapphire surface. The electron concentration and mobility for the films grown along the polar, non-polar and semi-polar directions were investigated. P-type doping of Gallium Nitride (GaN) films grown on the nonpolar (11 2¯ 0) plane do not suffer from polarity inversion and thus the material was doped p-type with a hole concentration

  3. Development of a templated approach to fabricate diamond patterns on various substrates.

    Science.gov (United States)

    Shimoni, Olga; Cervenka, Jiri; Karle, Timothy J; Fox, Kate; Gibson, Brant C; Tomljenovic-Hanic, Snjezana; Greentree, Andrew D; Prawer, Steven

    2014-06-11

    We demonstrate a robust templated approach to pattern thin films of chemical vapor deposited nanocrystalline diamond grown from monodispersed nanodiamond (mdND) seeds. The method works on a range of substrates, and we herein demonstrate the method using silicon, aluminum nitride (AlN), and sapphire substrates. Patterns are defined using photo- and e-beam lithography, which are seeded with mdND colloids and subsequently introduced into microwave assisted chemical vapor deposition reactor to grow patterned nanocrystalline diamond films. In this study, we investigate various factors that affect the selective seeding of different substrates to create high quality diamond thin films, including mdND surface termination, zeta potential, surface treatment, and plasma cleaning. Although the electrostatic interaction between mdND colloids and substrates is the main process driving adherence, we found that chemical reaction (esterification) or hydrogen bonding can potentially dominate the seeding process. Leveraging the knowledge on these different interactions, we optimize fabrication protocols to eliminate unwanted diamond nucleation outside the patterned areas. Furthermore, we have achieved the deposition of patterned diamond films and arrays over a range of feature sizes. This study contributes to a comprehensive understanding of the mdND-substrate interaction that will enable the fabrication of integrated nanocrystalline diamond thin films for microelectronics, sensors, and tissue culturing applications.

  4. Detection of solar neutrinos with a torsion balance with sapphire crystal

    Science.gov (United States)

    Cruceru, M.; Nicolescu, G.

    2018-01-01

    The solar neutrinos (antineutrinos) are detected with a dedicated torsion balance in the case when they interact coherently on stiff crystals (sapphire with high Debye temperature ∼1000K and lead with ∼100K Debye temperature). The balance consists in two equal masses of lead and sapphire, of 25g. An autocollimator coupled to this balance measures small rotation angles of the balance. The force with which neutrino flux interacts with these crystals is between 10-5 dyn and 10-8 dyn, comparable with that reported in Weber’s experiments [1]. A diurnal effect is observed for solar neutrinos due to the rotation of the Earth around its own axes. The solar neutrino flux obtained at the site of our experiment is ∼3.8*1010neutrinos/cm2*s [2]. Experimental data for neutrinos signals from this high sensitivity torsion balance are presented and commented [3].

  5. Comprehensive strain and band gap analysis of PA-MBE grown AlGaN/GaN heterostructures on sapphire with ultra thin buffer

    International Nuclear Information System (INIS)

    Mahata, Mihir Kumar; Ghosh, Saptarsi; Jana, Sanjay Kumar; Bag, Ankush; Kumar, Rahul; Chakraborty, Apurba; Biswas, Dhrubes; Mukhopadhyay, Partha

    2014-01-01

    In this work, cluster tool (CT) Plasma Assisted Molecular Beam Epitaxy (PA-MBE) grown AlGaN/GaN heterostructure on c-plane (0 0 0 1) sapphire (Al 2 O 3 ) were investigated by High Resolution X-ray Diffraction (HRXRD), Room Temperature Raman Spectroscopy (RTRS), and Room Temperature Photoluminescence (RTPL). The effects of strain and doping on GaN and AlGaN layers were investigated thoroughly. The out-of-plane (‘c’) and in-plane (‘a’) lattice parameters were measured from RTRS analysis and as well as reciprocal space mapping (RSM) from HRXRD scan of (002) and (105) plane. The in-plane (out-of plane) strain of the samples were found to be −2.5 × 10 −3 (1 × 10 −3 ), and −1.7 × 10 −3 (2 × 10 −3 ) in GaN layer and 5.1 × 10 −3 (−3.3 × 10 −3 ), and 8.8 × 10 −3 (−1.3 × 10 −3 ) in AlGaN layer, respectively. In addition, the band structures of AlGaN/GaN interface were estimated by both theoretical (based on elastic theory) and experimental observations of the RTPL spectrum

  6. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  7. Sapphire scintillation tests for cryogenic detectors in the Edelweiss dark matter search

    Energy Technology Data Exchange (ETDEWEB)

    Luca, M

    2007-07-15

    Identifying the matter in the universe is one of the main challenges of modern cosmology and astrophysics. An important part of this matter seems to be made of non-baryonic particles. Edelweiss is a direct dark matter search using cryogenic germanium bolometers in order to look for particles that interact very weakly with the ordinary matter, generically known as WIMPs (weakly interacting massive particles). An important challenge for Edelweiss is the radioactive background and one of the ways to identify it is to use a larger variety of target crystals. Sapphire is a light target which can be complementary to the germanium crystals already in use. Spectroscopic characterization studies have been performed using different sapphire samples in order to find the optimum doping concentration for good low temperature scintillation. Ti doped crystals with weak Ti concentrations have been used for systematic X ray excitation tests both at room temperature and down to 30 K. The tests have shown that the best Ti concentration for optimum room temperature scintillation is 100 ppm and 50 ppm at T = 45 K. All concentrations have been checked by optical absorption and fluorescence. After having shown that sapphire had interesting characteristics for building heat-scintillation detectors, we have tested if using a sapphire detector was feasible within a dark matter search. During the first commissioning tests of Edelweiss-II, we have proved the compatibility between a sapphire heat scintillation detector and the experimental setup. (author)

  8. In situ crystallization of b-oriented MFI films on plane and curved substrates coated with a mesoporous silica layer

    KAUST Repository

    Deng, Zhiyong

    2013-05-01

    A simple and reproducible method is presented for preparing b-oriented MFI films on plane (disc) and curved (hollow fiber) supports by in situ hydrothermal synthesis. A mesoporous silica (sub-)layer was pre-coated on the supports by dip coating followed by a rapid thermal calcination step (973 K during 1 min) to reduce the number of grain boundaries while keeping the hydrophilic behavior of silica. The role of the silica sub-layer is not only to smoothen the substrate surface, but also to provide a silica source to promote the nucleation and growth of zeolite crystals via a heterogeneous nucleation mechanism (zeolitization), and adsorb zeolite moieties generated in the synthesis solution via a homogeneous nucleation mechanism. A monolayer of b-oriented MFI crystals was obtained on both supports after 3 h synthesis time with a moderate degree of twinning on the surface. © 2013 Elsevier Ltd.

  9. Shock-Assisted Superficial Hexagonal-to-Cubic Phase Transition in GaN/Sapphire Interface Induced by Using Ultra-violet Laser Lift-Of Techniques

    International Nuclear Information System (INIS)

    Wei-Hua, Chen; Xiao-Dong, Hu; Xiang-Ning, Kang; Xu-Rong, Zhou; Xiao-Min, Zhang; Tong-Jun, Yu; Zhi-Jian, Yang; Ke, Xu; Guo-Yi, Zhang; Xu-Dong, Shan; Li-Ping, You

    2009-01-01

    Ultra-violet (KrF excimer laser, λ = 248 nm) laser lift-of (LLO) techniques have been operated to the GaN/sapphire structure to separate GaN from the sapphire substrate. Hexagonal to cubic phase transformation induced by the ultra-violet laser lift-of (UV-LLO) has been characterized by micro-Raman spectroscopy, micro-photoluminescence, along with high-resolution transmission electron microscopy (HRTEM). HRTEM indicates that UV-LLO induced phase transition takes place above the LLO interface, without phase transition under the LLO interface. The formed cubic GaN often exists as nanocrystal grains attaching on the bulk hexagonal GaN. The half-loop-cluster-like UV-LLO interface indicates that the LLO-induced shock waves has generated and played an assistant role in the decomposition of the hexagonal GaN and in the formation of cubic GaN grains at the LLO surface

  10. Bi-epitaxial tilted out-of-plane YBCO junctions on NdGaO{sub 3} substrates with YSZ seeding layer

    Energy Technology Data Exchange (ETDEWEB)

    Mozhaev, P.B. (Institute of Physics and Technology RAS, Moscow (Russian Federation)); Mozhaev, J.E.; Bindslev Hansen, J.; Jacobsen, C.S. (Technical Univ. of Denmark, Dept. of Physics, Kgs. Lyngby (Denmark)); Kotelyanskil, I.M.; Luzanov, V.A. (Institute of Radio Engineering and Electronics RAS, Moscow (Russian Federation)); Benacka, S.; Strbik, V. (Institute of Electrical Engineering SAS, Bratislava (SK))

    2008-10-15

    Bi-epitaxial junctions with out-of plane tilt of the c axis were fabricated of YBCO superconducting thin films on NdGaO{sub 3} substrates with different miscut angles. Bi-epitaxial growth was provided by implementation of an Y:ZrO{sub 2} seeding layer on a certain part of the substrate. Junctions with different orientation of the bi-epitaxial boundaries were fabricated, their DC electrical properties were studied as a function of the boundary orientation angle. The junctions showed extremely high critical current densities for all tested miscut angles and bi-epitaxial boundary orientations (about 105 A/cm2 at 77 K and up to 106 A/cm2 at 4.2 K). The dependence of critical current density on the bi-epitaxial boundary orientation angle may be explained as an effect of a d-wave pairing mechanism in the HTSC with the simple Sigrist-Rice model. The studied boundaries may be considered as model structures for the grain boundaries in the coated conductors. (au)

  11. Sapphire: a better material for atomization and in situ collection of silver volatile species for atomic absorption spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Musil, Stanislav, E-mail: stanomusil@biomed.cas.cz; Matoušek, Tomáš; Dědina, Jiří

    2015-06-01

    Sapphire is presented as a high temperature and corrosion resistant material of an optical tube of an atomizer for volatile species of Ag generated by the reaction with NaBH{sub 4}. The modular atomizer design was employed which allowed to carry out the measurements in two modes: (i) on-line atomization and (ii) in situ collection (directly in the optical tube) by means of excess of O{sub 2} over H{sub 2} in the carrier gas during the trapping step and vice versa in the volatilization step. In comparison with quartz atomizers, the sapphire tube atomizer provides a significantly increased atomizer lifetime as well as substantially improved repeatability of the Ag in situ collection signals shapes. In situ collection of Ag in the sapphire tube atomizer was highly efficient (> 90%). Limit of detection in the on-line atomization mode and in situ collection mode, respectively, was 1.2 ng ml{sup −1} and 0.15 ng ml{sup −1}. - Highlights: • Sapphire was tested as a new material of an atomizer tube for Ag volatile species. • Two measurement modes were investigated: on-line atomization and in situ collection. • In situ collection of Ag was highly efficient (> 90%) with LOD of 0.15 ng ml{sup −1}. • No devitrification of the sapphire tube observed in the course of several months.

  12. Generation of continuous-wave single-frequency 1.5 W 378 nm radiation by frequency doubling of a Ti:sapphire laser.

    Science.gov (United States)

    Cha, Yong-Ho; Ko, Kwang-Hoon; Lim, Gwon; Han, Jae-Min; Park, Hyun-Min; Kim, Taek-Soo; Jeong, Do-Young

    2010-03-20

    We have generated continuous-wave single-frequency 1.5 W 378 nm radiation by frequency doubling a high-power Ti:sapphire laser in an external enhancement cavity. An LBO crystal that is Brewster-cut and antireflection coated on both ends is used for a long-term stable frequency doubling. By optimizing the input coupler's reflectivity, we could generate 1.5 W 378 nm radiation from a 5 W 756 nm Ti:sapphire laser. According to our knowledge, this is the highest CW frequency-doubled power of a Ti:sapphire laser.

  13. Growth and characterizations of semipolar (1122) InN

    International Nuclear Information System (INIS)

    Dinh, Duc V.; Skuridina, D.; Solopow, S.; Frentrup, M.; Pristovsek, M.; Vogt, P.; Kneissl, M.; Ivaldi, F.; Kret, S.; Szczepańska, A.

    2012-01-01

    We report on metal-organic vapor phase epitaxial growth of (1122) InN on (1122) GaN templates on m-plane (1010) sapphire substrates. The in-plane relationship of the (1122) InN samples is [1123] InN ‖‖[0001] sapphire and [1100] InN ‖‖[1210] sapphire , replicating the in-plane relationship of the (1122) GaN templates. The surface of the (1122) InN samples and the (1122) GaN templates shows an undulation along [1100] InN,GaN , which is attributed to anisotropic diffusion of indium/gallium atoms on the (1122) surfaces. The growth rate of the (1122) InN layers was 3-4 times lower compared to c-plane (0001) InN. High resolution transmission electron microscopy showed a relaxed interface between the (1122) InN layers and the (1122) GaN templates, consistent with x-ray diffraction results. Basal plane stacking faults were found in the (1122) GaN templates but they were terminated at the InN/(1122) GaN interface due to the presence of misfit dislocations along the entire InN/GaN interface. The misfit dislocations were contributed to the fully relaxation and the tilts of the (1122) InN layers. X-ray photoelectron spectroscopy was used to determine the polarity of the grown (1122) InN sample, indicating an In-polar (1122) InN. The valence band maximum was determined to be at (1.7 ± 0.1) eV for the (1122) InN sample, comparable to In-polar c-plane InN.

  14. Long-range current flow and percolation in Rabbits-type conductors and the relative importance of out-of-plane and in-plane mis orientations in determining J {sub c}

    Energy Technology Data Exchange (ETDEWEB)

    Goyal, A. [Oak Ridge National Laboratory, 1 Bethel Valley Road, Oak Ridge, TN 37831-6116 (United States)]. E-mail: goyala@ornl.gov; Rutter, N. [Oak Ridge National Laboratory, 1 Bethel Valley Road, Oak Ridge, TN 37831-6116 (United States); University of Cambridge, Pembroke St., Cambridge CB2 3QZ (United Kingdom); Cantoni, C. [Oak Ridge National Laboratory, 1 Bethel Valley Road, Oak Ridge, TN 37831-6116 (United States); Lee, D.F. [Oak Ridge National Laboratory, 1 Bethel Valley Road, Oak Ridge, TN 37831-6116 (United States)

    2005-10-01

    Calculations of long-range current flow using an advanced percolation model show that with the presently observed texture in RABiTS substrates, the dependence of J {sub c} on length as a function of width is greatly reduced. Furthermore, this dependence becomes almost negligible in applied fields. These results suggest that sub-division of a wide conductor into narrow filaments should be possible without loss in J {sub c}. The relative importance of the out-of-plane texture in affecting intergranular J {sub c} was also explored by fabricating RABiTS substrates with different out-of-plane textures but approximately the same in-plane texture. This was accomplished by using TiN as a seed layer for which significant sharpening of the out-of-plane texture is observed. Similar J {sub c} was found for samples with differing out-of-plane texture but almost the same in-plane texture. Finally, separation of the total misorientation in GB networks into in-plane and out-of-plane misorientations using manipulations in Rodrigues space shows that J {sub c} correlates best with in-plane texture.

  15. Solid state dewetting and stress relaxation in a thin single crystalline Ni film on sapphire

    International Nuclear Information System (INIS)

    Rabkin, E.; Amram, D.; Alster, E.

    2014-01-01

    In this study, we deposited a 80 nm thick single crystalline Ni film on a sapphire substrate. Heat treatment of this film at 1000 °C followed by slow cooling resulted in the formation of faceted holes, star-like channel instabilities and faceted microwires. The ridges at the rims of faceted holes and channels exhibited a twinning orientation relationship with the rest of the film. A sub-nanometer-high hexagonal topography pattern on the surface of the unperturbed film was observed by atomic force microscopy. No such pattern was observed on the top facets of isolated Ni particles and hole ridges. We discuss the observed dewetting patterns in terms of the effects of Ni surface anisotropy and faceting on solid state dewetting. The hexagonal pattern on the surface of the unperturbed film was attributed to thermal stress relaxation in the film via dislocations glide. This work demonstrates that solid state dewetting of single crystalline metal films can be utilized for film patterning and for producing hierarchical surface topographies

  16. Development of Cr,Nd:GSGG laser as a pumping source of Ti:sapphire laser

    International Nuclear Information System (INIS)

    Tamura, Koji; Arisawa, Takashi

    1999-08-01

    Since efficiency of Cr,Nd doped gadolinium scandium gallium garnet (GSGG) laser is in principle higher than that of Nd:YAG laser, it can be a highly efficient pumping source for Ti:sapphire laser. We have made GSGG laser, and measured its oscillation properties. It was two times more efficient than Nd:YAG laser at free running mode operation. At Q-switched mode operation, fundamental output of 50 mJ and second harmonics output of 8 mJ were obtained. The developed laser had appropriate spatial profile, temporal duration, long time stability for solid laser pumping. Ti:sapphire laser oscillation was achieved by the second harmonics of GSGG laser. (author)

  17. Direct pumping of ultrashort Ti:sapphire lasers by a frequency doubled diode laser

    DEFF Research Database (Denmark)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika

    2011-01-01

    electro-optical efficiency of the diode laser. Autocorrelation measurements show that pulse widths of less than 20 fs can be expected with an average power of 52 mW when using our laser. These results indicate the high potential of direct diode laser pumped Ti: sapphire lasers to be used in applications....... When using our diode laser system, the optical conversion efficiencies from green to near-infrared light reduces to 75 % of the values achieved with the commercial pump laser. Despite this reduction the overall efficiency of the Ti: sapphire laser is still increased by a factor > 2 due to the superior...... like retinal optical coherence tomography (OCT) or pumping of photonic crystal fibers for CARS (coherent anti-stokes Raman spectroscopy) microscopy....

  18. Network of vertically c-oriented prismatic InN nanowalls grown on c-GaN/sapphire template by chemical vapor deposition technique

    Science.gov (United States)

    Barick, B. K.; Saroj, Rajendra Kumar; Prasad, Nivedita; Sutar, D. S.; Dhar, S.

    2018-05-01

    Networks of vertically c-oriented prism shaped InN nanowalls, are grown on c-GaN/sapphire templates using a CVD technique, where pure indium and ammonia are used as metal and nitrogen precursors. A systematic study of the growth, structural and electronic properties of these samples shows a preferential growth of the islands along [ 1 1 2 bar 0 ] and [0 0 0 1] directions leading to the formation of such a network structure, where the vertically [0 0 0 1] oriented tapered walls are laterally align along one of the three [ 1 1 2 bar 0 ] directions. Inclined facets of these walls are identified as semipolar (1 1 2 bar 2) -planes of wurtzite InN. Onset of absorption for these samples is observed to be higher than the band gap of InN suggesting a high background carrier concentration in this material. Study of the valence band edge through XPS indicates the formation of positive depletion regions below the surface of the side facets [(1 1 2 bar 2) -planes] of the walls. This is in contrast with the observation for c-plane InN epilayers, where electron accumulation is often reported below the top surface.

  19. Comprehensive strain and band gap analysis of PA-MBE grown AlGaN/GaN heterostructures on sapphire with ultra thin buffer

    Energy Technology Data Exchange (ETDEWEB)

    Mahata, Mihir Kumar; Ghosh, Saptarsi; Jana, Sanjay Kumar; Bag, Ankush; Kumar, Rahul [Advanced Technology Development Center, Indian Institute of Technology, Kharagpur, 721302 (India); Chakraborty, Apurba; Biswas, Dhrubes [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur, 721302 (India); Mukhopadhyay, Partha [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur, 721302 (India)

    2014-11-15

    In this work, cluster tool (CT) Plasma Assisted Molecular Beam Epitaxy (PA-MBE) grown AlGaN/GaN heterostructure on c-plane (0 0 0 1) sapphire (Al{sub 2}O{sub 3}) were investigated by High Resolution X-ray Diffraction (HRXRD), Room Temperature Raman Spectroscopy (RTRS), and Room Temperature Photoluminescence (RTPL). The effects of strain and doping on GaN and AlGaN layers were investigated thoroughly. The out-of-plane (‘c’) and in-plane (‘a’) lattice parameters were measured from RTRS analysis and as well as reciprocal space mapping (RSM) from HRXRD scan of (002) and (105) plane. The in-plane (out-of plane) strain of the samples were found to be −2.5 × 10{sup −3}(1 × 10{sup −3}), and −1.7 × 10{sup −3}(2 × 10{sup −3}) in GaN layer and 5.1 × 10{sup −3} (−3.3 × 10{sup −3}), and 8.8 × 10{sup −3}(−1.3 × 10{sup −3}) in AlGaN layer, respectively. In addition, the band structures of AlGaN/GaN interface were estimated by both theoretical (based on elastic theory) and experimental observations of the RTPL spectrum.

  20. An injection modelocked Ti-sapphire laser for synchronous photoinjection

    International Nuclear Information System (INIS)

    Hovater, C.; Poelker, M.

    1997-01-01

    The CEBAF 4 GeV accelerator has recently begun delivering spin-polarized electrons for nuclear physics experiments. Spin-polarized electrons are emitted from a GaAs photocathode that is illuminated with pulsed laser light from a diode laser system synchronized to the injector chopping frequency (499 MHz). The present diode laser system is compact, reliable and relatively maintenance-free; however, output power is limited to less than 500 mW. In an effort to obtain higher average power and thereby prolong the effective operating lifetime of the source, they have constructed an injection modelocked Ti-sapphire laser with picosecond pulsewidths and gigahertz repetition rates. Modelocked operation is obtained through gain modulation within the Ti-sapphire crystal as a result of injection seeding with a gain-switched diode laser. Unlike conventional modelocked lasers, the pulse repetition rate of this laser can be discretely varied by setting the seed laser repetition rate equal to multiples of the Ti-sapphire laser cavity fundamental frequency. They observe pulse repetition rates from 223 MHz (fundamental) to 1,560 MHz (seventh harmonic) with average output power of 700 mW for all repetition rates. Pulsewidths ranged from 21 to 39 ps (FWHM) under various pump laser conditions

  1. Chemically stabilized epitaxial wurtzite-BN thin film

    Science.gov (United States)

    Vishal, Badri; Singh, Rajendra; Chaturvedi, Abhishek; Sharma, Ankit; Sreedhara, M. B.; Sahu, Rajib; Bhat, Usha; Ramamurty, Upadrasta; Datta, Ranjan

    2018-03-01

    We report on the chemically stabilized epitaxial w-BN thin film grown on c-plane sapphire by pulsed laser deposition under slow kinetic condition. Traces of no other allotropes such as cubic (c) or hexagonal (h) BN phases are present. Sapphire substrate plays a significant role in stabilizing the metastable w-BN from h-BN target under unusual PLD growth condition involving low temperature and pressure and is explained based on density functional theory calculation. The hardness and the elastic modulus of the w-BN film are 37 & 339 GPa, respectively measured by indentation along direction. The results are extremely promising in advancing the microelectronic and mechanical tooling industry.

  2. Neutron method for NDA in the Sapphire Project

    International Nuclear Information System (INIS)

    Lewis, K.D.

    1995-01-01

    The implementation of Project Sapphire, the top-secret mission to the Republic of Kazakhstan to recover weapons-grade nuclear materials, consisted of four major elements: (1) repacking of fissile material from Kazakh containers into suitable U.S. containers; (2) nondestructive analyses (NDA) to quantify the 235 U content of each container for nuclear criticality safety and compliance purposes; (3) packaging of the fissile material containers into 6M/2R drums, which are internationally approved for shipping fissile material; and (4) shipping or transport of the recovered fissile material to the United States. This paper discusses the development and application of a passive neutron counting technique used in the NDA phase of the Sapphire operations to analyze uranium/beryllium (U/Be) alloys and compounds for 235 U content

  3. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  4. Control of in-plane texture of body centered cubic metal thin films

    International Nuclear Information System (INIS)

    Harper, J.M.; Rodbell, K.P.; Colgan, E.G.; Hammond, R.H.

    1997-01-01

    We show that dramatically different in-plane textures can be produced in body centered cubic (bcc) metal thin films deposited on amorphous substrates under different deposition conditions. The crystallographic orientation distribution of polycrystalline bcc metal thin films on amorphous substrates often has a strong left-angle 110 right-angle fiber texture, indicating that {110} planes are parallel to the substrate plane. When deposition takes place under bombardment by energetic ions or atoms at an off-normal angle of incidence, the left-angle 110 right-angle fiber texture develops an in-plane texture, indicating nonrandom azimuthal orientations of the crystallites. Three orientations in Nb films have been observed under different deposition geometries, in which the energetic particle flux coincides with channeling directions in the bcc crystal structure. In-plane orientations in Mo films have also been obtained in magnetron sputtering systems with various configurations. These are described, and an example is given in which the in-plane orientation of Mo films deposited in two different in-line magnetron sputtering systems differs by a 90 degree rotation. In these two cases, there is a strong left-angle 110 right-angle fiber texture, but the in-plane left-angle 100 right-angle direction is oriented parallel to the scan direction in one system, and perpendicular to the scan direction in the other system. The conditions which produce such different in-plane textures in two apparently similar sputtering systems are discussed. copyright 1997 American Institute of Physics

  5. Single crystalline Er{sub 2}O{sub 3}:sapphire films as potentially high-gain amplifiers at telecommunication wavelength

    Energy Technology Data Exchange (ETDEWEB)

    Kuznetsov, A. S.; Sadofev, S.; Schäfer, P.; Kalusniak, S.; Henneberger, F., E-mail: fh@physik.hu-berlin.de [Institut für Physik, Humboldt-Universität zu Berlin, Newtonstr. 15, D-12489 Berlin (Germany)

    2014-11-10

    Single crystalline thin films of Er{sub 2}O{sub 3}, demonstrating efficient 1.5 μm luminescence of Er{sup 3+} at room temperature were grown on Al{sub 2}O{sub 3} substrate by molecular beam epitaxy. The absorption coefficient at 1.536 μm was found to reach 270 cm{sup −1} translating in a maximal possible gain of 1390 dBcm{sup −1}. In conjunction with the 10% higher refractive index as compared to Al{sub 2}O{sub 3}, this opens the possibility to use Er{sub 2}O{sub 3}:sapphire films as short-length waveguide amplifiers in telecommunication.

  6. Investigation of GaN-based light emitting diodes with nano-hole patterned sapphire substrate (NHPSS) by nano-imprint lithography

    International Nuclear Information System (INIS)

    Huang, H.W.; Lin, C.H.; Huang, J.K.; Lee, K.Y.; Lin, C.F.; Yu, C.C.; Tsai, J.Y.; Hsueh, R.; Kuo, H.C.; Wang, S.C.

    2009-01-01

    In this paper, gallium-nitride (GaN)-based light-emitting diodes (LEDs) with nano-hole patterned sapphire (NHPSS) by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with NHPSS increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.33, and the wall-plug efficiency is 30% higher at 20 mA indicating that the LED with NHPSS had larger light extraction efficiency. In addition, by examining the radiation patterns, the LED with NHPSS shows stronger light extraction with a wider view angle. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography.

  7. TEM characterization of catalyst- and mask-free grown GaN nanorods

    International Nuclear Information System (INIS)

    Schowalter, M; Aschenbrenner, T; Kruse, C; Hommel, D; Rosenauer, A

    2010-01-01

    Catalyst- and mask-free grown GaN nanorods have been investigated using transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM) and energy filtered transmission electron microscopy (EFTEM). The nanorods were grown on nitridated r-plane sapphire substrates in a molecular beam epitaxy reactor. We investigated samples directly after the nitridation and after the overgrowth of the structure with GaN. High resolution transmission electron microscopy (HRTEM) and EFTEM revealed that AlN islands have formed due to nitridation. After overgrowth, the AlN islands could not be observed any more, neither by EFTEM nor by Z-contrast imaging. Instead, a smooth layer consisting of AlGaN was found. The investigation of the overgrown sample revealed that an a-plane GaN layer and GaN nanorods on top of the a-plane GaN have formed. The nanorods reduced from top of the a-plane GaN towards the a-plane GaN/sapphire interface suggesting that the nanorods originate at the AlN islands found after nitridation. However, this could not be shown unambiguously. The number of threading dislocations in the nanorods was very low. The analysis of the epitaxial relationship to the a-plane GaN showed that the nanorods grew along the [000-1] direction, and the [1-100] direction of the rods was parallel to the [0001] direction of the a-plane GaN.

  8. Surface morphology and in-plane-epitaxy of SmBa2Cu3O7-δ films on SrTiO3 (001) substrates studied by STM and grazing incidence x-ray diffraction

    DEFF Research Database (Denmark)

    Jiang, Q.D.; Smilgies, D.M.; Feidenhans'l, R.

    1996-01-01

    The surface morphology and in-plane epitaxy of thin films of SmBa(2)Cu3O(7-delta) (Sm-BCO) grown on SrTiO3 (001) substrates with various thicknesses have been investigated by scanning tunneling microscopy (STM) and grazing incidence x-ray diffraction (GIXRD). As revealed by GIXRD, SmBCO films as ...... films above h(c2), introduction of screw dislocations leads to spiral growth.......The surface morphology and in-plane epitaxy of thin films of SmBa(2)Cu3O(7-delta) (Sm-BCO) grown on SrTiO3 (001) substrates with various thicknesses have been investigated by scanning tunneling microscopy (STM) and grazing incidence x-ray diffraction (GIXRD). As revealed by GIXRD, SmBCO films...... substrate. Three different types of surface morphology were observed by STM with increasing film thickness h: a) 2D growth for hh(c2). With GIXRD, a density modulation is observed in the films with a thickness below h(c2). For thicker...

  9. MgB2 thin films on silicon nitride substrates prepared by an in situ method

    International Nuclear Information System (INIS)

    Monticone, Eugenio; Gandini, Claudio; Portesi, Chiara; Rajteri, Mauro; Bodoardo, Silvia; Penazzi, Nerino; Dellarocca, Valeria; Gonnelli, Renato S

    2004-01-01

    Large-area MgB 2 thin films were deposited on silicon nitride and sapphire substrates by co-deposition of Mg and B. After a post-annealing in Ar atmosphere at temperatures between 773 and 1173 K depending on the substrate, the films showed a critical temperature higher than 35 K with a transition width less than 0.5 K. The x-ray diffraction pattern suggested a c-axis preferential orientation in films deposited on amorphous substrate. The smooth surface and the good structural properties of these MgB 2 films allowed their reproducible patterning by a standard photolithographic process down to dimensions of the order of 10 μm and without a considerable degradation of the superconducting properties

  10. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    Science.gov (United States)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  11. Molecular Beam Epitaxy Growth of High Crystalline Quality LiNbO3

    Science.gov (United States)

    Tellekamp, M. Brooks; Shank, Joshua C.; Goorsky, Mark S.; Doolittle, W. Alan

    2016-12-01

    Lithium niobate is a multi-functional material with wide reaching applications in acoustics, optics, and electronics. Commercial applications for lithium niobate require high crystalline quality currently limited to bulk and ion sliced material. Thin film lithium niobate is an attractive option for a variety of integrated devices, but the research effort has been stagnant due to poor material quality. Both lattice matched and mismatched lithium niobate are grown by molecular beam epitaxy and studied to understand the role of substrate and temperature on nucleation conditions and material quality. Growth on sapphire produces partially coalesced columnar grains with atomically flat plateaus and no twin planes. A symmetric rocking curve shows a narrow linewidth with a full width at half-maximum (FWHM) of 8.6 arcsec (0.0024°), which is comparable to the 5.8 arcsec rocking curve FWHM of the substrate, while the film asymmetric rocking curve is 510 arcsec FWHM. These values indicate that the individual grains are relatively free of long-range disorder detectable by x-ray diffraction with minimal measurable tilt and twist and represents the highest structural quality epitaxial material grown on lattice mismatched sapphire without twin planes. Lithium niobate is also grown on lithium tantalate producing high quality coalesced material without twin planes and with a symmetric rocking curve of 193 arcsec, which is nearly equal to the substrate rocking curve of 194 arcsec. The surface morphology of lithium niobate on lithium tantalate is shown to be atomically flat by atomic force microscopy.

  12. Sharpness and intensity modulation of the metal-insulator transition in ultrathin VO2 films by interfacial structure manipulation

    Science.gov (United States)

    McGee, Ryan; Goswami, Ankur; Pal, Soupitak; Schofield, Kalvin; Bukhari, Syed Asad Manzoor; Thundat, Thomas

    2018-03-01

    Vanadium dioxide (VO2) undergoes a structural transformation from monoclinic (insulator) to tetragonal (metallic) upon heating above 340 K, accompanied by abrupt changes to its electronic, optical, and mechanical properties. Not only is this transition scientifically intriguing, but there are also numerous applications in sensing, memory, and optoelectronics. Here we investigate the effect different substrates and the processing conditions have on the characteristics metal-insulator transition (MIT), and how the properties can be tuned for specific applications. VO2 thin films were grown on c -plane sapphire (0001) and p-type silicon by pulsed laser deposition. High-resolution x-ray diffraction along with transmission electron microscopy reveals textured epitaxial growth on sapphire by domain-matching epitaxy, while the presence of a native oxide layer on silicon prevented any preferential growth resulting in a polycrystalline film. An orientation relationship of (010)VO2|| (0001)Al 2O3 was established for VO2 grown on sapphire, while no such relationship was found for VO2 grown on silicon. Surface-energy minimization is the driving force behind grain growth, as the lowest energy VO2 plane grew on silicon, while on sapphire the desire for epitaxial growth was dominant. Polycrystallinity of films grown on silicon caused a weaker and less prominent MIT than observed on sapphire, whose MIT was higher in magnitude and steeper in slope. The position of the MIT was shown to depend on the competing effects of misfit strain and grain growth. Higher deposition temperatures caused an increase in the MIT, while compressive strain resulted in a decreased MIT.

  13. Implementation of ZnO/ZnMgO strained-layer superlattice for ZnO heteroepitaxial growth on sapphire

    Science.gov (United States)

    Petukhov, Vladimir; Bakin, Andrey; Tsiaoussis, Ioannis; Rothman, Johan; Ivanov, Sergey; Stoemenos, John; Waag, Andreas

    2011-05-01

    The main challenge in fabrication of ZnO-based devices is the absence of reliable p-type material. This is mostly caused by insufficient crystalline quality of the material and not well-enough-developed native point defect control of ZnO. At present high-quality ZnO wafers are still expensive and ZnO heteroepitaxial layers on sapphire are the most reasonable alternative to homoepitaxial layers. But it is still necessary to improve the crystalline quality of the heteroepitaxial layers. One of the approaches to reduce defect density in heteroepitaxial layers is to introduce a strained-layer superlattice (SL) that could stop dislocation propagation from the substrate-layer interface. In the present paper we have employed fifteen periods of a highly strained SL structure. The structure was grown on a conventional double buffer layer comprising of high-temperature MgO/low-temperature ZnO on sapphire. The influence of the SLs on the properties of the heteroepitaxial ZnO layers is investigated. Electrical measurements of the structure with SL revealed very high values of the carrier mobility up to 210 cm2/Vs at room temperature. Structural characterization of the obtained samples showed that the dislocation density in the following ZnO layer was not reduced. The high mobility signal appears to come from the SL structure or the SL/ZnO interface.

  14. Thermal neutron scattering kernels for sapphire and silicon single crystals

    International Nuclear Information System (INIS)

    Cantargi, F.; Granada, J.R.; Mayer, R.E.

    2015-01-01

    Highlights: • Thermal cross section libraries for sapphire and silicon single crystals were generated. • Debye model was used to represent the vibrational frequency spectra to feed the NJOY code. • Sapphire total cross section was measured at Centro Atómico Bariloche. • Cross section libraries were validated with experimental data available. - Abstract: Sapphire and silicon are materials usually employed as filters in facilities with thermal neutron beams. Due to the lack of the corresponding thermal cross section libraries for those materials, necessary in calculations performed in order to optimize beams for specific applications, here we present the generation of new thermal neutron scattering kernels for those materials. The Debye model was used in both cases to represent the vibrational frequency spectra required to feed the NJOY nuclear data processing system in order to produce the corresponding libraries in ENDF and ACE format. These libraries were validated with available experimental data, some from the literature and others obtained at the pulsed neutron source at Centro Atómico Bariloche

  15. Synthesis of in-plane aligned a-axis YBa2Cu3O7-δ thin films

    International Nuclear Information System (INIS)

    Young, K.H.; Sun, J.Z.

    1991-01-01

    We report the successful synthesis of superconducting YBa 2 Cu 3 O 7-δ (YBCO) (100) thin films with alignment of the in-plane c axis. These films were grown on single crystal NdGaO 3 (110) substrates. The twofold symmetry of the substrate surface is believed to lead to anisotropic alignment of the in-plane c axis of the epitaxial YBCO (100) film. X-ray diffraction studies indicate that over 80% of the film grew epitaxially with the YBCO [100] perpendicular to the substrate surface, and YBCO [001] aligned along one pseudo-cubic axis of the NdGaO 3 . The superconductivity onset of the film was measured to be 89 K by ac susceptibility

  16. Thickness Measurement of a Film on a Substrate by Low-Frequency Ultrasound

    Institute of Scientific and Technical Information of China (English)

    LI Ming-Xuan; WANG Xiao-Min; MAO Jie

    2004-01-01

    @@ We describe a new simple technique for the low-frequency ultrasonic thickness measurement of an air-backed soft thin layer attached on a hard substrate of finite thickness through the frequency-shifts of the substrate resonances by the substrate-side insonification. A plane compressive wave impinging normally on the substrate surface from a liquid is studied. Low frequency here means an interrogating acoustical wave frequency of less than half of coating to the substrate. Equations for the frequency-shifts are derived and solved by the Newton iterative method and the Taylor expansion method, respectively, indicating satisfactory agreement within the range of interest of thickness ratio of the thin layer to the substrate for a polymer-aluminium structure. An experimental setup is constructed to verify the validity of the technique.

  17. Polarized neutron reflectivity from monolayers of self-assembled magnetic nanoparticles.

    Science.gov (United States)

    Mishra, D; Petracic, O; Devishvili, A; Theis-Bröhl, K; Toperverg, B P; Zabel, H

    2015-04-10

    We prepared monolayers of iron oxide nanoparticles via self-assembly on a bare silicon wafer and on a vanadium film sputter deposited onto a plane sapphire substrate. The magnetic configuration of nanoparticles in such a dense assembly was investigated by polarized neutron reflectivity. A theoretical model fit shows that the magnetic moments of nanoparticles form quasi domain-like configurations at remanence. This is attributed to the dipolar coupling amongst the nanoparticles.

  18. Growth of Low Defect Density Gallium Nitride (GaN) Films on Novel Tantalum Carbide (TaC) Substrates for Improved Device Performance

    Science.gov (United States)

    2009-05-01

    2 Figure 2. Schematic of a Schottky diode structure (a) grown on an insulating substrate such as sapphire that requires front side...an on-axis substrate at 1000 °C taken (a) at a high magnification and (b) in a region where micropores were observed. ..........8 Figure 5. The 5 x...is useful for vertical high power devices. It can also be made insulating by growing it in a very pure state, which is useful for lateral high

  19. Substrates effect on Zn1-xMnxO thin films grown by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Elanchezhiyan, J.; Bhuvana, K.P.; Gopalakrishnan, N.; Balasubramanian, T.

    2008-01-01

    In this paper, we have presented the surface effect of the substrates on Mn doped ZnO (Zn 1-x Mn x O) thin films grown on Si(1 0 0) and sapphire [i.e. Al 2 O 3 (0 0 0 1)] by RF magnetron sputtering. These grown films have been characterized by X-ray diffraction (XRD), photoluminescence (PL) and vibrating sample magnetometer (VSM) to know its structural, optical and magnetic properties. All these properties have been found to be strongly influenced by the substrate surface on which the films have been deposited. The XRD results show that the Mn doped ZnO films deposited on Si(1 0 0) exhibit a polycrystalline nature whereas the films on sapphire substrate have only (0 0 2) preferential orientations indicating that the films are single crystalline. The studies of room temperature PL spectra reveal that the Zn 1-x Mn x O/Si(1 0 0) system is under severe compressive strain while the strain is almost relaxed in Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system. It has been observed from VSM studies that Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system shows ferromagnetic nature while the paramagnetic behaviour observed in Zn 1-x Mn x O/Si(1 0 0) system

  20. Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives: Synthesis and the effects on chemical mechanical polishing (CMP) performances of sapphire wafers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Tingting; Lei, Hong, E-mail: hong_lei2005@aliyun.com

    2017-08-15

    Highlights: • The novel Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives were synthesized by seed-introduced method. • The Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives exhibited lower Ra and higher MRR on sapphire during CMP. • The cores SiO{sub 2} were coated by the shells (SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds. • XPS analysis revealed the solid-state chemical reaction between Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives and sapphire during CMP. - Abstract: Abrasive is one of the most important factors in chemical mechanical polishing (CMP). In order to improve the polishing qualities of sapphire substrates, the novel Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were prepared by seed-induced growth method. In this work, there were a series of condensation reactions during the synthesis process of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the silica cores were coated by shells (which contains SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds in the Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives, which made the composite abrasives’ core-shell structure more sTable Scanning electron microscopy (SEM) showed that Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were spherical and uniform in size. And the acting mechanisms of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives on sapphire in CMP were investigated. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis and X-ray photoelectron spectroscopy (XPS) analysis demonstrated that the solid-state chemical reactions between the shells (which contained SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the sapphire occurred during the CMP process. Furthermore, Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives exhibited lower surface roughness and

  1. Influence of laser sputtering parameters on orientation of cerium oxide buffer layer on sapphire and properties of YBa2Cu3Ox superconducting film

    International Nuclear Information System (INIS)

    Mozhaev, P.B.; Ovsyannikov, G.A.; Skov, J.L.

    1999-01-01

    Effect of laser sputtering parameters on crystalline properties of CeO 2 buffer layers grown on (1102) orientation sapphire substrate and on properties of YBa 2 Cu 3 O x superconducting thin films was studied. It was shown that depending on the sputtering conditions one might observe growth of CeO 2 (100) and (111) orientations. Varying heater temperature, chamber pressure and density of laser ray energy on the target one managed to obtain mono-oriented buffer layer of the desired orientation [ru

  2. Controlling material birefringence in sapphire via self-assembled, sub-wavelength defects

    Science.gov (United States)

    Singh, Astha; Sharma, Geeta; Ranjan, Neeraj; Mittholiya, Kshitij; Bhatnagar, Anuj; Singh, B. P.; Mathur, Deepak; Vasa, Parinda

    2018-02-01

    Birefringence is the optical property of a material having a refractive index that depends on the polarization and propagation direction of light. Generally, this is an intrinsic optical property of a material and cannot be altered. Here, we report a novel technique—direct laser writing—that enables us to control the natural, material birefringence of sapphire over a broad range of wavelengths. The broadband form birefringence originating from self-assembled, periodic array of sub-wavelength (˜ 50-200 nm) defects created by laser writing, can enhance, suppress or maintain the material birefringence of sapphire without affecting its transparency range in visible or its surface quality.

  3. Characterization of some properties of SOS films on various substrates

    International Nuclear Information System (INIS)

    Borel, J.; Trilhe, J.; Montier, M.

    1975-01-01

    X ray topography has been used to study the grain boundaries of the insulating substrate (sapphire) and silicon film. It is difficult to use this technique with a good angular resolution but the spatial resolution is good and the electrical characteristics of the device can be studied in conjunction with the method. The rocking curve measurements improve the angular resolution but the covered area is rather large (2mmx20mm). A schematic view of the measuring set is shown. The ion probe is used to measure the nature and profiles of impurities within the material (the surface etching taking place in the presence of oxygen). As a result, it can be said that high concentrations of aluminium are present in silicon layers on sapphire Fortunately this aluminium is not electrically active and high silicon resistivities can be achieved. What must be studied in more details is the effect of this aluminium doping levels, and mobility profiles have been obtained that correlate with the Al doping profile (low values of mobility at the surface or at the interface [fr

  4. Efficient evaluation of epitaxial MoS2 on sapphire by direct band structure imaging

    Science.gov (United States)

    Kim, Hokwon; Dumcenco, Dumitru; Fregnaux, Mathieu; Benayad, Anass; Kung, Yen-Cheng; Kis, Andras; Renault, Olivier; Lanes Group, Epfl Team; Leti, Cea Team

    The electronic band structure evaluation of two-dimensional metal dichalcogenides is critical as the band structure can be greatly influenced by the film thickness, strain, and substrate. Here, we performed a direct measurement of the band structure of as-grown monolayer MoS2 on single crystalline sapphire by reciprocal-space photoelectron emission microscopy with a conventional laboratory ultra-violet He I light source. Arrays of gold electrodes were deposited onto the sample in order to avoid charging effects due to the insulating substrate. This allowed the high resolution mapping (ΔE = 0.2 eV Δk = 0.05 Å-1) of the valence states in momentum space down to 7 eV below the Fermi level. The high degree of the epitaxial alignment of the single crystalline MoS2 nuclei was verified by the direct momentum space imaging over a large area containing multiple nuclei. The derived values of the hole effective mass were 2.41 +/-0.05 m0 and 0.81 +/-0.05 m0, respectively at Γ and K points, consistent with the theoretical values of the freestanding monolayer MoS2 reported in the literature. HK acknowledges the french CEA Basic Technological Research program (RTB) for funding.

  5. New method for the determination of the defect profile in thin layers grown over a substrate

    International Nuclear Information System (INIS)

    Zubiaga, A.; Garcia, J.A.; Plazaola, F.; Tuomisto, F.; Zuniga, J.; Munoz-Sanjose, V.

    2007-01-01

    We present a new method to obtain information about the defect profile of films grown over high quality substrates. The method is valid, at least, for films where the positron mean-diffusion length is small. We have used the method for the case of ZnO films grown over sapphire, but the method can be generalized very easily to other film/substrate systems. Applying the method to the ratio of W and S parameters obtained from Doppler broadening measurements, W/S plots, one can determine the thickness of the film and the defect profile trend in the film, when mainly one positron trap is contributing to positron trapping within the film. Indeed, the quality of such a characterization is very important for the potential technological applications of the film. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. New method for the determination of the defect profile in thin layers grown over a substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zubiaga, A.; Garcia, J.A.; Plazaola, F. [Fisika Aplikatua II Saila, Euskal Herriko Unibertsitatea, Bilbao (Spain); Tuomisto, F. [Laboratory of Physics, Helsinki University of Technology, Espoo (Finland); Zuniga, J.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada i Electromagnetisme, Burjassot (Valencia) (Spain)

    2007-07-01

    We present a new method to obtain information about the defect profile of films grown over high quality substrates. The method is valid, at least, for films where the positron mean-diffusion length is small. We have used the method for the case of ZnO films grown over sapphire, but the method can be generalized very easily to other film/substrate systems. Applying the method to the ratio of W and S parameters obtained from Doppler broadening measurements, W/S plots, one can determine the thickness of the film and the defect profile trend in the film, when mainly one positron trap is contributing to positron trapping within the film. Indeed, the quality of such a characterization is very important for the potential technological applications of the film. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Photoluminescence characteristics of low indium composition InGaN thin films grown on sapphire by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng, Z.C.; Liu, W.; Chua, S.J.; Yu, J.W.; Yang, C.C.; Yang, T.R.; Zhao, J.

    2006-01-01

    The wavelength shifts in the photoluminescence (PL) from low indium composition (∼ 3%) InGaN epitaxial thin films, grown on sapphire substrates by metalorganic chemical vapour deposition, has been studied by a combination of experiment and theory. As temperature increases from 6 K, the PL peak energy red-shifts very slightly first, then blue-shifts to reach a maximum at near 100 K, and red-shifts again till room temperature. This unique PL behaviour, indicating the existence of the phase separation, is interpreted qualitatively from the spatial variation of band structure due to the In-compositional fluctuation. Theoretical calculation, based upon a model involving the band-tail states in the radiative recombination, explains the experimental data successfully

  8. Erbium medium temperature localised doping into lithium niobate and sapphire: A comparative study

    Czech Academy of Sciences Publication Activity Database

    Nekvindová, P.; Macková, Anna; Peřina, Vratislav; Červená, Jarmila; Čapek, P.; Schrofel, J.; Špirková, J.; Oswald, Jiří

    90-91, - (2003), s. 559-564 ISSN 1012-0394 Institutional research plan: CEZ:AV0Z1048901 Keywords : lithium niobate * sapphire * erbium Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.687, year: 2003

  9. Contribution to the microwave characterisation of superconductive materials by means of sapphire resonators

    International Nuclear Information System (INIS)

    Hanus, Xavier

    1993-01-01

    The objective of this research thesis is to find a compact resonant structure which would allow the residual surface impedance of superconductive samples to be simply, quickly and economically characterised. The author first explains why he decided to use a sapphire single-crystal as inner dielectric, given some performance reached by resonant structures equipped with such inner dielectrics, and given constraints adopted from the start. He explains the origin of microwave losses which appear in this type of resonant structure, i.e. respectively the surface impedance as far as metallic losses are concerned, and the sapphire dielectric loss angle for as far as dielectric losses are concerned. The experimental installation and the principle of microwave measurements are described. The performance of different possible solutions of resonant structures from starting criteria is presented. The solution of the cavity-sapphire with a TE 011 resonant mode is derived [fr

  10. HgCdTe photovoltaic detectors on Si substrates

    International Nuclear Information System (INIS)

    Zanio, K.R.; Bean, R.C.

    1988-01-01

    HgCdTe photovoltaic detectors have been fabricated on Si substrates through intermediate CdTe/GaAs layers. Encapsulation of the GaAs between the CdTe and Si prevents unintentional doping of the HgCdTe by Ga and As. Uniform epitaxial GaAs is grown on three inch diameter Si substrates. Detectors on such large area Si substrates will offer hybrid focal plane arrays whose dimensions are not limited by the difference between the coefficients of thermal expansion of the Si signal processor and the substrate for the HgCdTe detector array. The growth of HgCdTe detectors on the Si signal processors for monolithic focal plane arrays is also considered. 40 references

  11. Reduction of Residual Stresses in Sapphire Cover Glass Induced by Mechanical Polishing and Laser Chamfering Through Etching

    Directory of Open Access Journals (Sweden)

    Shih-Jeh Wu

    2016-10-01

    Full Text Available Sapphire is a hard and anti-scratch material commonly used as cover glass of mobile devices such as watches and mobile phones. A mechanical polishing using diamond slurry is usually necessary to create mirror surface. Additional chamfering at the edge is sometimes needed by mechanical grinding. These processes induce residual stresses and the mechanical strength of the sapphire work piece is impaired. In this study wet etching by phosphate acid process is applied to relief the induced stress in a 1” diameter sapphire cover glass. The sapphire is polished before the edge is chamfered by a picosecond laser. Residual stresses are measured by laser curvature method at different stages of machining. The results show that the wet etching process effectively relief the stress and the laser machining does not incur serious residual stress.

  12. High-temperature Josephson transition, formed in epitaxial step from CeO2 in the process of growth on a sapphire substrate

    International Nuclear Information System (INIS)

    Kotelyanskij, I.M.; Mashtakov, A.D.; Mozhaev, P.B.; Ovsyannikov, G.A.; Dukaev, Yu.M.

    1995-01-01

    Results on production and investigation into Josephson without applying the substrate surface ion etching, are presented for the first time. This method of stage formation allows one to obtain a practically defectless surface of lateral face and substrate. Besides, it allows one to form a stage of material, different from the substrate material

  13. Substrate considerations for graphene synthesis on thin copper films

    International Nuclear Information System (INIS)

    Howsare, Casey A; Robinson, Joshua A; Weng Xiaojun; Bojan, Vince; Snyder, David

    2012-01-01

    Chemical vapor deposition on copper substrates is a primary technique for synthesis of high quality graphene films over large areas. While well-developed processes are in place for catalytic growth of graphene on bulk copper substrates, chemical vapor deposition of graphene on thin films could provide a means for simplified device processing through the elimination of the layer transfer process. Recently, it was demonstrated that transfer-free growth and processing is possible on SiO 2 . However, the Cu/SiO 2 /Si material system must be stable at high temperatures for high quality transfer-free graphene. This study identifies the presence of interdiffusion at the Cu/SiO 2 interface and investigates the influence of metal (Ni, Cr, W) and insulating (Si 3 N 4 , Al 2 O 3 , HfO 2 ) diffusion barrier layers on Cu–SiO 2 interdiffusion, as well as graphene structural quality. Regardless of barrier choice, we find the presence of Cu diffusion into the silicon substrate as well as the presence of Cu–Si–O domains on the surface of the copper film. As a result, we investigate the choice of a sapphire substrate and present evidence that it is a robust substrate for synthesis and processing of high quality, transfer-free graphene. (paper)

  14. High-quality InN films on MgO (100) substrates: The key role of 30° in-plane rotation

    International Nuclear Information System (INIS)

    Compeán García, V. D.; López Luna, E.; Rodríguez, A. G.; Vidal, M. A.; Orozco Hinostroza, I. E.; Escobosa Echavarría, A.

    2014-01-01

    High crystalline layers of InN were grown on MgO(100) substrates by gas source molecular beam epitaxy. Good quality films were obtained by means of an in-plane rotation process induced by the annealing of an InN buffer layer to minimize the misfit between InN and MgO. In situ reflection high-energy electron diffraction showed linear streaky patterns along the [011 ¯ 0] azimuth and a superimposed diffraction along the [112 ¯ 0] azimuth, which correspond to a 30° α-InN film rotation. This rotation reduces the mismatch at the MgO/InN interface from 19.5% to less than 3.5%, increasing the structural quality, which was analyzed by high-resolution X-ray diffraction and Raman spectroscopy. Only the (0002) c plane diffraction of α-InN was observed and was centered at 2θ = 31.4°. Raman spectroscopy showed two modes corresponding to the hexagonal phase: E1(LO) at 591 cm −1 and E2(high) at 488 cm −1 . Hall effect measurements showed a carrier density of 9 × 10 18  cm −3 and an electron Hall mobility of 340 cm 2 /(V s) for a film thickness of 140 nm

  15. High resolution electron microscopy studies of interfaces between Al2O3 substrates and MBE grown Nb films

    International Nuclear Information System (INIS)

    Mayer, J.; Ruhle, M.; Dura, J.; Flynn, C.P.

    1991-01-01

    This paper reports on single crystal niobium films grown by Molecular Beam Epitaxy (MBE) on (001) S sapphire substrates. Cross-sectional specimens with thickness of 2 O 3 interface could be investigated by high resolution electron microscopy (HREM). The orientation relationship between the metal film and the ceramic substrate was verified by selected area diffraction: (111) Nb parallel (0001) S and [1 bar 10] Nb parallel [2 bar 1 bar 10] S . The atomistic structure of the interface was identified by HREM

  16. Single-transverse-mode Ti:sapphire rib waveguide laser

    NARCIS (Netherlands)

    Grivas, C.; Shepherd, D.P.; May-Smith, T.C.; Eason, R.W.; Pollnau, Markus

    2005-01-01

    Laser operation of Ti:sapphire rib waveguides fabricated using photolithography and ion beam etching in pulsed laser deposited layers is reported. Polarized laser emission was observed at 792.5 nm with an absorbed pump power threshold of 265 mW, which is more than a factor of 2 lower in comparison

  17. Spatially and spectrally resolved photoluminescence of InGaN MQWs grown on highly Si doped a-plane GaN buffer

    Energy Technology Data Exchange (ETDEWEB)

    Thunert, Martin; Wieneke, Matthias; Dempewolf, Anja; Bertram, Frank; Dadgar, Armin; Krost, Alois; Christen, Juergen [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany)

    2011-07-01

    A set of InGaN multi quantum well (MQW) samples grown by MOVPE on highly Si doped a-plane GaN on r-plane sapphire templates has been investigated using spatially resolved photoluminescence spectroscopy ({mu}-PL). The Si doping level of nominal about 10{sup 20} cm{sup -3} leads to three dimensionally grown crystallites mostly terminated by m-facets. The MQW thickness has been systematically varied from nominally 2.1 to 4.2 nm, as well as the InGaN growth temperature, which was varied from 760 C to 700 C. The growth of a-plane GaN based devices leads to a non-polar growth direction avoiding the polarization field affected Quantum-Confined-Stark-Effect. Spatially resolved PL studies show for all samples low near band edge (NBE) GaN emission intensity over the whole area under investigation accompanied by highly intense InGaN MQW emission for single crystallites. The MQW luminescence shows a systematic blueshift with increasing InGaN growth temperature due to lower In incorporation as well as a systematic redshift with increasing MQW thickness. Excitation power dependent spectra at 4 K as well as temperature dependent PL spectra will be presented.

  18. Indium gallium nitride/gallium nitride quantum wells grown on polar and nonpolar gallium nitride substrates

    Science.gov (United States)

    Lai, Kun-Yu

    Nonpolar (m-plane or a-plane) gallium nitride (GaN) is predicted to be a potential substrate material to improve luminous efficiencies of nitride-based quantum wells (QWs). Numerical calculations indicated that the spontaneous emission rate in a single In0.15Ga0.85N/GaN QW could be improved by ˜2.2 times if the polarization-induced internal field was avoided by epitaxial deposition on nonpolar substrates. A challenge for nonpolar GaN is the limited size (less than 10x10 mm2) of substrates, which was addressed by expansion during the regrowth by Hydride Vapor Phase Epitaxy (HVPE). Subsurface damage in GaN substrates were reduced by annealing with NH3 and N2 at 950°C for 60 minutes. It was additionally found that the variation of m-plane QWs' emission properties was significantly increased when the substrate miscut toward a-axis was increased from 0° to 0.1°. InGaN/GaN QWs were grown by Metalorganic Chemical Vapor Deposition (MOCVD) on c-plane and m-plane GaN substrates. The QWs were studied by cathodoluminescence spectroscopy with different incident electron beam probe currents (0.1 nA ˜ 1000 nA). Lower emission intensities and longer peak wavelengths from c-plane QWs were attributed to the Quantum-confined Stark Effect (QCSE). The emission intensity ratios of m-plane QWs to c-plane QWs decreased from 3.04 at 1 nA to 1.53 at 1000 nA. This was identified as the stronger screening effects of QCSE at higher current densities in c-plane QWs. To further investigate these effects in a fabricated structure, biased photoluminescence measurements were performed on m-plane InGaN/GaN QWs. The purpose was to detect the possible internal fields induced by the dot-like structure in the InGaN layer through the response of these internal fields under externally applied fields. No energy shifts of the QWs were observed, which was attributed to strong surface leakage currents.

  19. AlGaN/GaN HEMT structures on ammono bulk GaN substrate

    International Nuclear Information System (INIS)

    Kruszewski, P; Prystawko, P; Krysko, M; Smalc-Koziorowska, J; Leszczynski, M; Kasalynas, I; Nowakowska-Siwinska, A; Plesiewicz, J; Dwilinski, R; Zajac, M; Kucharski, R

    2014-01-01

    The work shows a successful fabrication of AlGaN/GaN high electron mobility transistor (HEMT) structures on the bulk GaN substrate grown by ammonothermal method providing an ultralow dislocation density of 10 4  cm −2  and wafers of size up to 2 inches in diameter. The AlGaN layers grown by metalorganic chemical vapor phase epitaxy method demonstrate atomically smooth surface, flat interfaces with reproduced low dislocation density as in the substrate. The test electronic devices—Schottky diodes and transistors—were designed without surface passivation and were successfully fabricated using mask-less laser-based photolithography procedures. The Schottky barrier devices demonstrate exceptionally low reverse currents smaller by a few orders of magnitude in comparison to the Schottky diodes made of AlGaN/GaN HEMT on sapphire substrate. (paper)

  20. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  1. Study of sapphire probe tip wear when scanning on different materials

    International Nuclear Information System (INIS)

    Nicolet, Anaïs; Küng, Alain; Meli, Felix

    2012-01-01

    The accuracy of today's coordinate measuring machines (CMM) has reached a level at which exact knowledge of each component is required. The role of the probe tip is particularly crucial as it is in contact with the sample surface. Understanding how the probe tip wears off will help to narrow the measurement errors. In this work, wear of a sapphire sphere was studied for different scanning conditions and with different sample materials. Wear depth on the probe was investigated using an automated process in situ on the METAS micro-CMM and completed by measurements with an atomic force microscope. We often found a linear dependence between the wear depth and the scan length ranging from 0.5 to 9 nm m −1 , due to variations in scan speed, contact force or sample material. In the case of steel, the wear rate is proportional to the scan speed, while for aluminum several processes seem to interact. A large amount of debris was visible after the tests. Except for aluminum, wear was visible only on the sphere and not on the sample. Sapphire/steel is the worst combination in terms of wear, whereas the combination sapphire/ceramic exhibits almost no wear. (paper)

  2. Frequency-doubled DBR-tapered diode laser for direct pumping of Ti:sapphire lasers generating sub-20 fs pulses

    DEFF Research Database (Denmark)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika

    2011-01-01

    For the first time a single-pass frequency doubled DBR-tapered diode laser suitable for pumping Ti:sapphire lasers generating ultrashort pulses is demonstrated. The maximum output powers achieved when pumping the Ti:sapphire laser are 110 mW (CW) and 82 mW (mode-locked) respectively at 1.2 W...... of pump power. This corresponds to a reduction in optical conversion efficiencies to 75% of the values achieved with a commercial diode pumped solid-state laser. However, the superior electro-optical efficiency of the diode laser improves the overall efficiency of the Ti:sapphire laser by a factor > 2....... The optical spectrum emitted by the Ti:sapphire laser when pumped with our diode laser shows a spectral width of 112 nm (FWHM). Based on autocorrelation measurements, pulse widths of less than 20 fs can therefore be expected....

  3. Frequency-doubled DBR-tapered diode laser for direct pumping of Ti:sapphire lasers generating sub-20 fs pulses.

    Science.gov (United States)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika; Le, Tuan; Stingl, Andreas; Hasler, Karl-Heinz; Sumpf, Bernd; Erbert, Götz; Andersen, Peter E; Petersen, Paul Michael

    2011-06-20

    For the first time a single-pass frequency doubled DBR-tapered diode laser suitable for pumping Ti:sapphire lasers generating ultrashort pulses is demonstrated. The maximum output powers achieved when pumping the Ti:sapphire laser are 110 mW (CW) and 82 mW (mode-locked) respectively at 1.2 W of pump power. This corresponds to a reduction in optical conversion efficiencies to 75% of the values achieved with a commercial diode pumped solid-state laser. However, the superior electro-optical efficiency of the diode laser improves the overall efficiency of the Ti:sapphire laser by a factor > 2. The optical spectrum emitted by the Ti:sapphire laser when pumped with our diode laser shows a spectral width of 112 nm (FWHM). Based on autocorrelation measurements, pulse widths of less than 20 fs can therefore be expected.

  4. Neurosurgery contact handheld probe based on sapphire shaped crystal

    Science.gov (United States)

    Shikunova, I. A.; Stryukov, D. O.; Rossolenko, S. N.; Kiselev, A. M.; Kurlov, V. N.

    2017-01-01

    A handheld contact probe based on sapphire shaped crystal is developed for intraoperative spectrally-resolved optical diagnostics, laser coagulation and aspiration of malignant brain tissue. The technology was integrated into the neurosurgical workflow for intraoperative real-time identification and removing of invasive brain cancer.

  5. Using a novel spectroscopic reflectometer to optimize a radiation-hardened submicron silicon-on-sapphire CMOS process

    International Nuclear Information System (INIS)

    Do, N.T.; Zawaideh, E.; Vu, T.Q.; Warren, G.; Mead, D.; Do, N.T.; Li, G.P.; Tsai, C.S.

    1999-01-01

    A radiation-hardened sub-micron silicon-on-sapphire CMOS process is monitored and optimized using a novel optical technique based on spectroscopic reflectometry. Quantitative measurements of the crystal quality, surface roughness, and device radiation hardness show excellent correlation between this technique and the Atomic Force Microscopy. (authors)

  6. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    Science.gov (United States)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  7. Testing of Sapphire Optical Fiber and Sensors in Intense Radiation Fields When Subjected to Very High Temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Blue, Thomas [The Ohio State Univ., Columbus, OH (United States); Windl, Wolfgang [The Ohio State Univ., Columbus, OH (United States)

    2017-12-15

    The primary objective of this project was to determine the optical attenuation and signal degradation of sapphire optical fibers & sensors (temperature & strain), in-situ, operating at temperatures up to 1500°C during reactor irradiation through experiments and modeling. The results will determine the feasibility of extending sapphire optical fiber-based instrumentation to extremely high temperature radiation environments. This research will pave the way for future testing of sapphire optical fibers and fiber-based sensors under conditions expected in advanced high temperature reactors.

  8. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    Science.gov (United States)

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  9. Thin film epitaxy and structure property correlations for non-polar ZnO films

    International Nuclear Information System (INIS)

    Pant, P.; Budai, J.D.; Aggarwal, R.; Narayan, Roger J.; Narayan, J.

    2009-01-01

    Heteroepitaxial growth and strain relaxation were investigated in non-polar a-plane (1 1 -2 0)ZnO films grown on r-plane (1 0 -1 2)sapphire substrates in the temperature range 200-700 deg. C by pulsed laser deposition. The lattice misfit in the plane of the film for this orientation varied from -1.26% in [0 0 0 1] to -18.52% in the [-1 1 0 0] direction. The alignment of (1 1 -2 0)ZnO planes parallel to (1 0 -1 2)sapphire planes was confirmed by X-ray diffraction θ-2θ scans over the entire temperature range. X-ray φ-scans revealed the epitaxial relationship:[0 0 0 1]ZnO-parallel [-1 1 0 1]sap; [-1 1 0 0]ZnO-parallel [-1 -1 2 0]sap. Depending on the growth temperature, variations in the structural, optical and electrical properties were observed in the grown films. Room temperature photoluminescence for films grown at 700 deg. C shows a strong band-edge emission. The ratio of the band-edge emission to green band emission is 135:1, indicating reduced defects and excellent optical quality of the films. The resistivity data for the films grown at 700 deg. C shows semiconducting behavior with room temperature resistivity of 2.2 x 10 -3 Ω-cm.

  10. Antireflection coatings for intraocular lenses of sapphire and fianite

    Energy Technology Data Exchange (ETDEWEB)

    Babin, A.A.; Konoplev, Yu.N.; Mamaev, Yu.A. [Inst. of Applied Physics, Nizhnii Novgorod (Russian Federation)] [and others

    1995-10-01

    Broadband antireflection coatings for intraocular lenses of sapphire and fianite are calculated and implemented practically. Their residual reflectance in the liquid with a refracting index of 1.336 is below 0.2% from each face virtually over the entire visible region. 7 refs., 2 figs., 2 tabs.

  11. Stress release during cyclic loading of 20 nm palladium films

    International Nuclear Information System (INIS)

    Lukáč, František; Vlček, Marián; Vlach, Martin; Wagner, Stefan; Uchida, Helmut; Pundt, Astrid; Bell, Anthony; Čížek, Jakub

    2015-01-01

    Highlights: • Repeated hydrogenation of 20 nm Pd films was investigated by in situ X-ray diffraction. • Hydride precipitates form coherent interfaces with matrix in nanocrystalline and epitaxial thin films. • Grain boundaries affect precipitation of the hydride phase in the nanocrystalline film. • Stress in epitaxial film is tensile due to different thermal expansion of Pd and sapphire. • After hydrogen absorption/desorption cycle the stress in both films becomes tensile. - Abstract: Gas phase loading of nanocrystalline and epitaxial 20 nm Pd films deposited on single crystalline sapphire substrates was studied in this work. The nanocrystalline film was deposited at room temperature and the epitaxial film deposited at 800 °C. The nanocrystalline film suffers from in-plane compressive stress imposed by atomic peening processes. The epitaxial film exhibits tensile stress caused by the different thermal expansion coefficients of Pd and sapphire substrate. Coherent phase transition into the hydride phase was observed both for the nanocrystalline and for the epitaxial film. For both films, the lattice parameters continuously increase during the phase transition to the hydride phase. Both films exhibit enhanced hydride formation pressure compared to bulk Pd. Misfit dislocations are formed at interface between Pd film and substrate during hydrogenation. This leads to irreversible change of stress state of the films subjected to sorption and desorption cycle with hydrogen

  12. Sapphire implant based neuro-complex for deep-lying brain tumors phototheranostics

    Science.gov (United States)

    Sharova, A. S.; Maklygina, YU S.; Yusubalieva, G. M.; Shikunova, I. A.; Kurlov, V. N.; Loschenov, V. B.

    2018-01-01

    The neuro-complex as a combination of sapphire implant optical port and osteoplastic biomaterial "Collapan" as an Aluminum phthalocyanine nanoform photosensitizer (PS) depot was developed within the framework of this study. The main goals of such neuro-complex are to provide direct access of laser radiation to the brain tissue depth and to transfer PS directly to the pathological tissue location that will allow multiple optical phototheranostics of the deep-lying tumor region without repeated surgical intervention. The developed complex spectral-optical properties research was carried out by photodiagnostics method using the model sample: a brain tissue phantom. The optical transparency of sapphire implant allows obtaining a fluorescent signal with high accuracy, comparable to direct measurement "in contact" with the tissue.

  13. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    Science.gov (United States)

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  14. High-quality InN films on MgO (100) substrates: The key role of 30° in-plane rotation

    Energy Technology Data Exchange (ETDEWEB)

    Compeán García, V. D.; López Luna, E.; Rodríguez, A. G.; Vidal, M. A. [Coordinación para la Innovación y Aplicación de la Ciencia y Tecnología (CIACyT), Universidad Autónoma de San Luis Potosí (UASLP), Álvaro Obregón 64, 78000 San Luis Potosí (Mexico); Orozco Hinostroza, I. E. [Instituto Potosino de Investigación Científica y Tecnológica, Camino a la Presa San José 2055, Col. Lomas 4a Sección, 78216 San Luis Potosí (Mexico); Escobosa Echavarría, A. [Electric Engineering Department, Centro de Investigación y Estudios Avanzados del IPN, Apartado Postal 14-740, 07000 México D.F. (Mexico)

    2014-05-12

    High crystalline layers of InN were grown on MgO(100) substrates by gas source molecular beam epitaxy. Good quality films were obtained by means of an in-plane rotation process induced by the annealing of an InN buffer layer to minimize the misfit between InN and MgO. In situ reflection high-energy electron diffraction showed linear streaky patterns along the [011{sup ¯}0] azimuth and a superimposed diffraction along the [112{sup ¯}0] azimuth, which correspond to a 30° α-InN film rotation. This rotation reduces the mismatch at the MgO/InN interface from 19.5% to less than 3.5%, increasing the structural quality, which was analyzed by high-resolution X-ray diffraction and Raman spectroscopy. Only the (0002) c plane diffraction of α-InN was observed and was centered at 2θ = 31.4°. Raman spectroscopy showed two modes corresponding to the hexagonal phase: E1(LO) at 591 cm{sup −1} and E2(high) at 488 cm{sup −1}. Hall effect measurements showed a carrier density of 9 × 10{sup 18} cm{sup −3} and an electron Hall mobility of 340 cm{sup 2}/(V s) for a film thickness of 140 nm.

  15. Out-of-Plane Electromechanical Response of Monolayer Molybdenum Disulfide Measured by Piezoresponse Force Microscopy.

    Science.gov (United States)

    Brennan, Christopher J; Ghosh, Rudresh; Koul, Kalhan; Banerjee, Sanjay K; Lu, Nanshu; Yu, Edward T

    2017-09-13

    Two-dimensional (2D) materials have recently been theoretically predicted and experimentally confirmed to exhibit electromechanical coupling. Specifically, monolayer and few-layer molybdenum disulfide (MoS 2 ) have been measured to be piezoelectric within the plane of their atoms. This work demonstrates and quantifies a nonzero out-of-plane electromechanical response of monolayer MoS 2 and discusses its possible origins. A piezoresponse force microscope was used to measure the out-of-plane deformation of monolayer MoS 2 on Au/Si and Al 2 O 3 /Si substrates. Using a vectorial background subtraction technique, we estimate the effective out-of-plane piezoelectric coefficient, d 33 eff , for monolayer MoS 2 to be 1.03 ± 0.22 pm/V when measured on the Au/Si substrate and 1.35 ± 0.24 pm/V when measured on Al 2 O 3 /Si. This is on the same order as the in-plane coefficient d 11 reported for monolayer MoS 2 . Interpreting the out-of-plane response as a flexoelectric response, the effective flexoelectric coefficient, μ eff * , is estimated to be 0.10 nC/m. Analysis has ruled out the possibility of elastic and electrostatic forces contributing to the measured electromechanical response. X-ray photoelectron spectroscopy detected some contaminants on both MoS 2 and its substrate, but the background subtraction technique is expected to remove major contributions from the unwanted contaminants. These measurements provide evidence that monolayer MoS 2 exhibits an out-of-plane electromechanical response and our analysis offers estimates of the effective piezoelectric and flexoelectric coefficients.

  16. A microwave exciter for Cs frequency standards based on a sapphire-loaded cavity oscillator.

    Science.gov (United States)

    Koga, Y; McNeilage, C; Searls, J H; Ohshima, S

    2001-01-01

    A low noise and highly stable microwave exciter system has been built for Cs atomic frequency standards using a tunable sapphire-loaded cavity oscillator (SLCO), which works at room temperature. This paper discusses the successful implementation of a control system for locking the SLCO to a long-term reference signal and reports an upper limit of the achieved frequency tracking error 6 x 10(-15) at tau = 1 s.

  17. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    Science.gov (United States)

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  18. Improved crystalline quality of AlN epitaxial layer on sapphire by introducing TMGa pulse flow into the nucleation stage

    Science.gov (United States)

    Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao

    2018-05-01

    The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.

  19. One-turn stub-loaded loop patch antenna on a small ground plane

    DEFF Research Database (Denmark)

    Thaysen, Jesper; Jakobsen, Kaj Bjarne

    2005-01-01

    A small 1.1-cm3 one-turn loop patch antenna located 2.5 mm above an 18 × 25 mm ground plane separated by a dielectric substrate with relative permittivity of 9.8 is presented. By varying the length of a thin quarter-wavelength matching line, it is possible to change the resonant frequency. An RLC...

  20. A Novel Method for Measurements of the Penetration Depth of MgB2 Superconductor Films by Using Sapphire Resonators with Short-Circuited Parallel Plates

    International Nuclear Information System (INIS)

    Jung, Ho Sang; Lee, J. H.; Cho, Y. H.; Lee, Sang Young; Seong, W. K.; Lee, N. H.; Kang, W. N.

    2009-01-01

    We introduce a measurement method that enables to measure the penetration depth(λ) of superconductor films by using a short-ended parallel plate sapphire resonator. Variations in the (λof MgB 2 films could be measured down to the lowest temperature using a sapphire resonator with a YBa 2 Cu 3 O 7-x film at the bottom. A model equation of λλ 0 [1-(T/T c ) τ ] -1/2 for MgB 2 films appeared to describe the observed variations of the resonant frequency of the sapphire resonator with temperature, with λ 0 , τ and T c used as the fitting parameters.

  1. Design of all solid state tunable single-mode Ti: sapphire laser for nuclear industry

    International Nuclear Information System (INIS)

    Lee, J.H.; Nam, S.M.; Lee, Y.J.; Lee, J.M.; Horn, Roland E.; Wendt, Klaus

    1999-01-01

    We designed a Ti:Sapphire laser pumped by a diode laser pumped solid state laser (DPSSL). The DPSSL was intra-cavity frequency doubled and it had 20 W output power. The Ti:Sapphire laser was designed for single longitudinal mode lasing. For single mode lasing, the laser used several solid etalons. We simulated temporal evolution of the laser pulse and single pass amplification rate of the photons in each modes from rate equations. From the result, we found that single mode lasing is viable in this cavity

  2. Atomic Step Formation on Sapphire Surface in Ultra-precision Manufacturing

    Science.gov (United States)

    Wang, Rongrong; Guo, Dan; Xie, Guoxin; Pan, Guoshun

    2016-01-01

    Surfaces with controlled atomic step structures as substrates are highly relevant to desirable performances of materials grown on them, such as light emitting diode (LED) epitaxial layers, nanotubes and nanoribbons. However, very limited attention has been paid to the step formation in manufacturing process. In the present work, investigations have been conducted into this step formation mechanism on the sapphire c (0001) surface by using both experiments and simulations. The step evolutions at different stages in the polishing process were investigated with atomic force microscopy (AFM) and high resolution transmission electron microscopy (HRTEM). The simulation of idealized steps was constructed theoretically on the basis of experimental results. It was found that (1) the subtle atomic structures (e.g., steps with different sawteeth, as well as steps with straight and zigzag edges), (2) the periodicity and (3) the degree of order of the steps were all dependent on surface composition and miscut direction (step edge direction). A comparison between experimental results and idealized step models of different surface compositions has been made. It has been found that the structure on the polished surface was in accordance with some surface compositions (the model of single-atom steps: Al steps or O steps). PMID:27444267

  3. Orientation dependent band alignment for p-NiO/n-ZnO heterojunctions

    International Nuclear Information System (INIS)

    Ma, M. J.; Lu, B.; Zhou, T. T.; Ye, Z. Z.; Lu, J. G.; Pan, X. H.

    2013-01-01

    Nonpolor a-plane and polar c-plane ZnO thin films were prepared on r-plane sapphire and quartz substrates, respectively. The electronic structure of the interface between subsequently fabricated NiO/ZnO heterojunctions has been investigated by x-ray photoelectron spectroscopy measurements and the band offsets are determined together with information yielded from UV-vis transition spectra. It is found that a type-II band alignment forms at the interface for both the samples. The revealed ZnO-orientation dependent band offsets are analyzed and are attributed mainly due to the variations in internal electric field arose from spontaneous polarization effect. The accurate determination of the band alignment is important for the design and application of NiO/ZnO based hybrid devices.

  4. Orientation dependent band alignment for p-NiO/n-ZnO heterojunctions

    Energy Technology Data Exchange (ETDEWEB)

    Ma, M. J.; Lu, B.; Zhou, T. T.; Ye, Z. Z.; Lu, J. G.; Pan, X. H. [State Key Laboratory of Silicon Materials, Cyrus Tang Center for Sensor Materials and Applications, Department of Materials Science and Engineering, Zhejiang University, Hangzhou 310027 (China)

    2013-04-28

    Nonpolor a-plane and polar c-plane ZnO thin films were prepared on r-plane sapphire and quartz substrates, respectively. The electronic structure of the interface between subsequently fabricated NiO/ZnO heterojunctions has been investigated by x-ray photoelectron spectroscopy measurements and the band offsets are determined together with information yielded from UV-vis transition spectra. It is found that a type-II band alignment forms at the interface for both the samples. The revealed ZnO-orientation dependent band offsets are analyzed and are attributed mainly due to the variations in internal electric field arose from spontaneous polarization effect. The accurate determination of the band alignment is important for the design and application of NiO/ZnO based hybrid devices.

  5. Detection of beryllium treatment of natural sapphires by NRA

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez, P.C., E-mail: carolina.gutierrez@uam.e [Centro de Micro-Analisis de Materiales (CMAM), Universidad Autonoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Ynsa, M.-D.; Climent-Font, A. [Centro de Micro-Analisis de Materiales (CMAM), Universidad Autonoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Dpto. Fisica Aplicada C-12, Universidad Autonoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Calligaro, T. [Centre de Recherche et de Restauration des musees de France C2RMF, CNRS-UMR171, 14 quai Francois Mitterrand, 75001 Paris (France)

    2010-06-15

    Since the 1990's, artificial treatment of natural sapphires (Al{sub 2}O{sub 3} crystals coloured by impurities) by diffusion of beryllium at high temperature has become a growing practice. This process permits to enhance the colour of these gemstones, and thus to increase their value. Detection of such a treatment - diffusion of tens of {mu}g/g of beryllium in Al{sub 2}O{sub 3} crystals - is usually achieved using high sensitivity techniques like laser-ablation inductively coupled plasma mass spectrometry (LA-ICP/MS) or laser-induced breakdown spectrometry (LIBS) which are unfortunately micro-destructive (leaving 50-100-{mu}m diameter craters on the gems). The simple and non-destructive alternative method proposed in this work is based on the nuclear reaction {sup 9}Be({alpha}, n{gamma}){sup 12}C with an external helium ion beam impinging on the gem directly placed in air. The 4439 keV prompt {gamma}-ray tagging Be atoms are detected with a high efficiency bismuth germanate scintillator. Beam dose is monitored using the 2235 keV prompt {gamma}-ray produced during irradiation by the aluminium of the sapphire matrix through the {sup 27}Al({alpha}, p{gamma}){sup 30}Si nuclear reaction. The method is tested on a series of Be-treated sapphires previously analyzed by LA-ICP/MS to determine the optimal conditions to obtain a peak to background appropriate to reach the required {mu}g/g sensitivity. Using a 2.8-MeV external He beam and a beam dose of 200 {mu}C, beryllium concentrations from 5 to 16 {mu}g/g have been measured in the samples, with a detection limit of 1 {mu}g/g.

  6. InN-based layers grown by modified HVPE

    International Nuclear Information System (INIS)

    Syrkin, A.; Usikov, A.; Soukhoveev, V.; Kovalenkov, O.; Ivantsov, V.; Dmitriev, V.; Collins, C.; Readinger, E.; Shmidt, N.; Davydov, V.; Nikishin, S.; Kuryatkov, V.; Song, D.; Rosenbladt, D.; Holtz, Mark

    2006-01-01

    This paper contains results on InN and InGaN growth by Hydride Vapor Phase Epitaxy (HVPE) on various substrates including sapphire and GaN/sapphire, AlGaN/sapphire, and AlN/sapphire templates. The growth processes are carried out at atmospheric pressure in a hot wall reactor in the temperature range from 500 to 750 and ordm;C. Continuous InN layers are grown on GaN/sapphire template substrates. Textured InN layers are deposited on AlN/sapphire and AlGaN/sapphire templates. Arrays of nano-crystalline InN rods with various shapes are grown directly on sapphire substrates. X-ray diffraction rocking curves for the (002)InN reflection have the full width at half maximum (FWHM) as narrow as 270 arcsec for the nano-rods and 460 arcsec for the continuous layers. In x Ga 1-x N layers with InN content up to 10 mol.% are grown on GaN/sapphire templates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Influence of interfacial reactions on the fiber push-out behavior in sapphire fiber-reinforced-NiAl(Yb) composites

    International Nuclear Information System (INIS)

    Tewari, S.N.; Asthana, R.; Tiwari, R.; Bowman, R.R.

    1993-01-01

    The influence of microstructure of the fiber-matrix interface on the fiber push-out behavior has been examined in sapphire fiber-reinforced NiAl and NiAl(Yb) matrix composites synthesized using powder metallurgy techniques combined with zone directional solidification (DS). The push-out stress-displacement curves were observed to consist of an initial 'pseudoelastic' region, wherein the stress increased linearly with displacement, followed by an 'inelastic' region, where the slope of the stress-displacement plot decreased until a maximum stress was reached, and the subsequent stress drop to a constant 'frictional' stress. Chemical reaction between the fiber and the matrix resulted in higher interfacial shear strength in powder cloth processed sapphire-NiAl(Yb) composites as compared to the sapphire-NiAl composites. Grain boundaries in contact with the fibers on the back face of the push-out samples were the preferred sites for crack nucleation in PM composites. The frictional stress was independent of the microstructure and processing variables for NiAl composites, but showed strong dependence on these variables for the NiAl(Yb) composites. The DS processing enhanced the fiber-matrix interfacial shear strength of feedstock PM-NiAl/sapphire composites. However, it reduced the interfacial shear strength of PM-NiAl(Yb)-sapphire composites

  8. Role of the substrate on the magnetic anisotropy of magnetite thin films grown by ion-assisted deposition

    International Nuclear Information System (INIS)

    Prieto, Pilar; Prieto, José Emilio; Gargallo-Caballero, Raquel; Marco, José Francisco; Figuera, Juan de la

    2015-01-01

    Graphical abstract: - Highlights: • The magnetic anisotropy of magnetite thin films is controlled by the substrate induced microstructure. • Single-crystal oxide substrates induce fourfold in-plane magnetic anisotropy • MgO and SrTiO_3 substrates show the same magnetic behavior despite its different mismatch with Fe_3O_4 films. • Silicon and glass substrates induce in-plane magnetic isotropy and uniaxial anisotropy, respectively. - Abstract: Magnetite (Fe_3O_4) thin films were deposited on MgO (0 0 1), SrTiO_3 (0 0 1), LaAlO_3 (0 0 1) single crystal substrates as well on as silicon and amorphous glass in order to study the effect of the substrate on their magnetic properties, mainly the magnetic anisotropy. We have performed a structural, morphological and compositional characterization by X-ray diffraction, atomic force microscopy and Rutherford backscattering ion channeling in oxygen resonance mode. The magnetic anisotropy has been investigated by vectorial magneto-optical Kerr effect. The results indicate that the magnetic anisotropy is especially influenced by the substrate-induced microstructure. In-plane isotropy and uniaxial anisotropy behavior have been observed on silicon and glass substrates, respectively. The transition between both behaviors depends on grain size. For LaAlO_3 substrates, in which the lattice mismatch between the Fe_3O_4 films and the substrate is significant, a weak in-plane fourfold magnetic anisotropy is induced. However when magnetite is deposited on MgO (0 0 1) and SrTiO_3 (0 0 1) substrates, a well-defined fourfold in-plane magnetic anisotropy is observed with easy axes along [1 0 0] and [0 1 0] directions. The magnetic properties on these two latter substrates are similar in terms of magnetic anisotropy and coercive fields.

  9. Effect of Al doping on the magnetic and electrical properties of Zn(Cu)O based diluted magnetic semiconductors

    Science.gov (United States)

    Chakraborti, D.; Trichy, G.; Narayan, J.; Prater, J. T.; Kumar, D.

    2007-12-01

    The effect of Al doping on the magnetic properties of Zn(Cu)O based dilute magnetic semiconducting thin films has been systematically investigated. Epitaxial thin films have been deposited onto sapphire c-plane single crystals using pulsed laser deposition technique. X-ray diffraction and high resolution transmission electron microscopy studies show that the Zn(Cu,Al)O films are epitaxially grown onto (0001) sapphire substrates with a 30°/90° rotation in the basal plane. The large lattice misfit of the order of 16% is accommodated by matching integral multiples of lattice and substrate planes. In these large mismatch systems, the resulting films are fully relaxed following deposition of the first complete monolayer of ZnO (consistent with a critical thickness that is less than one monolayer). Magnetic hysteresis measurements indicate that the pure Zn(Cu)O thin films are ferromagnetic at room temperature. Doping with up to 5% Al (n type) does not significantly affect the ferromagnetism even though it results in an increase in carrier densities of more than 3 orders of magnitude, rising from 1×1017 to 1.5×1020 cm-3. However, for Al additions above 5%, a drop in net magnetization is observed. Annealing the films in an oxygen atmosphere at 600 °C also resulted in a dramatic drop in magnetic moment of the samples. These results strongly suggest that carrier induced exchange is not directly responsible for the magnetic properties of these materials. Rather, a defect mediated exchange mechanism needs to be invoked for this system.

  10. Properties of the generation of radiation in the near infrared part of the spectrum with a sapphire crystal laser having radiation-induced color centers

    International Nuclear Information System (INIS)

    Voitovich, A.P.; Grinkevich, V.E.; Kononov, V.A.; Kromskii, G.I.

    1986-01-01

    This paper investigates the spectral stability of the color centers in sapphire and the energy of lasers in which the active elements were colored with various techniques. Color centers were produced by neutron irradiation. The absorption spectra of the color centers are shown. The transformation of the spectra shows that the mutual conversions of color centers takes place during the thermal annealing of the sapphire; most of the color centers formed have luminescence. Generation or radiation with a tunable frequency was obtained in the case of transverse or quasi-longitudinal excitation by a ruby laser. The results show that ways for increasing the stability of the energy generated by a sapphire laser with color centers can be found

  11. Scintillation of sapphire under particle excitation at low temperature

    International Nuclear Information System (INIS)

    Amare, J; Beltran, B; Cebrian, S; Coron, N; Dambier, G; GarcIa, E; Gomez, H; Irastorza, I G; Leblanc, J; Luzon, G; Marcillac, P de; Martinez, M; Morales, J; Ortiz de Solorzano, A; Pobes, C; Puimedon, J; Redon, T; RodrIguez, A; Ruz, J; Sarsa, M L; Torres, L; Villar, J A

    2006-01-01

    The scintillation properties of undoped sapphire at very low temperature have been studied in the framework of the ROSEBUD (Rare Objects SEarch with Bolometers UnDerground) Collaboration devoted to dark matter searches. We present an estimation of its light yield under gamma, alpha and neutron excitation

  12. Interfacial thermal resistance between high-density polyethylene (HDPE) and sapphire

    International Nuclear Information System (INIS)

    Zheng Kun; Ma Yong-Mei; Wang Fo-Song; Zhu Jie; Tang Da-Wei

    2014-01-01

    To improve the thermal conductivity of polymeric composites, the numerous interfacial thermal resistance (ITR) inside is usually considered as a bottle neck, but the direct measurement of the ITR is hardly reported. In this paper, a sandwich structure which consists of transducer/high density polyethylene (HDPE)/sapphire is prepared to study the interface characteristics. Then, the ITRs between HDPE and sapphire of two samples with different HDPE thickness values are measured by time-domain thermoreflectance (TDTR) method and the results are ∼ 2 × 10 −7 m 2 ·K·W −1 . Furthermore, a model is used to evaluate the importance of ITR for the thermal conductivity of composites. The model's analysis indicates that reducing the ITR is an effective way of improving the thermal conductivity of composites. These results will provide valuable guidance for the design and manufacture of polymer-based thermally conductive materials. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  13. Wetting behavior of liquid Fe-C-Ti alloys on sapphire

    International Nuclear Information System (INIS)

    Gelbstein, M.; Froumin, N.; Frage, N.

    2008-01-01

    Wetting behavior in the (Fe-C-Ti)/sapphire system was studied at 1823 K. The wetting angle between sapphire and Fe-C alloys is higher than 90 deg. (93 deg. and 105 deg. for the alloys with 1.4 and 3.6 at.% C, respectively). The presence of Ti improves the wetting of the iron-carbon alloys, especially for the alloys with carbon content of 3.6 at.%. The addition of 5 at.% Ti to Fe-3.6 at.% C provides a contact angle of about 30 deg., while the same addition to Fe-1.4 at.% C decreases the wetting angle to 70 deg. only. It was established that the wetting in the systems is controlled by the formation of a titanium oxicarbide layer at the interface, which composition and thickness depend on C and Ti contents in the melt. The experimental observations are well accounted for by a thermodynamic analysis of the Fe-Ti-Al-O-C system

  14. Sub-100 fs high average power directly blue-diode-laser-pumped Ti:sapphire oscillator

    Science.gov (United States)

    Rohrbacher, Andreas; Markovic, Vesna; Pallmann, Wolfgang; Resan, Bojan

    2016-03-01

    Ti:sapphire oscillators are a proven technology to generate sub-100 fs (even sub-10 fs) pulses in the near infrared and are widely used in many high impact scientific fields. However, the need for a bulky, expensive and complex pump source, typically a frequency-doubled multi-watt neodymium or optically pumped semiconductor laser, represents the main obstacle to more widespread use. The recent development of blue diodes emitting over 1 W has opened up the possibility of directly diode-laser-pumped Ti:sapphire oscillators. Beside the lower cost and footprint, a direct diode pumping provides better reliability, higher efficiency and better pointing stability to name a few. The challenges that it poses are lower absorption of Ti:sapphire at available diode wavelengths and lower brightness compared to typical green pump lasers. For practical applications such as bio-medicine and nano-structuring, output powers in excess of 100 mW and sub-100 fs pulses are required. In this paper, we demonstrate a high average power directly blue-diode-laser-pumped Ti:sapphire oscillator without active cooling. The SESAM modelocking ensures reliable self-starting and robust operation. We will present two configurations emitting 460 mW in 82 fs pulses and 350 mW in 65 fs pulses, both operating at 92 MHz. The maximum obtained pulse energy reaches 5 nJ. A double-sided pumping scheme with two high power blue diode lasers was used for the output power scaling. The cavity design and the experimental results will be discussed in more details.

  15. Onset of surface stimulated emission at 260 nm from AlGaN multiple quantum wells

    KAUST Repository

    Li, Xiaohang

    2015-12-14

    We demonstrated onset of deep-ultraviolet (DUV) surface stimulated emission (SE) from c-plane AlGaNmultiple-quantum well(MQW)heterostructuresgrown on a sapphire substrate by optical pumping at room temperature. The onset of SE became observable at a pumping power density of 630 kW/cm2. Spectral deconvolution revealed superposition of a linearly amplified spontaneous emission peak at λ ∼ 257.0 nm with a full width at half maximum (FWHM) of ∼12 nm and a superlinearly amplified SE peak at λ ∼ 260 nm with a narrow FWHM of less than 2 nm. In particular, the wavelength of ∼260 nm is the shortest wavelength of surface SE from III-nitride MQWheterostructures to date. Atomic force microscopy and scanning transmission electron microscopy measurements were employed to investigate the material and structural quality of the AlGaNheterostructures, showing smooth surface and sharp layer interfaces. This study offers promising results for AlGaNheterostructuresgrown on sapphire substrates for the development of DUV vertical cavity surface emitting lasers(VCSELs).

  16. Characterization of local hydrophobicity on sapphire (0001) surfaces in aqueous environment by colloidal probe atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wada, Tomoya; Yamazaki, Kenji; Isono, Toshinari; Ogino, Toshio, E-mail: ogino-toshio-rx@ynu.ac.jp

    2017-02-28

    Highlights: • Local hydrophobicity of phase-separated sapphire (0001) surfaces was investigated. • These surfaces are featured by coexistence of hydrophilic and hydrophobic domains. • Each domain was characterized by colloidal probe atomic force microscopy in water. • Both domains can be distinguished by adhesive forces of the probe to the surfaces. • Characterization in aqueous environment is important in bio-applications of sapphire. - Abstract: Sapphire (0001) surfaces exhibit a phase-separation into hydrophobic and hydrophilic domains upon high-temperature annealing, which were previously distinguished by the thickness of adsorbed water layers in air using atomic force microscopy (AFM). To characterize their local surface hydrophobicity in aqueous environment, we used AFM equipped with a colloidal probe and measured the local adhesive force between each sapphire domain and a hydrophilic SiO{sub 2} probe surface, or a hydrophobic polystyrene one. Two data acquisition modes for statistical analyses were used: one is force measurements at different positions of the surface and the other repeated measurement at a fixed position. We found that adhesive force measurements using the polystyrene probe allow us to distinctly separate the hydrophilic and hydrophobic domains. The dispersion in the force measurement data at different positions of the surface is larger than that in the repeated measurements at a fixed position. It indicates that the adhesive force measurement is repeatable although their data dispersion for the measurement positions is relatively large. From these results, we can conclude that the hydrophilic and hydrophobic domains on the sapphire (0001) surfaces are distinguished by a difference in their hydration degrees.

  17. Description of Project Sapphire. Revision 1

    International Nuclear Information System (INIS)

    Taylor, R.G.

    1995-01-01

    The mission of Project Sapphire was to repackage approximately 600 kg of highly enriched uranium (HEU) in the Republic of Kazakhstan into internationally acceptable shipping packages and transport the material to a storage location in the United States. There were four material types to be repackaged: metal; oxide; uranium/beryllium (U/Be) alloy; and residues from U/Be alloy production. Seven major steps described in this report were necessary for successful execution of the project: planning and training; readiness assessment; deployment; set up; process; take down; and transport. Nuclear criticality safety especially affected several of these steps

  18. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    Science.gov (United States)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  19. Growth of c-plane ZnO on γ-LiAlO2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan, T.; Lu, C.-Y.J.; Schuber, R.; Chang, L.; Schaadt, D.M.; Chou, M.M.C.; Ploog, K.H.; Chiang, C.-M.

    2015-01-01

    Highlights: • ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by MBE. • A high Zn/O flux ratio is beneficial for reducing the density of screw dislocations. • Reciprocal space maps demonstrate that the misfit strain in ZnO has been relaxed. • No interfacial layer is formed at ZnO/GaN interface using a Zn pre-exposure strategy. - Abstract: C-plane ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy. Both the X-ray rocking curves and the transmission electron microscopy analyses indicate that the ZnO epilayers exhibit a lower threading dislocation density (∼1 × 10 10 cm −2 ) as compared to those grown on LiAlO 2 substrate without the buffer layer. A high Zn/O flux ratio is beneficial for reducing the density of screw-type dislocations. Reciprocal space maps demonstrate that the misfit strain has been relaxed. No interfacial layer is formed at the ZnO/GaN interface by using a Zn pre-exposure strategy. The ZnO epilayers exhibit a strong near band edge emission at 3.28 eV at room temperature with a negligible green band emission

  20. Controllable preparation of copper phthalocyanine single crystal nano column and its chlorine gas sensing properties

    Directory of Open Access Journals (Sweden)

    Jianhong Zhao

    2016-09-01

    Full Text Available The unsubstituted copper phthalocyanine (CuPc single crystal nano columns were fabricated for the first time as chlorine (Cl2 gas sensors in this paper. The nano columns of CuPc have been prepared on different substrates via template-free physical vapor deposition (PVD approach. The growth mechanism of CuPc nano column on quartz was explored and the same condition used on other substrates including glass, sapphire (C-plane, M-plane, R-plane, Si and SiO2/Si came to a same conclusion, which confirmed that the aligned growth of CuPc nano column is not substrate-dependent. And then the CuPc nano column with special morphology was integrated as in-situ sensor device which exhibits high sensitivity and selectivity towards Cl2 at room temperature with a minimum detection limit as low as 0.08 ppm. The response of sensor was found to increase linearly (26∼659% with the increase for Cl2 within concentration range (0.08∼4.0ppm. These results clearly demonstrate the great potential of the nano column growth and device integration approach for sensor device.

  1. Sapphire/TiAl composites - structure and properties

    International Nuclear Information System (INIS)

    Povarova, K.B.; Antonova, A.V.; Mileiko, S.T.; Sarkissyan, N.S.

    2001-01-01

    Ti-Al-intermetallic-based alloys with lamellar microstructure, -γ(TiAl) +α 2 (Ti 3 Al) are characterized by a high melting point of 1460 o C, a low density of ∼3.9 g/cm 3 , a high gas corrosion resistance up to a temperature of about 900 o C, a high creep resistance up to a temperature of about 800 o C, and a sufficiently high fracture toughness at low temperatures, up to 30 Mpa x m 1/2 . Hence, they are considered as excellent matrices for fibres of high melting point. Unlike well-developed SiC/TiAl composites, which have an obvious upper limit for the usage temperature due to SiC/TiAl interaction, Sapphire/TiAl composites remain nearly unknown because fibres to be used in such composites have not been really available. At the present time, such fibres are developed in Solid State Physics Inst. of RAS. The results of preliminary creep tests of Al 2 O 3 /TiAl composites obtained by using pressure casting have shown that usage of such composite systems shifts the temperature limit for light structural materials in terms of creep resistance to, at least, 1050 o C: creep strength on 100 h time base reaches 120 MPa at that temperature. It occurs also that Sapphire-fibres/TiAl-matrix composite specimens have an increased gas corrosion resistance by more than one order of the magnitudes as compared with that of the matrix alloy. (author)

  2. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  3. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    Science.gov (United States)

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Contact of a spherical probe with a stretched rubber substrate

    Science.gov (United States)

    Frétigny, Christian; Chateauminois, Antoine

    2017-07-01

    We report on a theoretical and experimental investigation of the normal contact of stretched neo-Hookean substrates with rigid spherical probes. Starting from a published formulation of surface Green's function for incremental displacements on a prestretched, neo-Hookean, substrate [J. Mech. Phys. Solids 56, 2957 (2008), 10.1016/j.jmps.2008.07.002], a model is derived for both adhesive and nonadhesive contacts. The shape of the elliptical contact area together with the contact load and the contact stiffness are predicted as a function of the in-plane stretch ratios λx and λy of the substrate. The validity of this model is assessed by contact experiments carried out using an uniaxally stretched silicone rubber. For stretch ratio below about 1.25, a good agreement is observed between theory and experiments. Above this threshold, some deviations from the theoretical predictions are induced as a result of the departure of the mechanical response of the silicone rubber from the neo-Hokeean description embedded in the model.

  5. Lattice dynamics of sapphire (corundum). Pt. 2

    International Nuclear Information System (INIS)

    Kappus, W.

    1975-01-01

    Theoretical models of the lattice dynamics of sapphire (α - Al 2 O 3 ), based on the assumption of rigid ions, have been fitted to measured phonons at the Gamma-point of the Brillouin zone. Short range interactions were taken into account by assuming 2-body interactions between touching ions. Additional 3-body interactions could not improve the fit significantly. Calculated dispersion curves are presented and compared with inelastic neutron scattering data. A good agreement for branches along the trigonal axis can be stated. (orig.) [de

  6. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    Science.gov (United States)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  7. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    Science.gov (United States)

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  8. Interfacial structure of V2AlC thin films deposited on (112-bar 0)-sapphire

    International Nuclear Information System (INIS)

    Sigumonrong, Darwin P.; Zhang, Jie; Zhou, Yanchun; Music, Denis; Emmerlich, Jens; Mayer, Joachim; Schneider, Jochen M.

    2011-01-01

    Local epitaxy between V 2 AlC and sapphire without intentionally or spontaneously formed seed layers was observed by transmission electron microscopy. Our ab initio calculations suggest that the most stable interfacial structure is characterized by the stacking sequence ...C-V-Al-V//O-Al..., exhibiting the largest work of separation for the configurations studied and hence strong interfacial bonding. It is proposed that a small misfit accompanied by strong interfacial bonding enable the local epitaxial growth of V 2 AlC on (112-bar 0)-sapphire.

  9. Microscopic origin of the optical processes in blue sapphire.

    Science.gov (United States)

    Bristow, Jessica K; Parker, Stephen C; Catlow, C Richard A; Woodley, Scott M; Walsh, Aron

    2013-06-11

    Al2O3 changes from transparent to a range of intense colours depending on the chemical impurities present. In blue sapphire, Fe and Ti are incorporated; however, the chemical process that gives rise to the colour has long been debated. Atomistic modelling identifies charge transfer from Ti(III) to Fe(III) as being responsible for the characteristic blue appearance.

  10. Microscopic origin of the optical processes in blue sapphire

    OpenAIRE

    Bristow, JK; Parker, SC; Catlow, CRA; Woodley, SM; Walsh, A

    2013-01-01

    Al2O3 changes from transparent to a range of intense colours depending on the chemical impurities present. In blue sapphire, Fe and Ti are incorporated; however, the chemical process that gives rise to the colour has long been debated. Atomistic modelling identifies charge transfer from Ti(III) to Fe(III) as being responsible for the characteristic blue appearance.

  11. Vanadium-rich ruby and sapphire within Mogok Gemfield, Myanmar: implications for gem color and genesis

    Science.gov (United States)

    Zaw, Khin; Sutherland, Lin; Yui, Tzen-Fu; Meffre, Sebastien; Thu, Kyaw

    2015-01-01

    Rubies and sapphires are of both scientific and commercial interest. These gemstones are corundum colored by transition elements within the alumina crystal lattice: Cr3+ yields red in ruby and Fe2+, Fe3+, and Ti4+ ionic interactions color sapphires. A minor ion, V3+ induces slate to purple colors and color change in some sapphires, but its role in coloring rubies remains enigmatic. Trace element and oxygen isotope composition provide genetic signatures for natural corundum and assist geographic typing. Here, we show that V can dominate chromophore contents in Mogok ruby suites. This raises implications for their color quality, enhancement treatments, geographic origin, exploration and exploitation and their comparison with rubies elsewhere. Precise LA-ICP-MS analysis of ruby and sapphire from Mogok placer and in situ deposits reveal that V can exceed 5,000 ppm, giving V/Cr, V/Fe and V/Ti ratios up to 26, 78, and 97 respectively. Such values significantly exceed those found elsewhere suggesting a localized geological control on V-rich ruby distribution. Our results demonstrate that detailed geochemical studies of ruby suites reveal that V is a potential ruby tracer, encourage comparisons of V/Cr-variation between ruby suites and widen the scope for geographic typing and genesis of ruby. This will allow more precise comparison of Asian and other ruby fields and assist confirmation of Mogok sources for rubies in historical and contemporary gems and jewelry.

  12. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  13. Effect of shear strain on the deflection of a clamped magnetostrictive film-substrate system

    International Nuclear Information System (INIS)

    Ming Zhenghui; Ming Li; Bo Zou; Xia Luo

    2011-01-01

    The effect of in-plane shear strain of a clamped bimorph on the deflection produced by magnetization of the film is investigated. The deflection is found by minimizing the Gibbs free energy with respect to four parameters, strains and curvatures along x and y directions at the interface, by assuming that the curvature in the y direction varies as a function of aspect ratio w/l along x. A set of standard linear equations of four parameters are obtained and the deflection is expressed in terms of the four parameters by solving the equations using Cramer rules. The inconsistencies pointed out by previous authors are also reviewed. For actuators made of thick and short clamped film-substrate system, the in-plane shear deformation should not be omitted. The present calculation model can give a relatively simple and accurate prediction of deflection for thick and short specimens of aspect ratio w/l<10, which supports the results obtained by finite element modeling. - Highlights: → We model the deflection of a thick magnetostrictive film-substrate cantilever plate. → Total stress along z from magnetic field is not zero without external force. → Effect of in-plane shear strain in calculating deflection examined. → Analytical solution of deflection obtained by assuming a curvature function. → Shear strain for short cantilever film-substrate plate considered.

  14. Acoustic mismatch model and thermal phonon radiation across a tin/sapphire interface with radiation temperatures between 1.6 and 3.7 K

    International Nuclear Information System (INIS)

    Bayrle, R.; Weis, O.

    1989-01-01

    Using a special sandwich arrangement consisting of a constantan film, an insulating oxide layer and a superconducting tin-tunnel junction evaporated on an a-cut sapphire, the temperature jump between tin and sapphire has been measured as function of thermal phonon flux under steady-state and transient conditions using rectangular current pulses in the constantan heater. The tunnel junction serves as a very fast thermometer with a time resolution in the nanosecond range. During the steady-state and the heatup interval, full agreement is found between experimental results, and the predictions of the acoustic mismatch model applied to the phonon transfer across the tin/sapphire interface and under the additional assumption that thermal equilibrium exists between electrons and phonons (one-temperature model). In contrast, very strong deviations are found during the cooling process which starts immediately after the end of the heating pulses. This observed nonequilibrium between electron and phonon system is discussed in more detail in a subsequent paper

  15. A higher-order-mode fiber delivery for Ti:Sapphire femtosecond lasers

    DEFF Research Database (Denmark)

    Jespersen, Kim Giessmann; Le, Tuan; Grüner-Nielsen, Lars Erik

    2010-01-01

    We report the first higher-order-mode fiber with anomalous dispersion at 800nm and demonstrate its potential in femtosecond pulse delivery for Ti:Sapphire femtosecond lasers. We obtain 125fs pulses after propagating a distance of 3.6 meters in solid-silica fiber. The pulses could be further...... compressed in a quartz rod to nearly chirp-free 110fs pulses. Femtosecond pulse delivery is achieved by launching the laser output directly into the delivery fiber without any pre-chirping of the input pulse. The demonstrated pulse delivery scheme suggests scaling to >20meters for pulse delivery in harsh...

  16. Far-infrared properties of sol-gel derived PbZr0.52Ti0.48O3 thin films on Pt-coated substrates

    International Nuclear Information System (INIS)

    Kafadaryan, E A; Hovsepyan, R K; Khachaturova, A A; Aghamalyan, N R; Shirinyan, G O; Manukyan, A L; Vardanyan, R S; Hayrapetyan, A G; Grigoryan, S G; Vardanyan, E S

    2003-01-01

    Polycrystalline tetragonal PbZr 0.52 Ti 0.48 O 3 (PZT) thin films have been deposited on the nickel and (111) platinum coated (110) sapphire substrates by the sol-gel method. Optical properties of the PZT thin films were studied using far-infrared reflectivity spectroscopy in the 200-10 000 cm -1 frequency range at 300 K. The frequency dependence of the optical characteristics (σ, ε, -Im ε -1 ) of the films were calculated by the Kramers-Kronig transformation of the reflectivity spectra and analysed by the Drude-Lorentz model. The frequency dependence of the optical conductivity, σ(ω), of the PZT films deposited on platinum coated sapphire is well described by the free-carrier term and an overdamped mid-infrared component. Sapphire/Pt/PZT structures reveal semiconductor properties (effective carrier concentration N/m* is up to 10 20 cm -3 , plasma minimum is located near 3000 cm -1 ). This effect can be related to the favourable influence of the platinum electrode on the charge carrier density at Pt/PZT contact and formation of the interfacial conductive layer

  17. 5-nJ Femtosecond Ti3+:sapphire laser pumped with a single 1 W green diode

    Science.gov (United States)

    Muti, Abdullah; Kocabas, Askin; Sennaroglu, Alphan

    2018-05-01

    We report a Kerr-lens mode-locked, extended-cavity femtosecond Ti3+:sapphire laser directly pumped at 520 nm with a 1 W AlInGaN green diode. To obtain energy scaling, the short x-cavity was extended with a q-preserving multi-pass cavity to reduce the pulse repetition rate to 5.78 MHz. With 880 mW of incident pump power, we obtained as high as 90 mW of continuous-wave output power from the short cavity by using a 3% output coupler. In the Kerr-lens mode-locked regime, the extended cavity produced nearly transform-limited 95 fs pulses at 776 nm. The resulting energy and peak power of the pulses were 5.1 nJ and 53 kW, respectively. To our knowledge, this represents the highest pulse energy directly obtained to date from a mode-locked, single-diode-pumped Ti3+:sapphire laser.

  18. Synchrotron-radiation plane-wave topography

    International Nuclear Information System (INIS)

    Riglet, P.; Sauvage, M.; Petroff, J.F.; Epelboin, Y.

    1980-01-01

    A computer program based on the Takagi-Taupin differential equations for X-ray propagation in distorted crystals has been developed in order to simulate dislocation images in the Bragg case. The program is valid both for thin and thick crystals. Simulated images of misfit dislocations formed either in a thin epilayer or in a thick substrate are compared with experimental images obtained by synchrotron-radiation plane-wave topography. The influence of the various strain components on the image features is discussed. (author)

  19. Characterization of sapphire: For its material properties at high temperatures

    Science.gov (United States)

    Bal, Harman Singh

    There are numerous needs for sensing, one of which is in pressure sensing for high temperature application such as combustion related process and embedded in aircraft wings for reusable space vehicles. Currently, silicon based MEMS technology is used for pressure sensing. However, due to material properties the sensors have a limited range of approximately 600 °C which is capable of being pushed towards 1000 °C with active cooling. This can introduce reliability issues when you add more parts and high flow rates to remove large amounts of heat. To overcome this challenge, sapphire is investigated for optical based pressure transducers at temperatures approaching 1400 °C. Due to its hardness and chemical inertness, traditional cutting and etching methods used in MEMS technology are not applicable. A method that is being investigated as a possible alternative is laser machining using a picosecond laser. In this research, we study the material property changes that occur from laser machining and quantify the changes with the experimental results obtained by testing sapphire at high-temperature with a standard 4-point bending set-up.

  20. Reduction of Polarization Field Strength in Fully Strained c-Plane InGaN/(In)GaN Multiple Quantum Wells Grown by MOCVD.

    Science.gov (United States)

    Zhang, Feng; Ikeda, Masao; Zhang, Shu-Ming; Liu, Jian-Ping; Tian, Ai-Qin; Wen, Peng-Yan; Cheng, Yang; Yang, Hui

    2016-12-01

    The polarization fields in c-plane InGaN/(In)GaN multiple quantum well (MQW) structures grown on sapphire substrate by metal-organic chemical vapor deposition are investigated in this paper. The indium composition in the quantum wells varies from 14.8 to 26.5% for different samples. The photoluminescence wavelengths are calculated theoretically by fully considering the related effects and compared with the measured wavelengths. It is found that when the indium content is lower than 17.3%, the measured wavelengths agree well with the theoretical values. However, when the indium content is higher than 17.3%, the measured ones are much shorter than the calculation results. This discrepancy is attributed to the reduced polarization field in the MQWs. For the MQWs with lower indium content, 100% theoretical polarization can be maintained, while, when the indium content is higher, the polarization field decreases significantly. The polarization field can be weakened down to 23% of the theoretical value when the indium content is 26.5%. Strain relaxation is excluded as the origin of the polarization reduction because there is no sign of lattice relaxation in the structures, judging by the X-ray diffraction reciprocal space mapping. The possible causes of the polarization reduction are discussed.

  1. Structural, Optical, and Electrical Characterization of β-Ga2O3 Thin Films Grown by Plasma-Assisted Molecular Beam Epitaxy Suitable for UV Sensing

    Directory of Open Access Journals (Sweden)

    Abraham Arias

    2018-01-01

    Full Text Available β-Ga2O3 thin films were grown on c-plane sapphire substrates by plasma-assisted molecular beam epitaxy. The films were grown using an elemental gallium source and oxygen supplied by an RF plasma source. Reflection high-energy electron diffraction (RHEED was used to monitor the surface quality in real time. Both in situ RHEED and ex situ X-ray diffraction confirmed the formation of single crystal β-phase films with excellent crystallinity on c-plane sapphire. Spectroscopic ellipsometry was used to determine the film thicknesses, giving values in the 11.6–18.8 nm range and the refractive index dispersion curves. UV-Vis transmittance measurements revealed that strong absorption of β-Ga2O3 starts at ∼270 nm. Top metal contacts were deposited by thermal evaporation for I-V characterization, which has been carried out in dark, as well as under visible and UV light illumination. The optical and electrical measurements showed that the grown thin films of β-Ga2O3 are excellent candidates for deep-ultraviolet detection and sensing.

  2. Epitaxial stabilization of ordered Pd–Fe structures on perovskite substrates

    Energy Technology Data Exchange (ETDEWEB)

    Harton, Renee M., E-mail: reneehar@umich.edu [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States); Stoica, Vladimir A. [Department of Materials Science and Engineering, Pennsylvania State University, 201 Old Main, University Park, PA 16802 (United States); Clarke, Roy [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States)

    2017-05-01

    We report the fabrication of epitaxial ferromagnetic Pd{sub 3}Fe thin films on SrTiO{sub 3}(001) substrates by promoting the interdiffusion of an Fe/Pd multilayer heterostructure using thermal annealing. Prior to annealing, the results of in-situ Reflection High-Energy Electron Diffraction characterization suggest that each Fe and Pd layer exhibited an in-plane epitaxial relationship with the SrTiO{sub 3}(001) substrate. X-Ray diffraction and magneto-optic Kerr effect characterization, conducted post-annealing, demonstrate that the film composition is majority Pd{sub 3}Fe and exhibits in-plane magnetization reversal with a moderate coercive field of ≈760 Oe. This demonstration of an ordered atomic layer heterostructure grown on a perovskite substrate suggests a route to epitaxial interfacial structures which can achieve strain-assisted magnetic switching.

  3. Laser ablation of dental calculus at 400 nm using a Ti:sapphire laser

    Science.gov (United States)

    Schoenly, Joshua E.; Seka, Wolf; Rechmann, Peter

    2009-02-01

    A Nd:YAG laser-pumped, frequency-doubled Ti:sapphire laser is used for selective ablation of calculus. The laser provides calculus removal. This is in stark contrast with tightly focused Gaussian beams that are energetically inefficient and lead to irreproducible results. Calculus is well ablated at high fluences >=2J/cm2 stalling occurs below this fluence because of photobleaching. Healthy hard tissue is not removed at fluences <=3 J/cm2.

  4. Channel Temperature Determination for AlGaN/GaN HEMTs on SiC and Sapphire

    Science.gov (United States)

    Freeman, Jon C.; Mueller, Wolfgang

    2008-01-01

    Numerical simulation results (with emphasis on channel temperature) for a single gate AlGaN/GaN High Electron Mobility Transistor (HEMT) with either a sapphire or SiC substrate are presented. The static I-V characteristics, with concomitant channel temperatures (T(sub ch)) are calculated using the software package ATLAS, from Silvaco, Inc. An in-depth study of analytical (and previous numerical) methods for the determination of T(sub ch) in both single and multiple gate devices is also included. We develop a method for calculating T(sub ch) for the single gate device with the temperature dependence of the thermal conductivity of all material layers included. We also present a new method for determining the temperature on each gate in a multi-gate array. These models are compared with experimental results, and show good agreement. We demonstrate that one may obtain the channel temperature within an accuracy of +/-10 C in some cases. Comparisons between different approaches are given to show the limits, sensitivities, and needed approximations, for reasonable agreement with measurements.

  5. Silicon integrated circuits part A : supplement 2

    CERN Document Server

    Kahng, Dawon

    1981-01-01

    Applied Solid State Science, Supplement 2: Silicon Integrated Circuits, Part A focuses on MOS device physics. This book is divided into three chapters-physics of the MOS transistor; nonvolatile memories; and properties of silicon-on-sapphire substrates devices, and integrated circuits. The topics covered include the short channel effects, MOSFET structures, floating gate devices, technology for nonvolatile semiconductor memories, sapphire substrates, and SOS integrated circuits and systems. The MOS capacitor, MIOS devices, and SOS process and device technology are also deliberated. This public

  6. High energy (MeV) ion beam modifications of sputtered MoS2 coatings on sapphire

    International Nuclear Information System (INIS)

    Bhattacharya, R.S.; Rai, A.K.; Erdemir, A.

    1991-01-01

    The present article reports on the results of our investigations of high-energy (MeV) ion irradiation on the microstructural and tribological properties of dc magnetron sputtered MoS 2 films. Films of thicknesses 500-7500 A were deposited on NaCl, Si and sapphire substrates and subsequently ion irradiated by 2 MeV Ag + ions at a dose of 5x10 15 cm -2 . Scanning and transmission electron microscopy. Rutherford backscattering and X-ray diffraction techniques were utilized to study the structural, morphological and compositional changes of the film due to ion irradiation. The friction coefficient and sliding life were determined by pin-on-disc tests. Both as-deposited and ion-irradiated films were found to be amorphous having a stoichiometry of MoS 1.8 . A low friction coefficient in the range 0.03-0.04 was measured for both as-deposited and ion-irradiated films. However, the sliding life of ion-irradiated film was found to increase more than tenfold compared to as-deposited films indicating improved bonding at the interface. (orig.)

  7. Use of contact Nd:YAG sapphire-laser system for performing partial hepatectomy and splenectomy in dogs

    Science.gov (United States)

    Yu, Chibing; Jing, Shujuan; Cai, Huimin; Shao, Lanxing; Zou, Hegui

    1993-03-01

    An Nd:YAG Sapphire laser blade was used for performing hepatectomy and splenectomy in dogs. The results suggest that a laser blade provides a new way to reduce intraoperative bleeding and to minimize tissue damage. In recent years, there have been some reports on performing surgical procedures using a contact Nd:YAG Sapphire laser system. The current animal study was conducted in order to explore the capability of incision and excision of the laser tip, the damage to the tissue, and the recovery course.

  8. Gold wetting effects on sapphire irradiated with GeV uranium ions

    International Nuclear Information System (INIS)

    Ramos, S.M.M.

    1997-01-01

    Single crystals of α-Al 2 O 3 were irradiated with 238 U ions using two different energies: 3.4 MeV/u and 1.7 MeV/u. The irradiations were performed at a temperature of ∼80 K, with fluences ranging from 1.2 x 10 12 to 2.5 x 10 12 ions cm -2 . After irradiation, thin gold films were deposited on the sapphire surfaces by using a sputtering method. Subsequent annealing in air at a temperature of 723 and 923 K were applied to investigate the influence of the pre-damage on the adhesion of the gold layer on the sapphire surface. Rutherford backscattering analysis and scanning electron microscopy performed in both virgin and irradiated areas, show that the pre-irradiation damage inhibits the gold film of breaking up into islands after annealing. A wetting effect, which could depend on the damage morphology, is clearly observed. (orig.)

  9. Effect of Ti:sapphire laser on shear bond strength of orthodontic brackets to ceramic surfaces.

    Science.gov (United States)

    Erdur, Emire Aybuke; Basciftci, Faruk Ayhan

    2015-08-01

    With increasing demand for orthodontic treatments in adults, orthodontists continue to debate the optimal way to prepare ceramic surfaces for bonding. This study evaluated the effects of a Ti:sapphire laser on the shear bond strength (SBS) of orthodontic brackets bonded to two ceramic surfaces (feldspathic and IPS Empress e-Max) and the results were compared with those using two other lasers (Er:YAG and Nd:YAG) and 'conventional' techniques, i.e., sandblasting (50 µm) and hydrofluoric (HF) acid. In total, 150 ceramic discs were prepared and divided into two groups. In each group, the following five subgroups were prepared: Ti:sapphire laser, Nd:YAG laser, Er:YAG laser, sandblasting, and HF acid. Mandibular incisor brackets were bonded using a light-cured adhesive. The samples were stored in distilled water for 24 hours at 37°C and then thermocycled. Extra samples were prepared and examined using scanning electron microscopy (SEM). SBS testing was performed and failure modes were classified. ANOVA and Tukey's HSD tests were used to compare SBS among the five subgroups (P < 0.05). Feldspathic and IPS Empress e-Max ceramics had similar SBS values. The Ti:sapphire femtosecond laser (16.76 ± 1.37 MPa) produced the highest mean bond strength, followed by sandblasting (12.79 ± 1.42 MPa) and HF acid (11.28 ± 1.26 MPa). The Er:YAG (5.43 ± 1.21 MPa) and Nd:YAG laser (5.36 ± 1.04 MPa) groups were similar and had the lowest SBS values. More homogeneous and regular surfaces were observed in the ablation pattern with the Ti:sapphire laser than with the other treatments by SEM analysis. Within the limitations of this in vitro study, Ti:sapphire laser- treated surfaces had the highest SBS values. Therefore, this technique may be useful for the pretreatment of ceramic surfaces as an alternative to 'conventional' techniques. © 2015 Wiley Periodicals, Inc.

  10. Growth of GaN on sapphire via low-temperature deposited buffer layer and realization of p-type GaN by Mg doping followed by low-energy electron beam irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Amano, Hiroshi [Department of Electrical Engineering and Computer Science, Venture Business Laboratory, Akasaki Research Center, Nagoya University (Japan)

    2015-06-15

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid to late 1980s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed. (copyright 2015 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    Science.gov (United States)

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Time dependent temperature distribution in pulsed Ti:sapphire lasers

    Science.gov (United States)

    Buoncristiani, A. Martin; Byvik, Charles E.; Farrukh, Usamah O.

    1988-01-01

    An expression is derived for the time dependent temperature distribution in a finite solid state laser rod for an end-pumped beam of arbitrary shape. The specific case of end pumping by circular (constant) or Gaussian beam is described. The temperature profile for a single pump pulse and for repetitive pulse operation is discussed. The particular case of the temperature distribution in a pulsed titanium:sapphire rod is considered.

  13. Device quality ZnO grown using a Filtered Cathodic Vacuum Arc

    International Nuclear Information System (INIS)

    Elzwawi, Salim; Kim, Hyung Suk; Heinhold, Robert; Lynam, Max; Turner, Gary; Partridge, Jim G.; McCulloch, Dougal G.

    2012-01-01

    In this paper we report on the structural, electrical and optical characteristics of unintentionally doped ZnO films grown on a-plane sapphire substrates using the Filtered Cathodic Vacuum Arc (FCVA) technique. The resulting films showed considerable promise for device applications with properties including high transparency, moderate intrinsic carrier concentrations (10 17 -10 19 cm -3 ), electron mobilities up to 30 cm 2 /Vs, low surface roughness (typically <2% of film thickness) and well-structured photoluminescence. Post-annealing in oxygen at temperatures up to 800 °C produced significant improvements in the properties of these films. Silver oxide Schottky diodes fabricated on FCVA ZnO showed ideality factors as low as 1.20 and good sensitivity to ultraviolet light.

  14. Ultrafast third-harmonic generation from textured aluminum nitride-sapphire interfaces

    International Nuclear Information System (INIS)

    Stoker, D. S.; Keto, J. W.; Baek, J.; Wang, W.; Becker, M. F.; Kovar, D.

    2006-01-01

    We measured and modeled third-harmonic generation (THG) from an AlN thin film on sapphire using a time-domain approach appropriate for ultrafast lasers. Second-harmonic measurements indicated that polycrystalline AlN contains long-range crystal texture. An interface model for third-harmonic generation enabled an analytical representation of scanning THG (z-scan) experiments. Using it and accounting for Fresnel reflections, we measured the AlN-sapphire susceptibility ratio and estimated the susceptibility for aluminum nitride, χ xxxx (3) (3ω;ω,ω,ω)=1.52±0.25x10 -13 esu. The third-harmonic (TH) spectrum strongly depended on the laser focus position and sample thickness. The amplitude and phase of the frequency-domain interference were fit to the Fourier transform of the calculated time-domain field to improve the accuracy of several experimental parameters. We verified that the model works well for explaining TH signal amplitudes and spectral phase. Some anomalous features in the TH spectrum were observed, which we attributed to nonparaxial effects

  15. Ultrafast, ultrahigh-peak power Ti:sapphire laser system

    Energy Technology Data Exchange (ETDEWEB)

    Yamakawa, Koichi; Aoyama, Makoto; Matsuoka, Shinichi; Akahane, Yutaka; Kase, Teiji; Nakano, Fumihiko; Sagisaka, Akito [Advanced Photon Research Center, Kansai Research Establishment, Japan Atomic Energy Research Inst., Kizu, Kyoto (Japan)

    2001-01-01

    We review progress in the generation of multiterawatt optical pulses in the 10-fs range. We describe a design, performance and characterization of a Ti:sapphire laser system based on chirped-pulse amplification, which has produced a peak power in excess of 100-TW with sub-20-fs pulse durations and an average power of 19-W at a 10-Hz repetition rate. We also discuss extension of this system to the petawatt power level and potential applications in the relativistic, ultrahigh intensity regimes. (author)

  16. In-plane electric field controlled ferromagnetism and anisotropic magnetoresistance in an LSMO/PMN-PT heterostructure

    Science.gov (United States)

    Guo, Qi; Xu, Xiaoguang; Wang, Fang; Lu, Yunhao; Chen, Jikun; Wu, Yanjun; Meng, Kangkang; Wu, Yong; Miao, Jun; Jiang, Yong

    2018-06-01

    We report the in-plane electric field controlled ferromagnetism of La2/3Sr1/3MnO3 (LSMO) films epitaxially deposited on [Pb(Mg1/3Nb2/3)O3]0.7-(PbTiO3)0.3 (PMN-PT) (001), (011) and (111) single crystal substrates. The in-plane coercivities (H c∥) and remanences of the LSMO films greatly depend on the in-plane electric field applied on the PMN-PT (001) and (011) substrates. The experimental change of H c∥ is consistent with the Stoner–Wohlfarth model and first principle calculation with the electric field varying from ‑10 to 10 kV cm‑1. Moreover, the Curie temperature and anisotropic magnetoresistance of the LSMO films can also be manipulated by an in-plane electric field. Finally, the LSMO/PMN-PT (001) heterostructure is designed to be a new kind of magnetic signal generator with the source of electric field.

  17. Buckling of a stiff thin film on an elastic graded compliant substrate

    Science.gov (United States)

    Chen, Zhou; Chen, Weiqiu; Song, Jizhou

    2017-12-01

    The buckling of a stiff film on a compliant substrate has attracted much attention due to its wide applications such as thin-film metrology, surface patterning and stretchable electronics. An analytical model is established for the buckling of a stiff thin film on a semi-infinite elastic graded compliant substrate subjected to in-plane compression. The critical compressive strain and buckling wavelength for the sinusoidal mode are obtained analytically for the case with the substrate modulus decaying exponentially. The rigorous finite element analysis (FEA) is performed to validate the analytical model and investigate the postbuckling behaviour of the system. The critical buckling strain for the period-doubling mode is obtained numerically. The influences of various material parameters on the results are investigated. These results are helpful to provide physical insights on the buckling of elastic graded substrate-supported thin film.

  18. Functionalization of nanotextured substrates for enhanced identification of metastatic breast cancer cells

    Science.gov (United States)

    Mansur, Nuzhat; Raziul Hasan, Mohammad; Kim, Young-tae; Iqbal, Samir M.

    2017-09-01

    Metastasis is the major cause of low survival rates among cancer patients. Once cancer cells metastasize, it is extremely difficult to contain the disease. We report on a nanotextured platform for enhanced detection of metastatic cells. We captured metastatic (MDA-MDB-231) and non-metastatic (MCF-7) breast cancer cells on anti-EGFR aptamer modified plane and nanotextured substrates. Metastatic cells were seen to change their morphology at higher rates when captured on nanotextured substrates than on plane substrates. Analysis showed statistically different morphological behaviors of metastatic cells that were very pronounced on the nanotextured substrates. Several distance matrices were calculated to quantify the dissimilarity of cell shape change. Nanotexturing increased the dissimilarity of the metastatic cells and as a result the contrast between metastatic and non-metastatic cells increased. Jaccard distance measurements found that the shape change ratio of the non-metastatic and metastatic cells was enhanced from 1:1.01 to 1:1.81, going from plane to nanotextured substrates. The shape change ratio of the non-metastatic to metastatic cells improved from 1:1.48 to 1:2.19 for the Hausdorff distance and from 1:1.87 to 1:4.69 for the Mahalanobis distance after introducing nanotexture. Distance matrix analysis showed that nanotexture increased the shape change ratios of non-metastatic and metastatic cells. Hence, the detectability of metastatic cells increased. These calculated matrices provided clear and explicit measures to discriminate single cells for their metastatic state on functional nanotextured substrates.

  19. Growth of GaN-based non- and semipolar heterostructures for high efficiency light emitters; Wachstum von nicht- und semipolaren InAIGaN-Heterostrukturen fuer hocheffiziente Lichtemitter

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim

    2010-07-01

    Optoelectronic devices based on GaN and its alloys InGaN and AlGaN are capable of emitting light from the visible to the ultraviolet spectral region. Blue and green lasers have applications in laser projectors, DNA sequencing and spectroscopy. But it is extremely difficult to fabricate green laser diodes. Currently almost all of the light emitting diodes (LEDs) and lasers are grown on GaN crystals that are oriented in the polar (0001) c-plane direction, which provides the most stable growth surface. However the resulting polarization fields on (0001)GaN have detrimental effects on the optical properties of nitride light emitters, e.g. causing significant wavelength shifts and reduced efficiencies in InGaN LEDs. Growth on crystal surfaces with non- and semipolar orientations, e.g. (10 anti 10) m-plane or (11 anti 22), could enable devices with new and improved optical properties. For example, for nonpolar and semipolar LEDs the degree of polarization of the emitted light can be tailored. Furthermore easier to grow devices with green light emission, since the indium incorporation is enhanced for semipolar orientations. In contrast to c-plane GaN there is no polarization field across quantum wells on nonpolar GaN. By reducing the polarization fields an increase in the radiative recombination rate can be expected and would lead to higher LED efficiencies and lower laser thresholds. One of the biggest challenges for the growth of light emitters on non- and semipolar GaN is the choice of a suitable substrate: Heteroepitaxial growth on sapphire or LiAlO{sub 2} allows the deposition of GaN on 2'' diameter wafers and larger. However, these layers show a very high defect density in particular basal plane stacking faults, in comparison to c-plane GaN on sapphire. In order to reduce the defect density we applied successfully epitaxial lateral overgrowth to heteroepitaxial nonpolar a-plane GaN and verified the improvement by spatially and spectrally

  20. Growth of GaN-based non- and semipolar heterostructures for high efficiency light emitters

    International Nuclear Information System (INIS)

    Wernicke, Tim

    2010-01-01

    Optoelectronic devices based on GaN and its alloys InGaN and AlGaN are capable of emitting light from the visible to the ultraviolet spectral region. Blue and green lasers have applications in laser projectors, DNA sequencing and spectroscopy. But it is extremely difficult to fabricate green laser diodes. Currently almost all of the light emitting diodes (LEDs) and lasers are grown on GaN crystals that are oriented in the polar (0001) c-plane direction, which provides the most stable growth surface. However the resulting polarization fields on (0001)GaN have detrimental effects on the optical properties of nitride light emitters, e.g. causing significant wavelength shifts and reduced efficiencies in InGaN LEDs. Growth on crystal surfaces with non- and semipolar orientations, e.g. (10 anti 10) m-plane or (11 anti 22), could enable devices with new and improved optical properties. For example, for nonpolar and semipolar LEDs the degree of polarization of the emitted light can be tailored. Furthermore easier to grow devices with green light emission, since the indium incorporation is enhanced for semipolar orientations. In contrast to c-plane GaN there is no polarization field across quantum wells on nonpolar GaN. By reducing the polarization fields an increase in the radiative recombination rate can be expected and would lead to higher LED efficiencies and lower laser thresholds. One of the biggest challenges for the growth of light emitters on non- and semipolar GaN is the choice of a suitable substrate: Heteroepitaxial growth on sapphire or LiAlO 2 allows the deposition of GaN on 2'' diameter wafers and larger. However, these layers show a very high defect density in particular basal plane stacking faults, in comparison to c-plane GaN on sapphire. In order to reduce the defect density we applied successfully epitaxial lateral overgrowth to heteroepitaxial nonpolar a-plane GaN and verified the improvement by spatially and spectrally cathodoluminescence imaging as

  1. Growth optimization for thick crack-free GaN layers on sapphire with HVPE

    Energy Technology Data Exchange (ETDEWEB)

    Richter, E.; Hennig, Ch.; Kissel, H.; Sonia, G.; Zeimer, U.; Weyers, M. [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, 12489 Berlin (Germany)

    2005-05-01

    Conditions for optimized growth of thick GaN layers with crack-free surfaces by HVPE are reported. It was found that a 1:1 mixture of H{sub 2}/N{sub 2} as carrier gas leads to the lowest density of cracks in the surface. Crack formation also depends on the properties of the GaN/sapphire templates used. Best results have been obtained for 5 {mu}m thick GaN/sapphire templates grown by MOVPE with medium compressive strain {epsilon}{sub zz} of about 0.05%. But there is no simple dependence of the crack formation on the strain status of the starting layer indicating that the HVPE growth of GaN can itself introduce strong tensile strain. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. High-rate sputter deposition of NiAl on sapphire fibers

    Energy Technology Data Exchange (ETDEWEB)

    Reichert, K.; Martinez, C.; Cremer, R.; Neuschuetz, D. [Lehrstuhl fuer Theoretische Huettenkunde, RWTH Aachen, Aachen (Germany)

    2002-07-01

    Once the fiber-matrix bonding has been optimized to meet the different requirements during fabrication and operation of the later composite component, sapphire fiber reinforced NiAl will be a potential candidate to substitute conventional superalloys as structural material for gas turbine blades. To improve the composite fabrication process, a direct deposition of the intermetallic matrix material onto hBN coated sapphire fibers prior to the consolidation of the fiber-matrix composite is proposed. It is believed that this will simplify the fabrication process and prevent pore formation during the diffusion bonding. In addition, the fiber volume fraction can be quite easily adjusted by varying the NiAl coating thickness. For this, a high-rate deposition of NiAl is in any case necessary. It has been achieved by a pulsed DC magnetron sputtering of combined Al-Ni targets with the fibers rotating between the two facing cathodes. The obtained nickel aluminide coatings were analyzed as to structure and composition by means of X-ray (GIXRD) as well as electron diffraction (RHEED) and X-ray photoelectron spectroscopy (XPS), respectively. The morphology of the NiAl coatings was examined by SEM. (orig.)

  3. Airborne particulate concentration during laser hair removal: A comparison between cold sapphire with aqueous gel and cryogen skin cooling.

    Science.gov (United States)

    Ross, Edward V; Chuang, Gary S; Ortiz, Arisa E; Davenport, Scott A

    2018-04-01

    High concentrations of sub-micron nanoparticles have been shown to be released during laser hair removal (LHR) procedures. These emissions pose a potential biohazard to healthcare workers that have prolonged exposure to LHR plume. We sought to demonstrate that cold sapphire skin cooling done in contact mode might suppress plume dispersion during LHR. A total of 11 patients were recruited for laser hair removal. They were treated on the legs and axilla with a 755 or 1064 nm millisecond-domain laser equipped with either (i) cryogen spray (CSC); (ii) refrigerated air (RA); or (iii) contact cooling with sapphire (CC). Concentration of ultrafine nanoparticles <1 μm were measured just before and during LHR with the three respective cooling methods. For contact cooling (CC), counts remained at baseline levels, below 3,500 parts per cubic centimeter (ppc) for all treatments. In contrast, the CSC system produced large levels of plume, peaking at times to over 400,000 ppc. The CA cooled system produced intermediate levels of plume, about 35,000 ppc (or about 10× baseline). Cold Sapphire Skin cooling with gel suppresses plume during laser hair removal, potentially eliminating the need for smoke evacuators, custom ventilation systems, and respirators during LHR. Lasers Surg. Med. 50:280-283, 2018. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  4. Facet Appearance on the Lateral Face of Sapphire Single-Crystal Fibers during LHPG Growth

    Directory of Open Access Journals (Sweden)

    Liudmila D. Iskhakova

    2016-08-01

    Full Text Available Results of the study of the lateral surface of single-crystal (SC sapphire fibers grown along crystallographic directions [ 0001 ] and [ 11 2 ¯ 0 ] by the LHPG method are presented. The appearance or absence of faceting of the lateral surface of the fibers depending on the growth direction is analyzed. The crystallographic orientation of the facets is investigated. The microstructure of the samples is investigated with the help of an optical microscope and a JSM-5910LV scanning electronic microscope (JEOL. The crystallographic orientations of the facets on the SC sapphire fiber surface are determined by electron backscatter diffraction (EBSD. The seed orientation is studied by means of XRD techniques.

  5. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    OpenAIRE

    Monemar, Bo; Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Lindgren, David; Samuelson, Lars; Ni, Xianfeng; Morkoç, Hadis; Paskova, Tanya; Bi, Zhaoxia; Ohlsson, Jonas

    2011-01-01

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 1018cm-3 to well above 1019 cm-3. The samples were grown with MOCVD at reduced pressure on low defect density m-plane bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9 eV to 3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependenc...

  6. Onset of surface stimulated emission at 260 nm from AlGaN multiple quantum wells

    International Nuclear Information System (INIS)

    Li, Xiaohang; Xie, Hongen; Ponce, Fernando A.; Ryou, Jae-Hyun; Detchprohm, Theeradetch; Dupuis, Russell D.

    2015-01-01

    We demonstrated onset of deep-ultraviolet (DUV) surface stimulated emission (SE) from c-plane AlGaN multiple-quantum well (MQW) heterostructures grown on a sapphire substrate by optical pumping at room temperature. The onset of SE became observable at a pumping power density of 630 kW/cm 2 . Spectral deconvolution revealed superposition of a linearly amplified spontaneous emission peak at λ ∼ 257.0 nm with a full width at half maximum (FWHM) of ∼12 nm and a superlinearly amplified SE peak at λ ∼ 260 nm with a narrow FWHM of less than 2 nm. In particular, the wavelength of ∼260 nm is the shortest wavelength of surface SE from III-nitride MQW heterostructures to date. Atomic force microscopy and scanning transmission electron microscopy measurements were employed to investigate the material and structural quality of the AlGaN heterostructures, showing smooth surface and sharp layer interfaces. This study offers promising results for AlGaN heterostructures grown on sapphire substrates for the development of DUV vertical cavity surface emitting lasers (VCSELs)

  7. Twin-assisted growth of nominally stable substrates underneath dewetted Au nanoparticles

    International Nuclear Information System (INIS)

    Liu, Fang; Xie, Dong Yue; Majdi, Tahereh; Zhu, Guo-zhen

    2016-01-01

    By applying a simple and inexpensive thermal treatment, we synthesized supported gold-oxide nanostructures, which have potential applications to plasmonic devices and biosensors. The regrowth of nominally stable substrates under gold nanoparticles is associated with the appearance of preferential orientations of dewetted nanoparticles and the formation of atomically sharp interfacial monolayers. Steps present at the interfacial monolayer usually occur at defects including the intersection points of twin planes at the interface. They were related to the nucleation and immigration of the interfacial monolayers, prompting the substrate regrowth. Accordingly, we proposed the twin-assisted growth mechanism, which provides insight on the synthesis of gold-oxide nanostructures. - Highlights: • The twin-assisted growth mechanism is proposed for the abnormal regrowth of substrate underneath Au nanoparticles. • The substrate regrowth is related to the steps and ledges that are present at the Au–MgAl_2O_4 interfacial monolayers. • Interfacial steps are detected at defects such as the intersecting points of twin planes at the interface.

  8. Twin-assisted growth of nominally stable substrates underneath dewetted Au nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Fang; Xie, Dong Yue [State Key Laboratory of Metal Matrix Composites, School of Materials Science and Engineering, Shanghai Jiao Tong University, 800 Dongchuan Rd., Shanghai 200240 (China); Majdi, Tahereh [Department of Engineering Physics, McMaster University, 1280 Main St. W., Hamilton, ON L8S 4L7 (Canada); Zhu, Guo-zhen, E-mail: zhugz@sjtu.edu.cn [State Key Laboratory of Metal Matrix Composites, School of Materials Science and Engineering, Shanghai Jiao Tong University, 800 Dongchuan Rd., Shanghai 200240 (China)

    2016-03-15

    By applying a simple and inexpensive thermal treatment, we synthesized supported gold-oxide nanostructures, which have potential applications to plasmonic devices and biosensors. The regrowth of nominally stable substrates under gold nanoparticles is associated with the appearance of preferential orientations of dewetted nanoparticles and the formation of atomically sharp interfacial monolayers. Steps present at the interfacial monolayer usually occur at defects including the intersection points of twin planes at the interface. They were related to the nucleation and immigration of the interfacial monolayers, prompting the substrate regrowth. Accordingly, we proposed the twin-assisted growth mechanism, which provides insight on the synthesis of gold-oxide nanostructures. - Highlights: • The twin-assisted growth mechanism is proposed for the abnormal regrowth of substrate underneath Au nanoparticles. • The substrate regrowth is related to the steps and ledges that are present at the Au–MgAl{sub 2}O{sub 4} interfacial monolayers. • Interfacial steps are detected at defects such as the intersecting points of twin planes at the interface.

  9. Epitaxial growth of AlN on single crystal Mo substrates

    International Nuclear Information System (INIS)

    Okamoto, Koichiro; Inoue, Shigeru; Nakano, Takayuki; Kim, Tae-Won; Oshima, Masaharu; Fujioka, Hiroshi

    2008-01-01

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30 o rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices

  10. Epitaxial growth of AlN on single crystal Mo substrates

    Energy Technology Data Exchange (ETDEWEB)

    Okamoto, Koichiro; Inoue, Shigeru [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Nakano, Takayuki; Kim, Tae-Won [Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan); Oshima, Masaharu [Department of Applied Chemistry, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo, 113-8656 (Japan); Fujioka, Hiroshi [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan)], E-mail: hfujioka@iis.u-tokyo.ac.jp

    2008-06-02

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30{sup o} rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices.

  11. Out-of-plane strain effect on silicon-based flexible FinFETs

    KAUST Repository

    Ghoneim, Mohamed T.; Alfaraj, Nasir; Sevilla, Galo T.; Fahad, Hossain M.; Hussain, Muhammad Mustafa

    2015-01-01

    Summary form only given. We report out-of-plane strain effect on silicon based flexible FinFET, with sub 20 nm wide fins and hafnium silicate based high-κ gate dielectric. Since ultra-thin inorganic solid state substrates become flexible with reduced thickness, flexing induced strain does not enhance performance. However, detrimental effects arise as the devices are subject to various out-of-plane stresses (compressive and tensile) along the channel length.

  12. Out-of-plane strain effect on silicon-based flexible FinFETs

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-06-21

    Summary form only given. We report out-of-plane strain effect on silicon based flexible FinFET, with sub 20 nm wide fins and hafnium silicate based high-κ gate dielectric. Since ultra-thin inorganic solid state substrates become flexible with reduced thickness, flexing induced strain does not enhance performance. However, detrimental effects arise as the devices are subject to various out-of-plane stresses (compressive and tensile) along the channel length.

  13. Direct determination of monolayer MoS2 and WSe2 exciton binding energies on insulating and metallic substrates

    KAUST Repository

    Park, Soohyung; Mutz, Niklas; Schultz, Thorsten; Blumstengel, Sylke; Han, Ali; Aljarb, Areej; Li, Lain-Jong; List-Kratochvil, Emil J W; Amsalem, Patrick; Koch, Norbert

    2018-01-01

    Understanding the excitonic nature of excited states in two-dimensional (2D) transition-metal dichalcogenides (TMDCs) is of key importance to make use of their optical and charge transport properties in optoelectronic applications. We contribute to this by the direct experimental determination of the exciton binding energy (E b,exc) of monolayer MoS2 and WSe2 on two fundamentally different substrates, i.e. the insulator sapphire and the metal gold. By combining angle-resolved direct and inverse photoelectron spectroscopy we measure the electronic band gap (E g), and by reflectance measurements the optical excitonic band gap (E exc). The difference of these two energies is E b,exc. The values of E g and E b,exc are 2.11 eV and 240 meV for MoS2 on sapphire, and 1.89 eV and 240 meV for WSe2 on sapphire. On Au E b,exc is decreased to 90 meV and 140 meV for MoS2 and WSe2, respectively. The significant E b,exc reduction is primarily due to a reduction of E g resulting from enhanced screening by the metal, while E exc is barely decreased for the metal support. Energy level diagrams determined at the K-point of the 2D TMDCs Brillouin zone show that MoS2 has more p-type character on Au as compared to sapphire, while WSe2 appears close to intrinsic on both. These results demonstrate that the impact of the dielectric environment of 2D TMDCs is more pronounced for individual charge carriers than for a correlated electron–hole pair, i.e. the exciton. A proper dielectric surrounding design for such 2D semiconductors can therefore be used to facilitate superior optoelectronic device function.

  14. Direct determination of monolayer MoS2 and WSe2 exciton binding energies on insulating and metallic substrates

    Science.gov (United States)

    Park, Soohyung; Mutz, Niklas; Schultz, Thorsten; Blumstengel, Sylke; Han, Ali; Aljarb, Areej; Li, Lain-Jong; List-Kratochvil, Emil J. W.; Amsalem, Patrick; Koch, Norbert

    2018-04-01

    Understanding the excitonic nature of excited states in two-dimensional (2D) transition-metal dichalcogenides (TMDCs) is of key importance to make use of their optical and charge transport properties in optoelectronic applications. We contribute to this by the direct experimental determination of the exciton binding energy (E b,exc) of monolayer MoS2 and WSe2 on two fundamentally different substrates, i.e. the insulator sapphire and the metal gold. By combining angle-resolved direct and inverse photoelectron spectroscopy we measure the electronic band gap (E g), and by reflectance measurements the optical excitonic band gap (E exc). The difference of these two energies is E b,exc. The values of E g and E b,exc are 2.11 eV and 240 meV for MoS2 on sapphire, and 1.89 eV and 240 meV for WSe2 on sapphire. On Au E b,exc is decreased to 90 meV and 140 meV for MoS2 and WSe2, respectively. The significant E b,exc reduction is primarily due to a reduction of E g resulting from enhanced screening by the metal, while E exc is barely decreased for the metal support. Energy level diagrams determined at the K-point of the 2D TMDCs Brillouin zone show that MoS2 has more p-type character on Au as compared to sapphire, while WSe2 appears close to intrinsic on both. These results demonstrate that the impact of the dielectric environment of 2D TMDCs is more pronounced for individual charge carriers than for a correlated electron-hole pair, i.e. the exciton. A proper dielectric surrounding design for such 2D semiconductors can therefore be used to facilitate superior optoelectronic device function.

  15. Direct determination of monolayer MoS2 and WSe2 exciton binding energies on insulating and metallic substrates

    KAUST Repository

    Park, Soohyung

    2018-01-03

    Understanding the excitonic nature of excited states in two-dimensional (2D) transition-metal dichalcogenides (TMDCs) is of key importance to make use of their optical and charge transport properties in optoelectronic applications. We contribute to this by the direct experimental determination of the exciton binding energy (E b,exc) of monolayer MoS2 and WSe2 on two fundamentally different substrates, i.e. the insulator sapphire and the metal gold. By combining angle-resolved direct and inverse photoelectron spectroscopy we measure the electronic band gap (E g), and by reflectance measurements the optical excitonic band gap (E exc). The difference of these two energies is E b,exc. The values of E g and E b,exc are 2.11 eV and 240 meV for MoS2 on sapphire, and 1.89 eV and 240 meV for WSe2 on sapphire. On Au E b,exc is decreased to 90 meV and 140 meV for MoS2 and WSe2, respectively. The significant E b,exc reduction is primarily due to a reduction of E g resulting from enhanced screening by the metal, while E exc is barely decreased for the metal support. Energy level diagrams determined at the K-point of the 2D TMDCs Brillouin zone show that MoS2 has more p-type character on Au as compared to sapphire, while WSe2 appears close to intrinsic on both. These results demonstrate that the impact of the dielectric environment of 2D TMDCs is more pronounced for individual charge carriers than for a correlated electron–hole pair, i.e. the exciton. A proper dielectric surrounding design for such 2D semiconductors can therefore be used to facilitate superior optoelectronic device function.

  16. Numerical investigation of thermal and residual stress of sapphire during c-axis vertical Bridgman growth process considering the solidification history effect

    Science.gov (United States)

    Hwang, Ji Hoon; Lee, Young Cheol; Lee, Wook Jin

    2018-01-01

    Sapphire single crystals have been highlighted for epitaxial of gallium nitride films in high-power laser and light emitting diode industries. In this study, the evolution of thermally induced stress in sapphire during the vertical Bridgman crystal growth process was investigated using a finite element model that simplified the real Bridgman process. A vertical Bridgman process of cylindrical sapphire crystal with a diameter of 50 mm was considered for the model. The solidification history effect during the growth was modeled by the quite element technique. The effects of temperature gradient, seeding interface shape and seeding position on the thermal stress during the process were discussed based on the finite element analysis results.

  17. Effect of coating thickness on interfacial shear behavior of zirconia-coated sapphire fibers in a polycrystalline alumina matrix

    International Nuclear Information System (INIS)

    Hellmann, J.R.; Chou, Y.S.

    1995-01-01

    The effect of zirconia (ZrO 2 ) interfacial coatings on the interfacial shear behavior in sapphire reinforced alumina was examined in this study. Zirconia coatings of thicknesses ranging from 0.15 to 1.45 μm were applied to single crystal sapphire (Saphikon) fibers using a particulate loaded sol dipping technique. After calcining at 1,100 C in air, the coated fibers were incorporated into a polycrystalline alumina matrix via hot pressing. Interfacial shear strength and sliding behavior of the coated fibers was examined using thin-slice indentation fiber pushout and pushback techniques. In all cases, debonding and sliding occurred at the interface between the fibers and the coating. The coatings exhibited a dense microstructure and led to a higher interfacial shear strength (> 240 MPa) and interfacial sliding stress (> 75 MPa) relative to previous studies on the effect of a porous interphase on interfacial properties. The interfacial shear strength decreased with increasing fiber coating thickness (from 389 ± 59 to 241 ± 43 MPa for 0.15 to 1.45 microm thick coatings, respectively). Sliding behavior exhibited load modulation with increasing displacement during fiber sliding which is characteristic of fiber roughness-induced stick-slip. The high interfacial shear strengths and sliding stresses measured in this study, as well as the potentially strength degrading surface reconstruction observed on the coated fibers after hot pressing and heat treatment, indicate that dense zirconia coatings are not suitable candidates for optimizing composite toughness and strength in the sapphire fiber reinforced alumina system

  18. CBE growth of high-quality ZnO epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    El-Shaer, A.; Bakin, A.; Mofor, A.C.; Kreye, M.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Stoimenos, J. [Physics Department, Aristotele University, Univ. Campus, 54006 Thessaloniki (Greece); Pecz, B. [Research Institute for Technical Physics and Materials Science, Hungarian Academy of Sciences, P.O. Box 49, 1525 Budapest (Hungary); Heuken, M. [Aixtron AG, Kackertstr. 15-17, 52072 Aachen (Germany)

    2006-03-15

    Further improvements on the recently reported novel approach to zinc oxide Chemical Beam Epitaxy (CBE) are presented. Hydrogen peroxide is employed as a very efficient novel oxidant. ZnO layers with a thickness from 100 nm to 600 nm were grown on c-sapphire using a MgO buffer. PL-mapping as well as conductivity mapping shows a good uniformity across the 2 inch ZnO-on-sapphire epiwafers. The measured surface roughness for the best layers is as low as 0.26 nm. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO. The FWHM of the HRXRD (0002) rocking curves measured for the 2 inch ZnO-on-sapphire wafers is as low as 27 arcsec with a very high lateral homogeneity across the whole wafer. Plane view HRTEM observations reveal the very good quality of the ZnO films. The results indicate that CBE is a suitable technique to fabricate ZnO of very high structural quality, which can eventually be used as an alternative to bulk ZnO substrates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Optoelectronic Performance Variations in InGaN/GaN Multiple-Quantum-Well Light-Emitting Diodes: Effects of Potential Fluctuation.

    Science.gov (United States)

    Islam, Abu Bashar Mohammad Hamidul; Shim, Jong-In; Shin, Dong-Soo

    2018-05-07

    We investigate the cause of the optoelectronic performance variations in InGaN/GaN multiple-quantum-well blue light-emitting diodes, using three different samples from an identical wafer grown on a c -plane sapphire substrate. Various macroscopic measurements have been conducted, revealing that with increasing strain in the quantum wells (QWs), the crystal quality improves with an increasing peak internal quantum efficiency while the droop becomes more severe. We propose to explain these variations using a model where the in-plane local potential fluctuation in QWs is considered. Our work is contrasted with prior works in that macroscopic measurements are utilized to find clues on the microscopic changes and their impacts on the device performances, which has been rarely attempted.

  20. Evaluating the Type of Light Transmittance in Mono Crystalline, Poly Crystalline and Sapphire Brackets- An Invitro Spectrofluorometer Study.

    Science.gov (United States)

    Mohamed, Jauhar P; Kommi, Pradeep Babu; Kumar, M Senthil; Hanumanth; Venkatesan; Aniruddh; Arvinth; Kumar, Arani Nanda

    2016-08-01

    Most of the patients seek orthodontic treatment to improve the smile, which improves the facial profile by means of fixed appliances i.e., brackets and wires. The brackets are of different types like stainless steel and ceramic. Ceramic brackets were considered as aesthetic appliance which was divided into mono-crystalline, polycrystalline and sapphire brackets. The light transmittance might influence the degree of curing adhesive material in mono crystalline, polycrystalline and sapphire brackets. The aim of the present study was to evaluate the translucency and intensity of three different aesthetic brackets (mono crystalline, poly crystalline and sapphire ceramic brackets) and to determine their influence on shear bond strength of the brackets. The adhesive remnant index was also measured after debonding of the brackets from the tooth surface. Twenty six samples each of monocrystalline, polycrystalline and sapphire brackets (total 78 ceramic brackets) were used for the study. The bracket samples were subjected to optical fluorescence test using spectrofluorometer to measure the intensity of the brackets. Seventy eight extracted premolar teeth were procured and divided into 3 groups. The brackets were then bonded to the tooth using Transbond XT (3M Unitek) light cure composite material and cured with new light cure unit (Light Emitting Diode) of wood pecker company (400-450nm) for 30 seconds, and these samples were subjected to shear bond strength test with Instron Universal Testing Machine (UNITEK-94100) with a load range between 0 to 100 KN with a maximum cross head speed of 0.5mm/min. ARI (Adhesive Remnant Index) scores were evaluated according to Artun and Bergland scoring system using stereomicroscope at 20x magnification. The light absorption values obtained from spectrofluorometeric study were 3300000-3500000 cps for group 1 (monocrystalline ceramic brackets), 6000000-6500000 cps for Group 2 (polycrystalline ceramic brackets) and 2700000 -3000000 cps for

  1. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    Science.gov (United States)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  2. Superconducting accelerometer using niobium-on-sapphire rf resonator

    International Nuclear Information System (INIS)

    Blair, D.G.

    1979-01-01

    An accelerometer is described which uses a rf niobium-on-sapphire resonator as its sensor element. The accelerometer uses a magnetically levitated spool as a test mass and the spool modulates the inductance of the resonator; its position is servo controlled to maintain the resonator at the external rf excitation frequency. The accelerometer has high sensitivity over the full audio frequency range, but is optimized for frequencies between 100 Hz and 1 kHz, where the calculated displacement sensitivity approaches 10 -15 cm for a 1 Hz measurement bandwidth. The system noise sources are analyzed and possible improvements are discussed

  3. Homoepitaxial growth of a-plane GaN layers by reaction between Ga2O vapor and NH3 gas

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    Growth of high-quality a-plane GaN layers was performed by reaction between Ga 2 O vapor and NH 3 gas at a high temperature. Smooth a-plane GaN epitaxial layers were obtained on a-plane GaN seed substrates sliced from thick c-plane GaN crystals. Growth rate increased with increasing Ga 2 O partial pressure. An a-plane GaN layer with a growth rate of 48 μm/h was obtained. The X-ray rocking curve (XRC) measurement showed that the full widths at half maximum (FWHMs) of GaN(112-bar0) with the incident beam parallel and perpendicular to the [0001] direction were 29–43 and 29–42 arcsec, respectively. Secondary ion mass spectrometry (SIMS) measurement revealed that oxygen concentration decreased at a high temperature. These results suggest that growth of a-GaN layers using Ga 2 O vapor and NH 3 gas at a high temperature enables the generation of high-quality crystals. (author)

  4. Highly textured films of layered metal disulfide 2H-WS{sub 2}: Preparation and optoelectronic properties

    Energy Technology Data Exchange (ETDEWEB)

    Matthaeus, A.; Ennaoui, A.; Fiechter, S. [Hahn-Meitner-Inst., Berlin (Germany)] [and others

    1997-03-01

    Highly textured films of 2H-WS{sub 2} can be obtained by sulfurization of up to 4 {micro}m thick WO{sub 3} layers in the presence of hydrogen using amorphous (quartz glass, glassy carbon) or crystalline (sapphire, Muscovite, highly oriented pyrolytic graphite) substrates. Best conditions have been found employing (00.1) oriented sapphire substrates with a 5 nm thick nickel layer interposed between substrate and oxide film (0.5 to 4 {micro}m thickness) and a reaction temperature ranging from 973 to 1,173 K. Depending on time the crystallites, oriented with their hexagonal basal planes parallel to the substrate, exhibited a lateral extension of up to 20 {micro}m and a thickness of {le}300 nm. Conductivity measurements of the films showed a p-type conductivity in the range from 0.1 to 3 {Omega}{sup {minus}1} cm{sup {minus}1} and a lateral mobility as high as 105 cm{sup 2}/V s at room temperature. The conductivity type has been confirmed by ultraviolet photoelectron and x-ray photoelectron spectroscopy which were compared with n-type single crystals. A freestanding film pealed off from a Pt coated quartz substrate and mounted on a brass holder was investigated photoelectrochemically. Using a 0.2 M Fe{sup 2+}/Fe{sup 3+} redox electrolyte in 0.5 M H{sub 2}SO{sub 4} an open-circuit voltage of {approx}100 mV and a short-circuit current of 5 mA/cm{sup 2} has been detected for the first time.

  5. Suppression of self-heating effect in AlGaN/GaN high electron mobility transistors by substrate-transfer technology using h-BN

    International Nuclear Information System (INIS)

    Hiroki, Masanobu; Kumakura, Kazuhide; Kobayashi, Yasuyuki; Akasaka, Tetsuya; Makimoto, Toshiki; Yamamoto, Hideki

    2014-01-01

    We fabricated AlGaN/GaN high electron mobility transistors (HEMTs) on h-BN/sapphire substrates and transferred them from the host substrates to copper plates using h-BN as a release layer. In current–voltage characteristics, the saturation drain current decreased by about 30% under a high-bias condition before release by self-heating effect. In contrast, after transfer, the current decrement was as small as 8% owing to improved heat dissipation: the device temperature increased to 50 °C in the as-prepared HEMT, but only by several degrees in the transferred HEMT. An effective way to improve AlGaN/GaN HEMT performance by a suppression of self-heating effect has been demonstrated

  6. Suppression of self-heating effect in AlGaN/GaN high electron mobility transistors by substrate-transfer technology using h-BN

    Energy Technology Data Exchange (ETDEWEB)

    Hiroki, Masanobu, E-mail: hiroki.masanobu@lab.ntt.co.jp; Kumakura, Kazuhide; Kobayashi, Yasuyuki; Akasaka, Tetsuya; Makimoto, Toshiki; Yamamoto, Hideki [NTT Basic Research Laboratories, NTT Corporation 3-1 Morinosato Wakamiya, Atsugi-shi 243-0198 (Japan)

    2014-11-10

    We fabricated AlGaN/GaN high electron mobility transistors (HEMTs) on h-BN/sapphire substrates and transferred them from the host substrates to copper plates using h-BN as a release layer. In current–voltage characteristics, the saturation drain current decreased by about 30% under a high-bias condition before release by self-heating effect. In contrast, after transfer, the current decrement was as small as 8% owing to improved heat dissipation: the device temperature increased to 50 °C in the as-prepared HEMT, but only by several degrees in the transferred HEMT. An effective way to improve AlGaN/GaN HEMT performance by a suppression of self-heating effect has been demonstrated.

  7. Light refraction in sapphire plates with a variable angle of crystal optical axis to the surface

    International Nuclear Information System (INIS)

    Vetrov, V. N.; Ignatenkov, B. A.

    2013-01-01

    The modification of sapphire by inhomogeneous plastic deformation makes it possible to obtain plates with a variable angle of inclination of the crystal optical axis to the plate surface. The refraction of light in this plate at perpendicular and oblique incidence of a parallel beam of rays is considered. The algorithm of calculating the refractive index of extraordinary ray and the birefringence is proposed.

  8. "You Hafta Push": Using Sapphire's Novel to Teach Introduction to American Government

    Science.gov (United States)

    Pappas, Christine

    2007-01-01

    Using fiction in the classroom can dramatize public policy issues and political science concepts, therefore, making them more real and relevant to students. Sapphire's 1996 novel "Push" puts a face on welfare, rape, incest, child abuse, educational inequalities, homophobia, and AIDS. I also use this novel to discuss the public policy process,…

  9. The Effect of Thermal Fluctuation on the Receptor-Mediated Adhesion of a Cell Membrane to an Elastic Substrate

    Directory of Open Access Journals (Sweden)

    Bahador Marzban

    2017-04-01

    Full Text Available Mechanics of the bilayer membrane play an important role in many biological and bioengineering problems such as cell–substrate and cell–nanomaterial interactions. In this work, we study the effect of thermal fluctuation and the substrate elasticity on the cell membrane–substrate adhesion. We model the adhesion of a fluctuating membrane on an elastic substrate as a two-step reaction comprised of the out-of-plane membrane fluctuation and the receptor–ligand binding. The equilibrium closed bond ratio as a function of substrate rigidity was computed by developing a coupled Fourier space Brownian dynamics and Monte Carlo method. The simulation results show that there exists a crossover value of the substrate rigidity at which the closed bond ratio is maximal.

  10. Green-diode-pumped femtosecond Ti:Sapphire laser with up to 450 mW average power.

    Science.gov (United States)

    Gürel, K; Wittwer, V J; Hoffmann, M; Saraceno, C J; Hakobyan, S; Resan, B; Rohrbacher, A; Weingarten, K; Schilt, S; Südmeyer, T

    2015-11-16

    We investigate power-scaling of green-diode-pumped Ti:Sapphire lasers in continuous-wave (CW) and mode-locked operation. In a first configuration with a total pump power of up to 2 W incident onto the crystal, we achieved a CW power of up to 440 mW and self-starting mode-locking with up to 200 mW average power in 68-fs pulses using semiconductor saturable absorber mirror (SESAM) as saturable absorber. In a second configuration with up to 3 W of pump power incident onto the crystal, we achieved up to 650 mW in CW operation and up to 450 mW in 58-fs pulses using Kerr-lens mode-locking (KLM). The shortest pulse duration was 39 fs, which was achieved at 350 mW average power using KLM. The mode-locked laser generates a pulse train at repetition rates around 400 MHz. No complex cooling system is required: neither the SESAM nor the Ti:Sapphire crystal is actively cooled, only air cooling is applied to the pump diodes using a small fan. Because of mass production for laser displays, we expect that prices for green laser diodes will become very favorable in the near future, opening the door for low-cost Ti:Sapphire lasers. This will be highly attractive for potential mass applications such as biomedical imaging and sensing.

  11. Surface-enhanced Raman spectroscopy based on conical holed enhancing substrates

    International Nuclear Information System (INIS)

    Chen, Yao; Chen, Zeng-Ping; Zuo, Qi; Shi, Cai-Xia; Yu, Ru-Qin

    2015-01-01

    In this contribution, surface-enhanced Raman spectroscopy (SERS) based on conical holed glass substrates deposited with silver colloids was reported for the first time. It combines the advantages of both dry SERS assays based on plane films deposited with silver colloids and wet SERS assays utilizing cuvettes or capillary tubes. Compared with plane glass substrates deposited with silver colloids, the conical holed glass substrates deposited with silver colloids exhibited five-to ten-folds of increase in the rate of signal enhancement, due to the internal multiple reflections of both the excitation laser beam and the Raman scattering photons within conical holes. The application of conical holed glass substrates could also yield significantly stronger and more reproducible SERS signals than SERS assays utilizing capillary tubes to sample the mixture of silver colloids and the solution of the analyte of interest. The conical holed glass substrates in combination with the multiplicative effects model for surface-enhanced Raman spectroscopy (MEM SERS ) achieved quite sensitive and precise quantification of 6-mercaptopurine in complex plasma samples with an average relative prediction error of about 4% and a limit of detection of about 0.02 μM using a portable i-Raman 785H spectrometer. It is reasonable to expect that SERS technique based on conical holed enhancing substrates in combination with MEM SERS model can be developed and extended to other application areas such as drug detection, environmental monitoring, and clinic analysis, etc. - Highlights: • A novel conical holed SERS enhancing substrate was designed and manufactured. • The optimal conical holed glass substrates can produce stronger SERS signal. • The novel substrates can overcome the shortcomings of both dry and wet methods. • The novel substrates coupled with MEM SERS can realize quantitative SERS assays

  12. Control of heteroepitaxial stacking by substrate miscut

    International Nuclear Information System (INIS)

    Bonham, S.W.; Flynn, C.P.

    1998-01-01

    We report studies of fcc epitaxial crystals, grown on Nb(110), in which the Nb surface offers a template for selection between the two alternative stackings, ABCA hor-ellipsis and ACBA hor-ellipsis of the fcc close-packed planes. The Nb templates were grown epitaxially about 500 Angstrom thick on sapphire (11 bar 20), and the fcc material studied was Cu 3 Au. From symmetry it is not possible for the perfect bcc (110) surface to cause any such selection, which is here attributed instead to vicinal miscut: the logarithm of the stacking ratio must be even in miscut along [001] and odd in miscut along [1 bar 10]. We find that the measured selectivity is small for miscuts less than about 0.5 degree, but approaches a factor 10 3 for miscuts along [1 bar 10] greater than about 1 degree. A mechanism for the selection process is discussed in terms of fingered mesostructures that grow on Nb(110) in this regime, as observed first by Zhou, Bonham, and Flynn. copyright 1998 The American Physical Society

  13. Effect of the substrate orientation on the formation of He-plates in Si

    International Nuclear Information System (INIS)

    Vallet, M.; Barbot, J. F.; Declémy, A.; Beaufort, M. F.; Reboh, S.

    2013-01-01

    The effect of the crystalline orientation on the implantation-induced strain/stress and on the formation of He-plates was studied by combining high-resolution X-ray scattering and transmission electron microscopy. The highest strains are obtained in (001)-oriented implanted substrates regardless of the fluence and of the channeling effects. The anisotropic properties of the silicon that generate an anisotropic elastic response of the substrate were taken into account to explain these different values of strain. Upon specific thermal annealing, it is shown that the formation of He-plates occurs only in the (001) habit planes regardless of the orientation of the substrates, non-tilted and tilted (001)-, (110)-, and (111)-substrates. Moreover, the distribution of He-plates in the (001) variants was found to be strongly dependent on the angle of the habit plane with the surface and on the intensity of the implantation-induced strain/stress. The implantation-induced stress thus favors the formation of He-plates in specific planes (of low angle with the surface) forming different defect configurations. The nucleation and growth of He-plates are thus discussed with regard to the implantation-induced stress

  14. Ultrasound-Guided Out-of-Plane vs. In-Plane Interscalene Catheters: A Randomized, Prospective Study.

    Science.gov (United States)

    Schwenk, Eric S; Gandhi, Kishor; Baratta, Jaime L; Torjman, Marc; Epstein, Richard H; Chung, Jaeyoon; Vaghari, Benjamin A; Beausang, David; Bojaxhi, Elird; Grady, Bernadette

    2015-12-01

    Continuous interscalene blocks provide excellent analgesia after shoulder surgery. Although the safety of the ultrasound-guided in-plane approach has been touted, technical and patient factors can limit this approach. We developed a caudad-to-cephalad out-of-plane approach and hypothesized that it would decrease pain ratings due to better catheter alignment with the brachial plexus compared to the in-plane technique in a randomized, controlled study. To compare an out-of-plane interscalene catheter technique to the in-plane technique in a randomized clinical trial. Eighty-four patients undergoing open shoulder surgery were randomized to either the in-plane or out-of-plane ultrasound-guided continuous interscalene technique. The primary outcome was VAS pain rating at 24 hours. Secondary outcomes included pain ratings in the recovery room and at 48 hours, morphine consumption, the incidence of catheter dislodgments, procedure time, and block difficulty. Procedural data and all pain ratings were collected by blinded observers. There were no differences in the primary outcome of median VAS pain rating at 24 hours between the out-of-plane and in-plane groups (1.50; IQR, [0 - 4.38] vs. 1.25; IQR, [0 - 3.75]; P = 0.57). There were also no differences, respectively, between out-of-plane and in-plane median PACU pain ratings (1.0; IQR, [0 - 3.5] vs. 0.25; IQR, [0 - 2.5]; P = 0.08) and median 48-hour pain ratings (1.25; IQR, [1.25 - 2.63] vs. 0.50; IQR, [0 - 1.88]; P = 0.30). There were no differences in any other secondary endpoint. Our out-of-plane technique did not provide superior analgesia to the in-plane technique. It did not increase the number of complications. Our technique is an acceptable alternative in situations where the in-plane technique is difficult to perform.

  15. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren; Ndione, Paul; Ginley, David; Persson, Kristin A.

    2016-05-25

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structures favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.

  16. Local heteroepitaxy as an adhesion mechanism in aluminium coatings cold gas sprayed on AlN substrates

    International Nuclear Information System (INIS)

    Wüstefeld, Christina; Rafaja, David; Motylenko, Mykhaylo; Ullrich, Christiane; Drehmann, Rico; Grund, Thomas; Lampke, Thomas; Wielage, Bernhard

    2017-01-01

    Cold gas sprayed Al coatings deposited onto wurtzitic AlN substrates show excellent adhesion. As a possible adhesion mechanism, the local heteroepitaxy between Al and AlN was considered and verified experimentally in Al coatings, which were deposited using magnetron sputtering or cold gas spraying on single-crystalline and polycrystalline AlN substrates. Analysis of the local orientation relationships at the Al/AlN interfaces revealed that preferentially such lattice planes of Al align parallel with the upright lattice planes of AlN, which possess similar interplanar distances. The matching lattice planes in the Al coatings grew as continuations of the lattice planes in the AlN substrates. In all samples under study, the parallel alignment of the lattice planes {220}_A_l and {110}_A_l_N was found. Additional orientation relationships between Al and AlN arose if parallel lattice planes with similar interplanar spacing could be found in both counterparts via rotation of the lattice planes {220}_A_l around their normal direction. Still, the oriented growth of Al on AlN is only possible if Al atoms in the deposited coatings are mobile enough to rearrange along the AlN surface. Whereas the mobility of Al atoms in a magnetron sputtering process is expected to be sufficiently high, the intrinsic mobility of Al atoms in the cold gas sprayed particles is anticipated to be low. However, the auxiliary microstructure analyses have shown that local recrystallization and partial melting are two phenomena, which can facilitate the rearrangement of Al atoms within the cold gas sprayed coating.

  17. Wetting phenomena of Al-Cu alloys on sapphire below 800 deg. C

    International Nuclear Information System (INIS)

    Klinter, Andreas J.; Leon-Patino, Carlos A.; Drew, Robin A.L.

    2010-01-01

    Using a modified dispensed drop method, a decrease in contact angle on sapphire from pure aluminum to low-copper-containing Al alloys (7-12 wt.%) was found; with higher copper additions θ transitions to the non-wetting regime. Atomic force microscopy on long-term samples showed a significantly increased surface roughness beneath the drop. Using high-resolution transmission electron microscopy, the reaction product at the interface was identified as CuAl 2 O 4 for Al-7Cu and Al 2 O 3 for an Al-99.99 drop. X-ray photoelectron spectroscopy further confirmed the formation of CuAl 2 O 4 under CuAl 2 drops. Spinel formation is caused by reaction of the alloy with residual oxygen in the furnace that is transported along the interface as modeled by thermodynamic simulations. The formation of CuAl 2 O 4 causes the reduced σ sl and hence the improved wettability of sapphire by low-copper-containing alloys compared to pure aluminum. The main reason for the increase in θ with higher copper contents is the increasing σ lv of the alloy.

  18. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    Science.gov (United States)

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  19. Iron films deposited on porous alumina substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Yasuhiro, E-mail: yyasu@rs.kagu.tus.ac.jp; Tanabe, Kenichi; Nishida, Naoki [Tokyo University of Science (Japan); Kobayashi, Yoshio [The University of Electro-Communications (Japan)

    2016-12-15

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 – 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  20. Finite Thin Cover on an Orthotropic Elastic Half Plane

    Directory of Open Access Journals (Sweden)

    Federico Oyedeji Falope

    2016-01-01

    Full Text Available The present work deals with the mechanical behaviour of thin films bonded to a homogeneous elastic orthotropic half plane under plain strain condition and infinitesimal strain. Both the film and semi-infinite substrate display linear elastic orthotropic behaviour. By assuming perfect adhesion between film and half plane together with membrane behaviour of the film, the compatibility condition between the coating and substrate leads to a singular integral equation with Cauchy kernel. Such an equation is straightforwardly solved by expanding the unknown interfacial stress in series of Chebyshev polynomials displaying square-root singularity at the film edges. This approach allows handling the singular behaviour of the shear stress and, in turn, reducing the problem to a linear algebraic system of infinite terms. Results are found for two loading cases, with particular reference to concentrated axial forces acting at the edges of the film. The corresponding mode II stress intensity factor has been assessed, thus providing the stress concentrations at both ends of the covering. Possible applications of the results here obtained range from MEMS, NEMS, and solar Silicon cell for energy harvesting to welded joint and building foundation.

  1. Appearance of large crystalline domains in VO{sub 2} films grown on sapphire (001) and their phase transition characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Azhan, Nurul Hanis; Su, Kui; Okimura, Kunio, E-mail: okifn@keyaki.cc.u-tokai.ac.jp [Graduate School of Science and Technology, Tokai University, Hiratsuka 259-1292 (Japan); Zaghrioui, Mustapha; Sakai, Joe [GREMAN, UMR 7347 CNRS, Université François Rabelais de Tours, Parc de Grandmont 37200 Tours (France)

    2015-06-28

    We report the first observation of large crystalline domains of several μm-size in VO{sub 2} films deposited on Al{sub 2}O{sub 3} (001) substrates by rf-biased reactive sputtering technique. The large crystalline domains, dominated with random in-plane oriented growth of (011){sub M1}-orientation, appear only under adequate substrate biasing, such as 10 W, while most biasing conditions result in conventional nanosized grains of highly oriented (010){sub M1}-orientation. Two temperature-controlled analyses, x-ray diffraction and micro-Raman spectroscopy, have revealed that some parts of large crystalline domains undergo intermediate monoclinic (M2) phase during the thermally-induced structural phase transition from monoclinic (M1) to rutile-tetragonal (R) phase. As an effect of the appearance of large crystalline domains, the film showed in-plane tensile stress, resulting in high T{sub IMT} of 69 °C due to the elongation of the V-V distance in its low-temperature monoclinic phase.

  2. Status of backthinned AlGaN based focal plane arrays for deep-UV imaging

    Science.gov (United States)

    Reverchon, J.-L.; Lehoucq, G.; Truffer, J.-P.; Costard, E.; Frayssinet, E.; Semond, F.; Duboz, J.-Y.; Giuliani, A.; Réfrégiers, M.; Idir, M.

    2017-11-01

    The achievement of deep ultraviolet (UV) focal plane arrays (FPA) is required for both solar physics [1] and micro electronics industry. The success of solar mission (SOHO, STEREO [2], SDO [3]…), has shown the accuracy of imaging at wavelengths from 10 nm to 140 nm to reveal effects occurring in the sun corona. Deep UV steppers at 13 nm are another demanding imaging technology for the microelectronic industry in terms of uniformity and stability. A third application concerns beam shaping of Synchrotron lines [4]. Consequently, such wavelengths are of prime importance whereas the vacuum UV wavelengths are very difficult to detect due to the dramatic interaction of light with materials. The fast development of nitrides has given the opportunity to investigate AlGaN as a material for UV detection. Camera based on AlGaN present an intrinsic spectral selectivity and an extremely low dark current at room temperature. We have previously presented several FPA dedicated to deep UV based on 320 x 256 pixels of Schottky photodiodes with a pitch of 30 μm [4, 5]. AlGaN is grown on a silicon substrate instead of sapphire substrate only transparent down to 200 nm. After a flip-chip hybridization, silicon substrate and AlGaN basal layer was removed by dry etching. Then, the spectral responsivity of the FPA presented a quantum efficiency (QE) from 5% to 20% from 50 nm to 290 nm when removing the highly doped contact layer via a selective wet etching. This FPA suffered from a low uniformity incompatible with imaging, and a long time response due to variations of conductivity in the honeycomb. We also observed a low rejection of visible. It is probably due to the same honeycomb conductivity enhancement for wavelength shorter than 360 nm, i.e., the band gap of GaN. We will show hereafter an improved uniformity due to the use of a precisely ICP (Inductively Coupled Plasma) controlled process. The final membrane thickness is limited to the desertion layer. Neither access resistance

  3. Ring resonator optical modes in InGaN/GaN structures grown on micro-cone-patterned sapphire substrates

    Science.gov (United States)

    Kazanov, D. R.; Pozina, G.; Jmerik, V. N.; Shubina, T. V.

    2018-03-01

    Molecular beam epitaxy (MBE) of III-nitride compounds on specially prepared cone-shaped patterned substrates is being actively developed nowadays, especially for nanophotonic applications. This type of substrates enables the successful growth of hexagonal nanorods (NRs). The insertion of an active quantum-sized region of InGaN inside a GaN NR allows us to enhance the rate of optical transitions by coupling them with resonant optical modes in the NR. However, we have observed the enhancement of emission not only from the NR but also around the circumference region of the cone-shaped base. We have studied this specific feature and demonstrated its impact on the output signal.

  4. Crystallinity and superconductivity of as-grown MgB2 thin films with AlN buffer layers

    International Nuclear Information System (INIS)

    Tsujimoto, K.; Shimakage, H.; Wang, Z.; Kaya, N.

    2005-01-01

    The effects of aluminum nitride (AlN) buffer layers on the superconducting properties of MgB 2 thin film were investigated. The AlN buffer layers and as-grown MgB 2 thin films were deposited in situ using the multiple-target sputtering system. The best depositing condition for the AlN/MgB 2 bi-layer occurred when the AlN was deposited on c-cut sapphire substrates at 290 deg. C. The crystallinity of the AlN/MgB 2 bi-layer was studied using the XRD φ-scan and it showed that AlN and MgB 2 had the same in-plane alignment rotated at an angle of 30 deg. as compared to c-cut sapphire. The critical temperature of the MgB 2 film was 29.8 K and the resistivity was 50.0 μΩ cm at 40 K

  5. Micro-Structured Sapphire Fiber Sensors for Simultaneous Measurements of High-T and Dynamic Gas Pressure in Harsh Environments

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Hai [Clemson Univ., SC (United States); Tsai, Hai-Lung [Missouri Univ. of Science and Technology, Rolla, MO (United States); Dong, Junhang [Univ. of Cincinnati, OH (United States)

    2014-09-30

    This is the final report for the program “Micro-Structured Sapphire Fiber Sensors for Simultaneous Measurements of High Temperature and Dynamic Gas Pressure in Harsh Environments”, funded by NETL, and performed by Missouri University of Science and Technology, Clemson University and University of Cincinnati from October 1, 2009 to September 30, 2014. Securing a sustainable energy economy by developing affordable and clean energy from coal and other fossil fuels is a central element to the mission of The U.S. Department of Energy’s (DOE) National Energy Technology Laboratory (NETL). To further this mission, NETL funds research and development of novel sensor technologies that can function under the extreme operating conditions often found in advanced power systems. The main objective of this research program is to conduct fundamental and applied research that will lead to successful development and demonstration of robust, multiplexed, microstructured silica and single-crystal sapphire fiber sensors to be deployed into the hot zones of advanced power and fuel systems for simultaneous measurements of high temperature and gas pressure. The specific objectives of this research program include: 1) Design, fabrication and demonstration of multiplexed, robust silica and sapphire fiber temperature and dynamic gas pressure sensors that can survive and maintain fully operational in high-temperature harsh environments. 2) Development and demonstration of a novel method to demodulate the multiplexed interferograms for simultaneous measurements of temperature and gas pressure in harsh environments. 3) Development and demonstration of novel sapphire fiber cladding and low numerical aperture (NA) excitation techniques to assure high signal integrity and sensor robustness.

  6. Rectangular waveguide-to-coplanar waveguide transitions at U-band using e-plane probe and wire bonding

    DEFF Research Database (Denmark)

    Dong, Yunfeng; Johansen, Tom Keinicke; Zhurbenko, Vitaliy

    2016-01-01

    This paper presents rectangular waveguide-to-coplanar waveguide (CPW) transitions at U-band (40–60 GHz) using E-plane probe and wire bonding. The designs of CPWs based on quartz substrate with and without aluminum cover are explained. The single and double layer rectangular waveguide-to-CPW trans......This paper presents rectangular waveguide-to-coplanar waveguide (CPW) transitions at U-band (40–60 GHz) using E-plane probe and wire bonding. The designs of CPWs based on quartz substrate with and without aluminum cover are explained. The single and double layer rectangular waveguide......-to-CPW transitions using E-plane probe and wire bonding are designed. The proposed rectangular waveguide-to-CPW transition using wire bonding can provide 10 GHz bandwidth at U-band and does not require extra CPWs or connections between CPWs and chips. A single layer rectangular waveguide-to-CPW transition using E......-plane probe with aluminum package has been fabricated and measured to validate the proposed transitions. To the authors' best knowledge, this is the first time that a wire bonding is used as a probe for rectangular waveguide-to-CPW transition at U-band....

  7. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  8. Mid-IR absorption sensing of heavy water using a silicon-on-sapphire waveguide.

    Science.gov (United States)

    Singh, Neetesh; Casas-Bedoya, Alvaro; Hudson, Darren D; Read, Andrew; Mägi, Eric; Eggleton, Benjamin J

    2016-12-15

    We demonstrate a compact silicon-on-sapphire (SOS) strip waveguide sensor for mid-IR absorption spectroscopy. This device can be used for gas and liquid sensing, especially to detect chemically similar molecules and precisely characterize extremely absorptive liquids that are difficult to detect by conventional infrared transmission techniques. We reliably measure concentrations up to 0.25% of heavy water (D2O) in a D2O-H2O mixture at its maximum absorption band at around 4 μm. This complementary metal-oxide-semiconductor (CMOS) compatible SOS D2O sensor is promising for applications such as measuring body fat content or detection of coolant leakage in nuclear reactors.

  9. Electrostatic Comb-Drive Actuator with High In-Plane Translational Velocity

    Directory of Open Access Journals (Sweden)

    Yomna M. Eltagoury

    2016-10-01

    Full Text Available This work reports the design and opto-mechanical characterization of high velocity comb-drive actuators producing in-plane motion and fabricated using the technology of deep reactive ion etching (DRIE of silicon-on-insulator (SOI substrate. The actuators drive vertical mirrors acting on optical beams propagating in-plane with respect to the substrate. The actuator-mirror device is a fabrication on an SOI wafer with 80 μm etching depth, surface roughness of about 15 nm peak to valley and etching verticality that is better than 0.1 degree. The travel range of the actuators is extracted using an optical method based on optical cavity response and accounting for the diffraction effect. One design achieves a travel range of approximately 9.1 µm at a resonance frequency of approximately 26.1 kHz, while the second design achieves about 2 µm at 93.5 kHz. The two specific designs reported achieve peak velocities of about 1.48 and 1.18 m/s, respectively, which is the highest product of the travel range and frequency for an in-plane microelectromechanical system (MEMS motion under atmospheric pressure, to the best of the authors’ knowledge. The first design possesses high spring linearity over its travel range with about 350 ppm change in the resonance frequency, while the second design achieves higher resonance frequency on the expense of linearity. The theoretical predications and the experimental results show good agreement.

  10. The Effect of Substrate Temperature on the Structural Properties of Spray Pyrolysed Lead Sulphide (PbS Thin Films

    Directory of Open Access Journals (Sweden)

    Mohammad G. Faraj

    2014-09-01

    Full Text Available Lead sulphide (PbS films were prepared by the chemical spray pyrolysis technique using a solution of Lead nitrate and thiourea. PbS films were deposited (prepared on glass substrate at varied temperature (250-350 oC. Effects of substrate temperature on the structural characteristics of the films were studied. The X-ray diffraction patterns’ results reveal that the all of PbS films have a face centered cubic structure. The X-ray diffraction study showed that irrespective of substrate temperature all the films exhibits a preferred orientation along the (200 plane. The degree of preferred orientation increased with the substrate temperature. It was observed that the increase of the substrate temperature increase the diffraction peak intensity of (200 plane which resulted in increase in grain size and good crystallinity of the films.

  11. Step-flow anisotropy of the m-plane GaN (1100) grown under nitrogen-rich conditions by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Sawicka, Marta; Siekacz, Marcin; Skierbiszewski, Czeslaw; Turski, Henryk; Krysko, Marcin; DziePcielewski, Igor; Grzegory, Izabella; Smalc-Koziorowska, Julita

    2011-01-01

    The homoepitaxial growth of m-plane (1100) GaN was investigated by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions. The surface morphologies as a function of sample miscut were studied, providing evidence for a strong growth anisotropy that is a consequence of the anisotropy of Ga adatom diffusion barriers on the m-plane surface recently calculated ab initio[Lymperakis and Neugebauer, Phys. Rev. B 79, 241308(R) (2009)]. We found that substrate miscut toward [0001] implies a step flow toward while substrate miscut toward [0001] causes formation of atomic steps either perpendicular or parallel to the [0001] direction, under N-rich conditions at 730 deg C. We describe the growth conditions for achieving atomically flat m-plane GaN layers with parallel atomic steps.

  12. Femtosecond Ti:sapphire cryogenic amplifier with high gain and MHz repetition rate

    DEFF Research Database (Denmark)

    Dantan, Aurelien Romain; Laurat, Julien; Ourjoumtsev, Alexei

    2007-01-01

    We demonstrate high gain amplification of 160-femtosecond pulses in a compact double-pass cryogenic Ti:sapphire amplifier. The setup involves a negative GVD mirrors recompression stage, and operates with a repetition rate between 0.2 and 4 MHz with a continuous pump laser. Amplification factors a...... as high as 17 and 320 nJ Fourier-limited pulses are obtained at a 800 kHz repetition rate....

  13. Single mode operation in a pulsed Ti:sapphire laser oscillator with a grazing-incidence four-mirror cavity

    CERN Document Server

    Ko, D K; Binks, D J; Gloster, L A W; King, T A

    1998-01-01

    We demonstrate stable single mode operation in a pulsed Ti:sapphire laser oscillator with a novel grazing-incidence four-mirror coupled cavity. This cavity consists of a grating, a gain medium, and four mirrors and, therefore, has a four-arm interferometer configuration. Through the interferometric effect, we could suppress the adjacent modes and obtain stable single mode operation with a bandwidth of < 200 MHz. We also have developed a general analysis of the laser modes and the threshold conditions for configuration and the experimental results agree well with the theoretical predictions.

  14. Wetting phenomena of Al-Cu alloys on sapphire below 800 deg. C

    Energy Technology Data Exchange (ETDEWEB)

    Klinter, Andreas J., E-mail: andreas.klinter@mail.mcgill.ca [Mining and Materials Engineering, McGill University, M.H. Wong Building, 3610 University Street, Montreal, QC, H3A 2B2 (Canada); Leon-Patino, Carlos A. [Instituto de Investigaciones Metalurgicas, Universidad Michoacana de San Nicolas de Hidalgo, Apdo. Postal 888, CP 58000 Morelia, Michoacan (Mexico); Drew, Robin A.L. [Faculty of Engineering and Computer Science, Concordia University, 1455 Maisonneuve Blvd, EV 2.169, Montreal, QC, H3G 1M8 (Canada)

    2010-02-15

    Using a modified dispensed drop method, a decrease in contact angle on sapphire from pure aluminum to low-copper-containing Al alloys (7-12 wt.%) was found; with higher copper additions {theta} transitions to the non-wetting regime. Atomic force microscopy on long-term samples showed a significantly increased surface roughness beneath the drop. Using high-resolution transmission electron microscopy, the reaction product at the interface was identified as CuAl{sub 2}O{sub 4} for Al-7Cu and Al{sub 2}O{sub 3} for an Al-99.99 drop. X-ray photoelectron spectroscopy further confirmed the formation of CuAl{sub 2}O{sub 4} under CuAl{sub 2} drops. Spinel formation is caused by reaction of the alloy with residual oxygen in the furnace that is transported along the interface as modeled by thermodynamic simulations. The formation of CuAl{sub 2}O{sub 4} causes the reduced {sigma}{sub sl} and hence the improved wettability of sapphire by low-copper-containing alloys compared to pure aluminum. The main reason for the increase in {theta} with higher copper contents is the increasing {sigma}{sub lv} of the alloy.

  15. Mastering the biaxial stress state in nanometric thin films on flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Faurie, D., E-mail: faurie@univ-paris13.fr [LSPM-CNRS, UPR3407, Université Paris 13, Villetaneuse (France); Renault, P.-O.; Le Bourhis, E. [Institut Pprime UPR3346, CNRS – Université de Poitiers, Futuroscope (France); Geandier, G. [Institut Jean Lamour, CNRS UMR7198, Université de Lorraine, Nancy Cedex (France); Goudeau, P. [Institut Pprime UPR3346, CNRS – Université de Poitiers, Futuroscope (France); Thiaudière, D. [SOLEIL Synchrotron, Saint-Aubin, Gif-Sur-Yvette (France)

    2014-07-01

    Biaxial stress state of thin films deposited on flexible substrate can be mastered thanks to a new biaxial device. This tensile machine allows applying in-plane loads F{sub x} and F{sub y} in the two principal directions x and y of a cruciform-shaped polymer substrate. The transmission of the deformation at film/substrate interface allows controlling the stress and strain field in the thin films. We show in this paper a few illustrations dealing with strain measurements in polycrystalline thin films deposited on flexible substrate. The potentialities of the biaxial device located at Soleil synchrotron are also discussed.

  16. Intersubband spectroscopy of ZnO/ZnMgO quantum wells grown on m-plane ZnO substrates for quantum cascade device applications (Conference Presentation)

    Science.gov (United States)

    Quach, Patrick; Jollivet, Arnaud; Isac, Nathalie; Bousseksou, Adel; Ariel, Frédéric; Tchernycheva, Maria; Julien, François H.; Montes Bajo, Miguel; Tamayo-Arriola, Julen; Hierro, Adrián.; Le Biavan, Nolwenn; Hugues, Maxime; Chauveau, Jean-Michel

    2017-03-01

    Quantum cascade (QC) lasers opens new prospects for powerful sources operating at THz frequencies. Up to now the best THz QC lasers are based on intersubband emission in GaAs/AlGaAs quantum well (QW) heterostructures. The maximum operating temperature is 200 K, which is too low for wide-spread applications. This is due to the rather low LO-phonon energy (36 meV) of GaAs-based materials. Indeed, thermal activation allows non-radiative path through electron-phonon interaction which destroys the population inversion. Wide band gap materials such as ZnO have been predicted to provide much higher operating temperatures because of the high value of their LO-phonon energy. However, despite some observations of intersubband absorption in c-plane ZnO/ZnMgO quantum wells, little is known on the fundamental parameters such as the conduction band offset in such heterostructures. In addition the internal field inherent to c-plane grown heterostuctures is an handicap for the design of QC lasers and detectors. In this talk, we will review a systematic investigation of ZnO/ZnMgO QW heterostructures with various Mg content and QW thicknesses grown by plasma molecular beam epitaxy on low-defect m-plane ZnO substrates. We will show that most samples exhibit TM-polarized intersubband absorption at room temperature linked either to bound-to-quasi bound inter-miniband absorption or to bound-to bound intersubband absorption depending on the Mg content of the barrier material. This systematic study allows for the first time to estimate the conduction band offset of ZnO/ZnMgO heterostructures, opening prospects for the design of QC devices operating at THz frequencies. This was supported by the European Union's Horizon 2020 research and innovation programme under grant agreement #665107.

  17. Effect of substrate crystallographic orientation of garnet-ferrite film properties

    International Nuclear Information System (INIS)

    Burym, Yu.A.; Dubinko, S.V.; Mitsaj, Yu.N.; Borovitskaya, L.N.; Prokopov, A.P.

    1992-01-01

    Samples of garnet-ferrite films with a composition (YbGdPrBi) 3 (FeAlGa) 5 O 12 grown under identical conditions on variously oriented substrates, have been studied. The substrate orientation was changed in such a way that the vector of the substrate normal was in the [110] plane between the [111] and [112] directions. We have found that the substrate misorientation leads to an inclined position of the easy magnetization axis (EMA) and a reduction of the film growth rate. The change of the film physical properties (Faraday rotation, Curie temperature, magnetization) indicates the film composition variation with the substrate orientation change. The temperature dependence of the EMA slope angle in the studied samples is determined by the magnetoelastic contribution to the anisotropy constants. (author)

  18. Low dislocation density InAlN/AlN/GaN heterostructures grown on GaN substrates and the effects on gate leakage characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Kotani, Junji, E-mail: kotani.junji-01@jp.fujitsu.com; Yamada, Atsushi; Ishiguro, Tetsuro; Tomabechi, Shuichi; Nakamura, Norikazu [Fujitsu Laboratories Ltd., 10-1 Morinosato-Wakamiya, Atsugi, Kanagawa 243-0197 (Japan)

    2016-04-11

    This paper reports on the electrical characterization of Ni/Au Schottky diodes fabricated on InAlN high-electron-mobility transistor (HEMT) structures grown on low dislocation density free-standing GaN substrates. InAlN HEMT structures were grown on sapphire and GaN substrates by metal-organic vapor phase epitaxy, and the effects of threading dislocation density on the leakage characteristics of Ni/Au Schottky diodes were investigated. Threading dislocation densities were determined to be 1.8 × 10{sup 4 }cm{sup −2} and 1.2 × 10{sup 9 }cm{sup −2} by the cathodoluminescence measurement for the HEMT structures grown on GaN and sapphire substrates, respectively. Leakage characteristics of Ni/Au Schottky diodes were compared between the two samples, and a reduction of the leakage current of about three to four orders of magnitude was observed in the forward bias region. For the high reverse bias region, however, no significant improvement was confirmed. We believe that the leakage current in the low bias region is governed by a dislocation-related Frenkel–Poole emission, and the leakage current in the high reverse bias region originates from field emission due to the large internal electric field in the InAlN barrier layer. Our results demonstrated that the reduction of dislocation density is effective in reducing leakage current in the low bias region. At the same time, it was also revealed that another approach will be needed, for instance, band modulation by impurity doping and insertion of insulating layers beneath the gate electrodes for a substantial reduction of the gate leakage current.

  19. Secondary electron emission of sapphire tungsten molybdenum and titanium for Maxwellian incident electrons

    International Nuclear Information System (INIS)

    Saussez-Hublet, M.-C.; Harbour, P.J.

    1980-06-01

    The second electron emission coefficient of various materials, namely titanium, molybdenum, tungsten and sapphire, has been calculated for a Maxwellian energy distribution from data for a normally incident monoenergetic beam of primary electrons. The most significant difference from the monoenergetic case occurs at low energies. In addition the influence of the incident angle of the electrons is discussed. (author)

  20. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    Science.gov (United States)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  1. Gravitational Couplings for Gop-Planes and y-Op-Planes

    OpenAIRE

    Giraldo, Juan Fernando Ospina

    2000-01-01

    The Wess-Zumino actions for generalized orientifold planes (GOp-planes) and y-deformed orientifold planes (yOp-planes) are presented and two series power expantions are realized from whiches processes that involves GOp-planes,yOp-planes, RR-forms, gravitons and gaugeons, are obtained. Finally non-standard GOp-planes and y-Op-planes are showed.

  2. Intensity Noise Transfer Through a Diode-pumped Titanium Sapphire Laser System

    DEFF Research Database (Denmark)

    Tawfieq, Mahmoud; Hansen, Anders Kragh; Jensen, Ole Bjarlin

    2017-01-01

    higher RIN than a setup with only a single nonlinear crystal. The Ti:S is shown to have a cut-off frequency around 500 kHz, which means that noise structures of the pump laser above this frequency are strongly suppressed. Finally, the majority of the Ti:S noise seems to originate from the laser itself......In this paper, we investigate the noise performance and transfer in a titanium sapphire (Ti:S) laser system. This system consists of a DBR tapered diode laser, which is frequency doubled in two cascaded nonlinear crystals and used to pump the Ti:S laser oscillator. This investigation includes...... electrical noise characterizations of the utilized power supplies, the optical noise of the fundamental light, the second harmonic light, and finally the optical noise of the femtosecond pulses emitted by the Ti:S laser. Noise features originating from the electric power supply are evident throughout...

  3. The Effect of Tensile Strain on Optical Anisotropy and Exciton of m-Plane ZnO

    KAUST Repository

    Wang, H. H.

    2015-03-20

    The near band edge emission of the tensile-strained m-plane ZnO film grown on (112)LaAlO3 substrates shows abnormal low polarization degree (ρ = 0.1). The temperature dependency of polarization degree clarifies the origins of different emission peaks. In tensile-strained m-plane ZnO, the [0001] polarized state is upper shifted and is overlapping with the [112̅0] polarized state. This phenomenon causes the abnormal low polarization degree and reveals the effect of strain on the emission anisotropy of m-plane ZnO.

  4. Structural properties of Pt/TiO{sub 2}/Pt heterostructure grown on sapphire substrate—Influence of annealing processes

    Energy Technology Data Exchange (ETDEWEB)

    Roch, Tomas, E-mail: roch@fmph.uniba.sk; Durina, Pavol; Grancic, Branislav; Gregor, Maros; Plecenik, Tomas; Truchly, Martin; Mikula, Marian; Satrapinskyy, Leonid; Kus, Peter; Plecenik, Andrej

    2014-09-01

    Highlights: • Pt/TiO{sub 2}/Pt, Pt/TiO{sub 2} and TiO{sub 2}/Pt stacks were grown on (c-cut) Al{sub 2}O{sub 3} and annealed at 600 °C. • Pt/TiO{sub 2}/Pt contains both TiO{sub 2}-anatase (27%) and rutile (73%) phases after annealing. • Pt/TiO{sub 2} contains both anatase and rutile, TiO{sub 2}/Pt anatase phase only. • Epitaxial relationship of bottom platinum: Pt(1 1 1)[1–10]||sub(0 0 0 1)[11{sup ¯}00]. • Platinum top layer is uniaxially oriented: Pt(1 1 1)||sub(0 0 0 1). - Abstract: Simple gas sensors based on resistivity change of TiO{sub 2} thin films using combined top and bottom metallic contacts are very promising. In this work influence of ex situ annealing in ambient air on structure of TiO{sub 2} thin film stacked between two platinum contact layers has been studied. The layers were deposited using DC magnetron sputtering on unheated c-cut sapphire substrates. For lowering of the Schottky barrier at the Pt–TiO{sub 2} interfaces and for improved crystalline stability, ex situ annealing at 600 °C in air was carried out. In order to study separately influence of top and bottom platinum layers on crystal structure, also reference samples Pt/TiO{sub 2}/Al{sub 2}O{sub 3} and TiO{sub 2}/Pt/Al{sub 2}O{sub 3} have been prepared. Non-ambient X-ray diffraction measurement during annealing process and X-ray pole figures after annealing has been measured. Near epitaxial relationship was observed for bottom Pt layer grown on c-cut sapphire substrate: Pt(1 1 1)[11{sup ¯}0]||Al{sub 2}O{sub 3}(0 0 0 1)[11{sup ¯}00]. Inner titania layer shows randomly oriented both TiO{sub 2}-rutile (R) and anatase (A) phases with the volumetric ratio of R/A ∼ 2.7. If prepared without top Pt contact layer, the TiO{sub 2} transforms during annealing to random single anatase phase. The TiO{sub 2} layer overgrown with only single Pt top contact layer shows randomly oriented both rutile and anatase phases with volumetric ratio R/A ∼ 2.3. The top Pt layer on TiO{sub 2

  5. Refractive index of r-cut sapphire under shock pressure range 5 to 65 GPa

    International Nuclear Information System (INIS)

    Cao, Xiuxia; Li, Jiabo; Li, Jun; Li, Xuhai; Xu, Liang; Wang, Yuan; Zhu, Wenjun; Meng, Chuanmin; Zhou, Xianming

    2014-01-01

    High-pressure refractive index of optical window materials not only can provide information on electronic polarizability and band-gap structure, but also is important for velocity correction in particle-velocity measurement with laser interferometers. In this work, the refractive index of r-cut sapphire window at 1550 nm wavelength was measured under shock pressures of 5–65 GPa. The refractive index (n) decreases linearly with increasing shock density (ρ) for shock stress above the Hugoniot elastic limit (HEL): n = 2.0485 (± 0.0197) − 0.0729 (± 0.0043)ρ, while n remains nearly a constant for elastic shocks. This behavior is attributed to the transition from elastic (below HEL) to heterogeneous plastic deformation (above HEL). Based on the obtained refractive index-density relationship, polarizability of the shocked sapphire was also obtained

  6. Structural characterization of epitaxial YBa2Cu3O7 thin films on step-edge substrates by means of high-resolution electron microscopy

    International Nuclear Information System (INIS)

    Jia, C.L.; Kabius, B.; Urban, K.

    1993-01-01

    The microstructure of YBa 2 Cu 3 O 7 films epitaxially grown on step-edge (0 0 1) SrTiO 3 and LaAlO 3 substrates has been characterized by means of high-resolution electron microscopy. The results indicate a relationship between the microstructure of the film across a step and the angle the step makes with the substrate plane. On a steep, high-angle step, the film grows with its c-axis perpendicular to that of the film on substrate surface so that two grain boundaries are formed. In the upper grain boundary, on the average, a (0 1 3) habit plane alternates with a (1 0 3) habit plane. This alternating structure is caused by twinning in the orthorhombic structure. The lower boundaries consist of a chain of (0 1 3)(0 1 3) and (0 1 0)(0 0 1) type segments exhibiting a tendency to tilt the whole habit plane toward the a-b plane of the flank film. Dislocations, stacking faults and misfit strains were also observed in or close to the boundaries. (orig.)

  7. Theory of magnetoelectric effect in multilayer nanocomposites on a substrate: Resonant bending-mode response

    Directory of Open Access Journals (Sweden)

    Matthias C. Krantz

    2013-05-01

    Full Text Available Resonant bending-mode magnetoelectric (ME coefficients of magnetostrictive-piezoelectric multilayer cantilevers are calculated analytically using a model developed for arbitrary multilayers on a substrate. Without quality factor effects the ME coefficient maxima in the four-dimensional parameter space of layer numbers, layer sequences, piezoelectric volume fractions, and substrate thicknesses are found to be essentially constant for nonzero substrate thickness. Global maxima occur for bilayers without substrates. Vanishing magnetoelectric response regions result from voltage cancellation in piezoelectric layers or absence of bending-mode excitation. They are determined by the neutral plane position in the multilayer stack. With Q-factor effects dominated by viscous air damping ME coefficients strongly increase with cantilever thickness primarily due to increasing resonance frequencies. The results yield a layer specific prediction of ME coefficients, resonance frequencies, and Q-factors in arbitrary multilayers and thus distinction of linear-coupling and Q-factor effects from exchange interaction, interface, or nonlinear ME effects.

  8. 16 CFR 23.23 - Misuse of the words “ruby,” “sapphire,” “emerald,” “topaz,” “stone,” “birthstone,” “gemstone,” etc.

    Science.gov (United States)

    2010-01-01

    ... 16 Commercial Practices 1 2010-01-01 2010-01-01 false Misuse of the words âruby,â âsapphire,â... PEWTER INDUSTRIES § 23.23 Misuse of the words “ruby,” “sapphire,” “emerald,” “topaz,” “stone,” “birthstone,” “gemstone,” etc. (a) It is unfair or deceptive to use the unqualified words “ruby,” “sapphire...

  9. Unusual ruby-sapphire transition in alluvial megacrysts, Cenozoic basaltic gem field, New England, New South Wales, Australia

    Science.gov (United States)

    Sutherland, Frederick L.; Graham, Ian T.; Harris, Stephen J.; Coldham, Terry; Powell, William; Belousova, Elena A.; Martin, Laure

    2017-05-01

    Rare ruby crystals appear among prevailing sapphire crystals mined from placers within basaltic areas in the New England gem-field, New South Wales, Australia. New England ruby (NER) has distinctive trace element features compared to those from ruby elsewhere in Australia and indeed most ruby from across the world. The NER suite includes ruby (up to 3370 ppm Cr), pink sapphire (up to 1520 ppm Cr), white sapphire (up to 910 ppm) and violet, mauve, purple, or bluish sapphire (up to 1410 ppm Cr). Some crystals show outward growth banding in this respective colour sequence. All four colour zones are notably high in Ga (up to 310 ppm) and Si (up to 1820 ppm). High Ga and Ga/Mg values are unusual in ruby and its trace element plots (laser ablation-inductively coupled plasma-mass spectrometry) and suggests that magmatic-metasomatic inputs were involved in the NER suite genesis. In situ oxygen isotope analyses (secondary ion mass spectrometry) across the NER suite colour range showed little variation (n = 22; δ18O = 4.4 ± 0.4, 2σ error), and are values typical for corundum associated with ultramafic/mafic rocks. The isolated NER xenocryst suite, corroded by basalt transport and with few internal inclusions, presents a challenge in deciphering its exact origin. Detailed consideration of its high Ga chemistry in relation to the known geology of the surrounding region was used to narrow down potential sources. These include Late Palaeozoic-Triassic fractionated I-type granitoid magmas or Mesozoic-Cenozoic felsic fractionates from basaltic magmas that interacted with early Palaeozoic Cr-bearing ophiolite bodies in the New England Orogen. Other potential sources may lie deeper within lower crust-mantle metamorphic assemblages, but need to match the anomalous high-Ga geochemistry of the New England ruby suite.

  10. Numerical investigation of the propagation of elastic wave modes in a one-dimensional phononic crystal plate coated on a uniform substrate

    International Nuclear Information System (INIS)

    Hou Zhilin; Assouar, Badreddine M

    2009-01-01

    The propagation of wave modes in a two-layer free standing plate composed of a one-dimensional phononic crystal (PC) thin layer coated on a uniform substrate was investigated numerically by the modified plane wave expansion method. The band structures of the system with different thicknesses of the substrate were calculated. The numerical result showed that Bragg scattering by the periodic structure in a PC and wave scattering by the free surface could be coupled to each other with an added substrate layer. The properties of the confined modes in such a system, for example, the Love-wave-like mode, the confined PC mode (which is localized mainly in the PC layer) and the surface mode on the free surface of the substrate layer, were investigated.

  11. Out- versus in-plane magnetic anisotropy of free Fe and Co nanocrystals

    DEFF Research Database (Denmark)

    Li, Dongzhe; Barreteau, Cyrille; Castell, Martin R.

    2014-01-01

    We report tight-binding and density functional theory calculations of magnetocrystalline anisotropy energy (MAE) of free Fe (body-centered-cubic) and Co (face-centered-cubic) slabs and nanocrystals. The nanocrystals are truncated square pyramids which can be grown experimentally by deposition...... of metal on a SrTiO3(001) substrate. For both elements our local analysis shows that the totalMAE of the nanocrystals is largely dominated by the contribution of (001) facets. However, while the easy axis of Fe(001) is out-of-plane, it is in-plane for Co(001). This has direct consequences on the magnetic...

  12. CMOS Silicon-on-Sapphire RF Tunable Matching Networks

    Directory of Open Access Journals (Sweden)

    Chamseddine Ahmad

    2006-01-01

    Full Text Available This paper describes the design and optimization of an RF tunable network capable of matching highly mismatched loads to 50 at 1.9 GHz. Tuning was achieved using switched capacitors with low-loss, single-transistor switches. Simulations show that the performance of the matching network depends strongly on the switch performances and on the inductor losses. A 0.5 m silicon-on-sapphire (SOS CMOS technology was chosen for network implementation because of the relatively high-quality monolithic inductors achievable in the process. The matching network provides very good matching for inductive loads, and acceptable matching for highly capacitive loads. A 1 dB compression point greater than dBm was obtained for a wide range of load impedances.

  13. XPS and ToF-SIMS analysis of natural rubies and sapphires heat-treated in a reducing (5 mol% H 2/Ar) atmosphere

    Science.gov (United States)

    Achiwawanich, S.; James, B. D.; Liesegang, J.

    2008-12-01

    Surface effects on Mong Hsu rubies and Kanchanaburi sapphires after heat treatment in a controlled reducing atmosphere (5 mol% H 2/Ar) have been investigated using advanced surface science techniques including X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS). Visual appearance of the gemstones is clearly affected by the heat treatment in a reducing atmosphere. Kanchanaburi sapphires, in particular, exhibit Fe-containing precipitates after the heat treatment which have not been observed in previous studies under an inert atmosphere. Significant correlation between changes in visual appearance of the gemstones and variations in surface concentration of trace elements, especially Ti and Fe are observed. The XPS and ToF-SIMS results suggest that; (1) a reducing atmosphere affects the oxidation state of Fe; (2) dissociation of Fe-Ti interaction may occur during heat treatment.

  14. Fourier plane colorimetric sensing using broadband imaging of surface plasmons and application to biosensing

    International Nuclear Information System (INIS)

    Arora, P.; Krishnan, A.

    2015-01-01

    We demonstrate an optical technique for refractive index and thickness sensing of sub-wavelength-thick dielectric analytes. The technique utilizes the broadband, multimode, directional leakage radiation arising from the excitation of hybrid mode surface plasmons (SP) on low aspect ratio periodic plasmonic substrates with period ≈λ. The approach requires relaxed fabrication tolerances compared to extra ordinary transmission-based sensing techniques, wherein minor shifts in the fabricated dimensions result in a very large change from the designed resonant wavelength. We show that refractive index perturbations due to about 10-nm-thick dielectric can be captured optically by the usage of carefully designed plasmonic substrates, a halogen lamp source, free-space optical components, polarizers, and a low-end, consumer-grade charge coupled device camera. The plasmonic substrates were designed for converting the signature of hybrid mode SP excitation into a transmission peak by utilizing a thin homogeneous metal layer sandwiched between the periodic plasmonic structures and the substrate. The resonance is highly sensitive to the refractive index and thickness of the analyte superstrate. The excitation of hybrid mode SP results in a polarization rotation of 90° of the leaked radiation at resonant wavelength. In order to eliminate the problem of image registration (i.e., placing the same feature in the same pixel of the image, for comparison before and after a change in refractive index) for sensing, we perform the color analysis in the Fourier plane. The change in color of the bright emitted spot with highest momentum, corresponding to the leakage of fundamental SP mode, was used to measure the changes in refractive index, whereas the number and color of spots of lower momenta, corresponding to higher-order Fabry Perot modes, was used to measure the variation in thickness. We further show that the Fourier plane analysis can also be used to sense the index of thicker

  15. Fourier plane colorimetric sensing using broadband imaging of surface plasmons and application to biosensing

    Energy Technology Data Exchange (ETDEWEB)

    Arora, P.; Krishnan, A., E-mail: ananthk@iitm.ac.in [Centre for NEMS and Nano Photonics (CNNP), Department of Electrical Engineering, Indian Institute of Technology Madras, Chennai-600036 (India); Experimental Optics Laboratory, Department of Electrical Engineering, Indian Institute of Technology Madras, Chennai-600036 (India)

    2015-12-21

    We demonstrate an optical technique for refractive index and thickness sensing of sub-wavelength-thick dielectric analytes. The technique utilizes the broadband, multimode, directional leakage radiation arising from the excitation of hybrid mode surface plasmons (SP) on low aspect ratio periodic plasmonic substrates with period ≈λ. The approach requires relaxed fabrication tolerances compared to extra ordinary transmission-based sensing techniques, wherein minor shifts in the fabricated dimensions result in a very large change from the designed resonant wavelength. We show that refractive index perturbations due to about 10-nm-thick dielectric can be captured optically by the usage of carefully designed plasmonic substrates, a halogen lamp source, free-space optical components, polarizers, and a low-end, consumer-grade charge coupled device camera. The plasmonic substrates were designed for converting the signature of hybrid mode SP excitation into a transmission peak by utilizing a thin homogeneous metal layer sandwiched between the periodic plasmonic structures and the substrate. The resonance is highly sensitive to the refractive index and thickness of the analyte superstrate. The excitation of hybrid mode SP results in a polarization rotation of 90° of the leaked radiation at resonant wavelength. In order to eliminate the problem of image registration (i.e., placing the same feature in the same pixel of the image, for comparison before and after a change in refractive index) for sensing, we perform the color analysis in the Fourier plane. The change in color of the bright emitted spot with highest momentum, corresponding to the leakage of fundamental SP mode, was used to measure the changes in refractive index, whereas the number and color of spots of lower momenta, corresponding to higher-order Fabry Perot modes, was used to measure the variation in thickness. We further show that the Fourier plane analysis can also be used to sense the index of thicker

  16. Fourier plane colorimetric sensing using broadband imaging of surface plasmons and application to biosensing

    Science.gov (United States)

    Arora, P.; Krishnan, A.

    2015-12-01

    We demonstrate an optical technique for refractive index and thickness sensing of sub-wavelength-thick dielectric analytes. The technique utilizes the broadband, multimode, directional leakage radiation arising from the excitation of hybrid mode surface plasmons (SP) on low aspect ratio periodic plasmonic substrates with period ≈λ. The approach requires relaxed fabrication tolerances compared to extra ordinary transmission-based sensing techniques, wherein minor shifts in the fabricated dimensions result in a very large change from the designed resonant wavelength. We show that refractive index perturbations due to about 10-nm-thick dielectric can be captured optically by the usage of carefully designed plasmonic substrates, a halogen lamp source, free-space optical components, polarizers, and a low-end, consumer-grade charge coupled device camera. The plasmonic substrates were designed for converting the signature of hybrid mode SP excitation into a transmission peak by utilizing a thin homogeneous metal layer sandwiched between the periodic plasmonic structures and the substrate. The resonance is highly sensitive to the refractive index and thickness of the analyte superstrate. The excitation of hybrid mode SP results in a polarization rotation of 90° of the leaked radiation at resonant wavelength. In order to eliminate the problem of image registration (i.e., placing the same feature in the same pixel of the image, for comparison before and after a change in refractive index) for sensing, we perform the color analysis in the Fourier plane. The change in color of the bright emitted spot with highest momentum, corresponding to the leakage of fundamental SP mode, was used to measure the changes in refractive index, whereas the number and color of spots of lower momenta, corresponding to higher-order Fabry Perot modes, was used to measure the variation in thickness. We further show that the Fourier plane analysis can also be used to sense the index of thicker

  17. Gallium nitride on gallium oxide substrate for integrated nonlinear optics

    KAUST Repository

    Awan, Kashif M.; Dolgaleva, Ksenia; Mumthaz Muhammed, Mufasila; Roqan, Iman S.

    2017-01-01

    Gallium Nitride (GaN), being a direct bandgap semiconductor with a wide bandgap and high thermal stability, is attractive for optoelectronic and electronic applications. Furthermore, due to its high optical nonlinearity — the characteristic of all 111-V semiconductors — GaN is also expected to be a suitable candidate for integrated nonlinear photonic circuits for a plethora of apphcations, ranging from on-chip wavelength conversion to quantum computing. Although GaN devices are in commercial production, it still suffers from lack of a suitable substrate material to reduce structural defects like high densities of threading dislocations (TDs), stacking faults, and grain boundaries. These defects significandy deteriorate the optical quality of the epi-grown GaN layer, since they act as non-radiative recombination centers. Recent studies have shown that GaN grown on (−201) β-Gallium Oxide (Ga2O3) has superior optical quality due to a better lattice matching as compared to GaN grown on Sapphire (Al2O3) [1-3]. In this work, we report on the fabrication of GaN waveguides on GaiOj substrate and their optical characterization to assess their feasibihty for efficient four-wave mixing (FWM).

  18. Gallium nitride on gallium oxide substrate for integrated nonlinear optics

    KAUST Repository

    Awan, Kashif M.

    2017-11-22

    Gallium Nitride (GaN), being a direct bandgap semiconductor with a wide bandgap and high thermal stability, is attractive for optoelectronic and electronic applications. Furthermore, due to its high optical nonlinearity — the characteristic of all 111-V semiconductors — GaN is also expected to be a suitable candidate for integrated nonlinear photonic circuits for a plethora of apphcations, ranging from on-chip wavelength conversion to quantum computing. Although GaN devices are in commercial production, it still suffers from lack of a suitable substrate material to reduce structural defects like high densities of threading dislocations (TDs), stacking faults, and grain boundaries. These defects significandy deteriorate the optical quality of the epi-grown GaN layer, since they act as non-radiative recombination centers. Recent studies have shown that GaN grown on (−201) β-Gallium Oxide (Ga2O3) has superior optical quality due to a better lattice matching as compared to GaN grown on Sapphire (Al2O3) [1-3]. In this work, we report on the fabrication of GaN waveguides on GaiOj substrate and their optical characterization to assess their feasibihty for efficient four-wave mixing (FWM).

  19. Measurement of in-plane elasticity of live cell layers using a pressure sensor embedded microfluidic device

    Science.gov (United States)

    Lin, Chien-Han; Wang, Chien-Kai; Chen, Yu-An; Peng, Chien-Chung; Liao, Wei-Hao; Tung, Yi-Chung

    2016-11-01

    In various physiological activities, cells experience stresses along their in-plane direction when facing substrate deformation. Capability of continuous monitoring elasticity of live cell layers during a period is highly desired to investigate cell property variation during various transformations under normal or disease states. This paper reports time-lapsed measurement of live cell layer in-plane elasticity using a pressure sensor embedded microfluidic device. The sensor converts pressure-induced deformation of a flexible membrane to electrical signals. When cells are cultured on top of the membrane, flexural rigidity of the composite membrane increases and further changes the output electrical signals. In the experiments, human embryonic lung fibroblast (MRC-5) cells are cultured and analyzed to estimate the in-plane elasticity. In addition, the cells are treated with a growth factor to simulate lung fibrosis to study the effects of cell transformation on the elasticity variation. For comparison, elasticity measurement on the cells by atomic force microscopy (AFM) is also performed. The experimental results confirm highly anisotropic configuration and material properties of cells. Furthermore, the in-plane elasticity can be monitored during the cell transformation after the growth factor stimulation. Consequently, the developed microfluidic device provides a powerful tool to study physical properties of cells for fundamental biophysics and biomedical researches.

  20. Performance of Ar+-milled Ti:Sapphire rib waveguides as single transverse-mode broadband fluorescence sources

    NARCIS (Netherlands)

    Grivas, C.; Shepherd, D.P.; May-Smith, T.C.; Eason, R.W.; Pollnau, Markus; Crunteanu, A.; Jelinek, M.

    2003-01-01

    Rib waveguides have been fabricated in pulsed-laser-deposited Ti:sapphire layers using photolithographic patterning and subsequent Ar+-beam milling. Fluorescence output powers up to 300 W have been observed from the ribs following excitation by a 3-W multiline argon laser. Mode intensity profiles