WorldWideScience

Sample records for wet etch processing

  1. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  2. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  3. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  4. Summary of Chalcogenide Glass Processing: Wet-Etching and Photolithography

    Energy Technology Data Exchange (ETDEWEB)

    Riley, Brian J.; Sundaram, S. K.; Johnson, Bradley R.; Saraf, Laxmikant V.

    2006-12-01

    This report describes a study designed to explore the different properties of two different chalcogenide materials, As2S3 and As24S38Se38, when subjected to photolithographic wet-etching techniques. Chalcogenide glasses are made by combining chalcogen elements S, Se, and Te with Group IV and/or V elements. The etchant was selected from the literature and was composed of sodium hydroxide, isopropyl alcohol, and deionized water and the types of chalcogenide glass for study were As2S3 and As24S38Se38. The main goals here were to obtain a single variable etch rate curve of etch depth per time versus NaOH overall solution concentration in M and to see the difference in etch rate between a given etchant when used on the different chalcogenide stoichiometries. Upon completion of these two goals, future studies will begin to explore creating complex, integrated photonic devices via these methods.

  5. A study on electric properties for pulse laser annealing of ITO film after wet etching

    International Nuclear Information System (INIS)

    Lee, C.J.; Lin, H.K.; Li, C.H.; Chen, L.X.; Lee, C.C.; Wu, C.W.; Huang, J.C.

    2012-01-01

    The electric properties of ITO thin film after UV or IR laser annealing and wet etching was analyzed via grazing incidence in-plane X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectra and residual stress measurement. The laser annealing process readily induced microcracks or quasi-microcracks on the ITO thin film due to the residual tension stress of crystalline phase transformation between irradiated and non-irradiated areas, and these defects then became the preferred sites for a higher etching rate, resulting in discontinuities in the ITO thin film after the wet etching process. The discontinuities in the residual ITO thin film obstruct carrier transmission and further result in electric failure. - Highlights: ► The laser annealing process induces microcracks in InSnO 2 thin films. ► The defects result in higher local etching rate during wet etching. ► These process defects originate from residual tension stress. ► Decreasing the thermal shock is suggested in order to reduce these process defects.

  6. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  7. Dynamic Wet Etching of Silicon through Isopropanol Alcohol Evaporation

    Directory of Open Access Journals (Sweden)

    Tiago S. Monteiro

    2015-10-01

    Full Text Available In this paper, Isopropanol (IPA availability during the anisotropic etching of silicon in Potassium Hydroxide (KOH solutions was investigated. Squares of 8 to 40 µm were patterned to (100 oriented silicon wafers through DWL (Direct Writing Laser photolithography. The wet etching process was performed inside an open HDPE (High Density Polyethylene flask with ultrasonic agitation. IPA volume and evaporation was studied in a dynamic etching process, and subsequent influence on the silicon etching was inspected. For the tested conditions, evaporation rates for water vapor and IPA were determined as approximately 0.0417 mL/min and 0.175 mL/min, respectively. Results demonstrate that IPA availability, and not concentration, plays an important role in the definition of the final structure. Transversal SEM (Scanning Electron Microscopy analysis demonstrates a correlation between microloading effects (as a consequence of structure spacing and the angle formed towards the (100 plane.

  8. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  9. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  10. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  11. Formation of Mach angle profiles during wet etching of silica and silicon nitride materials

    Energy Technology Data Exchange (ETDEWEB)

    Ghulinyan, M., E-mail: ghulinyan@fbk.eu [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Bernard, M.; Bartali, R. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Deptartment of Physics, University of Trento, I-38123 Povo (Italy); Pucker, G. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy)

    2015-12-30

    Highlights: • Photoresist adhesion induces the formation of complex etch profiles in dielectrics. • Hydrofluoric acid etching of silica glass and silicon nitride materials was studied. • The phenomenon has been modeled in analogy with sonic boom propagation. • The material etch rate and resist adhesion/erosion define the final profile. - Abstract: In integrated circuit technology peeling of masking photoresist films is a major drawback during the long-timed wet etching of materials. It causes an undesired film underetching, which is often accompanied by a formation of complex etch profiles. Here we report on a detailed study of wedge-shaped profile formation in a series of silicon oxide, silicon oxynitride and silicon nitride materials during wet etching in a buffered hydrofluoric acid (BHF) solution. The shape of etched profiles reflects the time-dependent adhesion properties of the photoresist to a particular material and can be perfectly circular, purely linear or a combination of both, separated by a knee feature. Starting from a formal analogy between the sonic boom propagation and the wet underetching process, we model the wedge formation mechanism analytically. This model predicts the final form of the profile as a function of time and fits the experimental data perfectly. We discuss how this knowledge can be extended to the design and the realization of optical components such as highly efficient etch-less vertical tapers for passive silicon photonics.

  12. Study of surfactant-added TMAH for applications in DRIE and wet etching-based micromachining

    Science.gov (United States)

    Tang, B.; Shikida, M.; Sato, K.; Pal, P.; Amakawa, H.; Hida, H.; Fukuzawa, K.

    2010-06-01

    In this paper, etching anisotropy is evaluated for a number of different crystallographic orientations of silicon in a 0.1 vol% Triton-X-100 added 25 wt% tetramethylammonium hydroxide (TMAH) solution using a silicon hemisphere. The research is primarily aimed at developing advanced applications of wet etching in microelectromechanical systems (MEMS). The etching process is carried out at different temperatures in the range of 61-81 °C. The etching results of silicon hemisphere and different shapes of three-dimensional structures in {1 0 0}- and {1 1 0}-Si surfaces are analyzed. Significantly important anisotropy, different from a traditional etchant (e.g. pure KOH and TMAH), is investigated to extend the applications of the wet etching process in silicon bulk micromachining. The similar etching behavior of exact and vicinal {1 1 0} and {1 1 1} planes in TMAH + Triton is utilized selectively to remove the scalloping from deep reactive-ion etching (DRIE) etched profiles. The direct application of the present research is demonstrated by fabricating a cylindrical lens with highly smooth etched surface finish. The smoothness of a micro-lens at different locations is measured qualitatively by a scanning electron microscope and quantitatively by an atomic force microscope. The present paper provides a simple and effective fabrication method of the silicon micro-lens for optical MEMS applications.

  13. Wet etching rates of InGaZnO for the fabrication of transparent thin-film transistors on plastic substrates

    International Nuclear Information System (INIS)

    Lee, Chi-Yuan; Chang, Chienliu; Shih, Wen-Pin; Dai, Ching-Liang

    2010-01-01

    The wet etch process for amorphous indium gallium zinc oxide (a-IGZO or a-InGaZnO) by using various etchants is reported. The etch rates of a-IGZO, compared to another indium-based oxides including indium gallium oxide (IGO), indium zinc oxide (IZO), and indium tin oxide (ITO), are measured by using acetic acid, citric acid, hydrochloric acid, perchloric acid, and aqua ammonia as etchants, respectively. In our experimental results, the etch rate of the transparent oxide semiconductor (TOS) films by using acid solutions ranked accordingly from high to low are IZO, IGZO, IGO and ITO. Comparatively, the etch rate of the TOS films by using alkaline ammonia solution ranked from high to low are IGZO, IZO, IGO and ITO, in that order. Using the proposed wet etching process with high etch selectivity, bottom-gate-type thin-film transistors (TFTs) based on a-IGZO channels and Y 2 O 3 gate-insulators were fabricated by radio-frequency sputtering on plastic substrates. The wet etch processed TFT with 30 μm gate length and 120 μm gate width exhibits a saturation mobility of 46.25 cm 2 V -1 s -1 , a threshold voltage of 1.3 V, a drain current on-off ratio > 10 6 , and subthreshold gate voltage swing of 0.29 V decade -1 . The performance of the TFTs ensures the applicability of the wet etching process for IGZO to electronic devices on organic polymer substrates.

  14. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: swain@iae.re.kr [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Mishra, Chinmayee [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Hong, Hyun Seon [Sungshin University, Dept. of Interdisciplinary ECO Science, Seoul, 142-732 (Korea, Republic of); Cho, Sung-Soo [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of)

    2016-05-15

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m{sup 3} of copper and 1.35 kg/m{sup 3} of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching

  15. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    International Nuclear Information System (INIS)

    Swain, Basudev; Mishra, Chinmayee; Hong, Hyun Seon; Cho, Sung-Soo

    2016-01-01

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m 3 of copper and 1.35 kg/m 3 of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching wastewater

  16. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  17. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  18. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  19. Physical chemistry of wet chemical anisotropic etching of silicon

    NARCIS (Netherlands)

    Elwenspoek, Michael Curt

    1995-01-01

    In this paper we explain a view to understand the anisotropy of the etching of silicon in certain wet chemical agents (such as KOH). The starting point is the assumption that the [Left angle bracket]111[Right Angle Bracket] face of silicon is a flat face, the etch rate of which is then governed by a

  20. Wet etching and chemical polishing of InAs/GaSb superlattice photodiodes

    International Nuclear Information System (INIS)

    Chaghi, R; Cervera, C; Aït-Kaci, H; Grech, P; Rodriguez, J B; Christol, P

    2009-01-01

    In this paper, we studied wet chemical etching fabrication of the InAs/GaSb superlattice mesa photodiode for the mid-infrared region. The details of the wet chemical etchants used for the device process are presented. The etching solution is based on orthophosphoric acid (H 3 PO 4 ), citric acid (C 6 H 8 O 7 ) and H 2 O 2 , followed by chemical polishing with the sodium hypochlorite (NaClO) solution and protection with photoresist polymerized. The photodiode performance is evaluated by current–voltage measurements. The zero-bias resistance area product R 0 A above 4 × 10 5 Ω cm 2 at 77 K is reported. The device did not show dark current degradation at 77 K after exposition during 3 weeks to the ambient air

  1. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    Science.gov (United States)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  2. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  3. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  4. Low-loss slot waveguides with silicon (111 surfaces realized using anisotropic wet etching

    Directory of Open Access Journals (Sweden)

    Kapil Debnath

    2016-11-01

    Full Text Available We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI platform. Waveguides oriented along the (11-2 direction on the Si (110 plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.

  5. Wet-etch sequence optimisation incorporating time dependent chemical maintenance

    NARCIS (Netherlands)

    Kruif, B.J. de

    2015-01-01

    Wafer fabrication is the major cost contributor in semiconductor manufacturing. One of the steps in the fabrication is the removal of exposed layers in an automatic wet-etch station with chemicals. In time, these chemicals get polluted and their effectiveness decreases. Therefore, the chemicals in

  6. Light-trapping optimization in wet-etched silicon photonic crystal solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Eyderman, Sergey, E-mail: sergey.eyderman@utoronto.ca [Department of Physics, University of Toronto, 60 St. George Street, Toronto, Ontario M5S 1A7 (Canada); John, Sajeev [Department of Physics, University of Toronto, 60 St. George Street, Toronto, Ontario M5S 1A7 (Canada); Department of Physics, King Abdul-Aziz University, Jeddah (Saudi Arabia); Hafez, M.; Al-Ameer, S. S.; Al-Harby, T. S.; Al-Hadeethi, Y. [Department of Physics, King Abdul-Aziz University, Jeddah (Saudi Arabia); Bouwes, D. M. [iX-factory GmbH, Konrad Adenauer–Allee 11, 44263 Dortmund (Germany)

    2015-07-14

    We demonstrate, by numerical solution of Maxwell's equations, near-perfect solar light-trapping and absorption over the 300–1100 nm wavelength band in silicon photonic crystal (PhC) architectures, amenable to fabrication by wet-etching and requiring less than 10 μm (equivalent bulk thickness) of crystalline silicon. These PhC's consist of square lattices of inverted pyramids with sides comprised of various (111) silicon facets and pyramid center-to-center spacing in the range of 1.3–2.5 μm. For a wet-etched slab with overall height H = 10 μm and lattice constant a = 2.5 μm, we find a maximum achievable photo-current density (MAPD) of 42.5 mA/cm{sup 2}, falling not far from 43.5 mA/cm{sup 2}, corresponding to 100% solar absorption in the range of 300–1100 nm. We also demonstrate a MAPD of 37.8 mA/cm{sup 2} for a thinner silicon PhC slab of overall height H = 5 μm and lattice constant a = 1.9 μm. When H is further reduced to 3 μm, the optimal lattice constant for inverted pyramids reduces to a = 1.3 μm and provides the MAPD of 35.5 mA/cm{sup 2}. These wet-etched structures require more than double the volume of silicon, in comparison to the overall mathematically optimum PhC structure (consisting of slanted conical pores), to achieve the same degree of solar absorption. It is suggested these 3–10 μm thick structures are valuable alternatives to currently utilized 300 μm-thick textured solar cells and are suitable for large-scale fabrication by wet-etching.

  7. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  8. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  9. Nanowall formation by maskless wet-etching on a femtosecond laser irradiated silicon surface

    Science.gov (United States)

    Lee, Siwoo; Jo, Kukhyun; Keum, Hee-sung; Chae, Sangmin; Kim, Yonghyeon; Choi, Jiyeon; Lee, Hyun Hwi; Kim, Hyo Jung

    2018-04-01

    We found that micro-cells surrounded by nanowalls can be formed by a maskless wet-etching process on Si (100) surfaces possessing Laser Induced Periodic Surface Structure (LIPSS) by femtosecond laser irradiation. The LIPSS process could produce periodic one-dimensional micron scale ripples on a Si surface, which could be developed into micro-cells by a subsequent etching process. The solution etching conditions strongly affected both the micro-cell and nanowall shapes such as the height and the thickness of nanowalls. The tetramethylammonium hydroxide solution created thin nanowalls and the resulting micro-cells with a well-flattened bottom while the KOH solution formed thick walls and incomplete micro-cells. The bottoms of micro-cells surrounded by the nanowalls were considerably flat with a 3.10 nm surface roughness. A pentacene layer was deposited on the micro-cells of a Si surface to evaluate the film properties by grazing incidence wide angle x-ray scattering measurements. The pentacene film on the micro-cell Si surface showed a strong film phase, which was comparable to the film phase grown on the atomically flat Si surface.

  10. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    Directory of Open Access Journals (Sweden)

    Woong-Kirl Choi

    2018-01-01

    Full Text Available Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks.

  11. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  12. The influence of the laser spot size and the pulse number on laser-induced backside wet etching

    International Nuclear Information System (INIS)

    Boehme, R.; Zimmer, K.

    2005-01-01

    The laser-induced backside wet etching (LIBWE) of transparent solids at the interface to absorbing liquid is a new promising method for laser microstructuring. The influence of the laser spot size and the applied pulse number to the etch rate were investigated in detail for fused silica and two different liquids. Additional to the significant rise of the etch rate with increasing spot size considerable incubation effects have been observed at low laser fluences and pulse numbers. Based on the bubble formation during LIBWE processing, a relation between the bubble collapse time and the etch rate was ascertained. This relation fits the etch rate dependence on the spot size well. It is assumed that the deposition of decomposition products from the bubble accounts for the spot size influence the etch rate

  13. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    Science.gov (United States)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  14. The Investigation of Intermediate Stage of Template Etching with Metal Droplets by Wetting Angle Analysis on (001 GaAs Surface

    Directory of Open Access Journals (Sweden)

    Lyamkina AA

    2011-01-01

    Full Text Available Abstract In this work, we study metal droplets on a semiconductor surface that are the initial stage for both droplet epitaxy and local droplet etching. The distributions of droplet geometrical parameters such as height, radius and volume help to understand the droplet formation that strongly influences subsequent nanohole etching. To investigate the etching and intermixing processes, we offer a new method of wetting angle analysis. The aspect ratio that is defined as the ratio of the height to radius was used as an estimation of wetting angle which depends on the droplet material. The investigation of the wetting angle and the estimation of indium content revealed significant materials intermixing during the deposition time. AFM measurements reveal the presence of two droplet groups that is in agreement with nanohole investigations. To explain this observation, we consider arsenic evaporation and consequent change in the initial substrate. On the basis of our analysis, we suggest the model of droplet evolution and the formation of two droplet groups.

  15. The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching

    International Nuclear Information System (INIS)

    Sievilae, Paeivi; Chekurov, Nikolai; Tittonen, Ilkka

    2010-01-01

    Local gallium implantation of silicon by a focused ion beam (FIB) has been used to create a mask for anisotropic tetramethylammonium hydroxide (TMAH) wet etching. The dependence of the etch stop properties of gallium-doped silicon on the implanted dose has been investigated and a dose of 4 x 10 13 ions cm -2 has been determined to be the threshold value for achieving observable etching resistance. Only a thin, approx. 50 nm, surface layer is found to be durable enough to serve as a mask with a high selectivity of at least 2000:1 between implanted and non-implanted areas. The combined FIB-TMAH process has been used to generate various types of 3D nanostructures including nanochannels separated by thin vertical sidewalls with aspect ratios up to 1:30, ultra-narrow (approx. 25 nm) freestanding bridges and cantilevers, and gratings with a resolution of 20 lines μm -1 .

  16. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  17. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    Science.gov (United States)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  18. Interface and transport properties of metallization contacts to flat and wet-etching roughed N-polar n-type GaN.

    Science.gov (United States)

    Wang, Liancheng; Liu, Zhiqiang; Guo, Enqing; Yang, Hua; Yi, Xiaoyan; Wang, Guohong

    2013-06-26

    The electrical characteristics of metallization contacts to flat (F-sample, without wet-etching roughed) and wet-etching roughed (R-sample) N-polar (Nitrogen-polar) n-GaN have been investigated. R-sample shows higher contact resistance (Rc) to Al/Ti/Au (~2.5 × 10(-5) Ω·cm(2)) and higher Schottky barriers height (SBH, ~0.386 eV) to Ni/Au, compared with that of F-sample (~1.3 × 10(-6) Ω·cm(2), ~0.154 eV). Reasons accounting for this discrepancy has been detail investigated and discussed: for R-sample, wet-etching process caused surface state and spontaneous polarization variation will degraded its electrical characteristics. Metal on R-sample shows smoother morphology, however, the effect of metal deposition state on electrical characteristics is negligible. Metallization contact area for both samples has also been further considered. Electrical characteristics of metallization contact to both samples show degradation upon annealing. The VLED chip (1 mm × 1 mm), which was fabricated on the basis of a hybrid scheme, coupling the advantage of F- and R-sample, shows the lowest forward voltage (2.75 V@350 mA) and the highest light output power.

  19. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  20. Fabrication of 3D solenoid microcoils in silica glass by femtosecond laser wet etch and microsolidics

    Science.gov (United States)

    Meng, Xiangwei; Yang, Qing; Chen, Feng; Shan, Chao; Liu, Keyin; Li, Yanyang; Bian, Hao; Du, Guangqing; Hou, Xun

    2015-02-01

    This paper reports a flexible fabrication method for 3D solenoid microcoils in silica glass. The method consists of femtosecond laser wet etching (FLWE) and microsolidics process. The 3D microchannel with high aspect ratio is fabricated by an improved FLWE method. In the microsolidics process, an alloy was chosen as the conductive metal. The microwires are achieved by injecting liquid alloy into the microchannel, and allowing the alloy to cool and solidify. The alloy microwires with high melting point can overcome the limitation of working temperature and improve the electrical property. The geometry, the height and diameter of microcoils were flexibly fabricated by the pre-designed laser writing path, the laser power and etching time. The 3D microcoils can provide uniform magnetic field and be widely integrated in many magnetic microsystems.

  1. Simple fabrication of closed-packed IR microlens arrays on silicon by femtosecond laser wet etching

    Science.gov (United States)

    Meng, Xiangwei; Chen, Feng; Yang, Qing; Bian, Hao; Du, Guangqing; Hou, Xun

    2015-10-01

    We demonstrate a simple route to fabricate closed-packed infrared (IR) silicon microlens arrays (MLAs) based on femtosecond laser irradiation assisted by wet etching method. The fabricated MLAs show high fill factor, smooth surface and good uniformity. They can be used as optical devices for IR applications. The exposure and etching parameters are optimized to obtain reproducible microlens with hexagonal and rectangular arrangements. The surface roughness of the concave MLAs is only 56 nm. This presented method is a maskless process and can flexibly change the size, shape and the fill factor of the MLAs by controlling the experimental parameters. The concave MLAs on silicon can work in IR region and can be used for IR sensors and imaging applications.

  2. Nanosecond laser-induced back side wet etching of fused silica with a copper-based absorber liquid

    Science.gov (United States)

    Lorenz, Pierre; Zehnder, Sarah; Ehrhardt, Martin; Frost, Frank; Zimmer, Klaus; Schwaller, Patrick

    2014-03-01

    Cost-efficient machining of dielectric surfaces with high-precision and low-roughness for industrial applications is still challenging if using laser-patterning processes. Laser induced back side wet etching (LIBWE) using UV laser pulses with liquid heavy metals or aromatic hydrocarbons as absorber allows the fabrication of well-defined, nm precise, free-form surfaces with low surface roughness, e.g., needed for optical applications. The copper-sulphatebased absorber CuSO4/K-Na-Tartrate/NaOH/formaldehyde in water is used for laser-induced deposition of copper. If this absorber can also be used as precursor for laser-induced ablation, promising industrial applications combining surface structuring and deposition within the same setup could be possible. The etching results applying a KrF excimer (248 nm, 25 ns) and a Nd:YAG (1064 nm, 20 ns) laser are compared. The topography of the etched surfaces were analyzed by scanning electron microscopy (SEM), white light interferometry (WLI) as well as laser scanning microscopy (LSM). The chemical composition of the irradiated surface was studied by energy-dispersive X-ray spectroscopy (EDX) and Fourier transform infrared spectroscopy (FT-IR). For the discussion of the etching mechanism the laser-induced heating was simulated with finite element method (FEM). The results indicate that the UV and IR radiation allows micro structuring of fused silica with the copper-based absorber where the etching process can be explained by the laser-induced formation of a copper-based absorber layer.

  3. Composite shear bond strength to dry and wet enamel with three self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Shafiee F

    2006-01-01

    Full Text Available Background and Aim: The bonding mechanisms of self etching primers, based upon the simultaneous etching and priming of dentin, simplifies the bonding technique, but the efficiency of these systems is still controversial. This study compared the shear bond strength of three self etch adhesive systems in dry and wet conditions. Materials and Method: In this experimental study, 77 intact bovine lower incisors with flat 600 grit sanded enamel surface were fixed in acrylic molds and divided into 7 groups, of 11 teeth. The enamel surfaces were treated according to a special procedure as follows: Group 1: Prompt L-Pop (PLP in dry condition, Group 2: Prompt L-Pop in wet condition, Group 3: Clearfield SE Bond (CSEB in dry condition, Group 4: Clearfield SE Bond in wet condition, Group 5: iBond (iB in dry condition, Group 6: iBond in wet condition, Group 7: Margin Bond (Control in dry condition. Surfaces were air dried for ten seconds, or blot dried in wet condition. Composite resin was bonded on the enamel and built up by applying a cylindric teflon split mold (4 mm height 2mm diameter. After 24 hours storage in dionized water at room temperature, all specimens were thermocycled and shear bond test was employed by a universal testing machine (Instron with a cross-head speed of 1mm/min. The shear bond strength was recorded in MPa and data were analyzed with ANOVA and Scheffe statistical tests. P<0.05 was considered as statistically significant. The mode of failure was examined under a stereomicroscope. Results: 1- Shear bond strength of CSEB in dry condition (21.5 ± 4.8 MPa was significantly higher than PLP and iB groups (p<0.0001. 2- Shear bond strength of iB and PLP groups in dry condition (9.60 ± 2.2, 9.49 ± 3 MPa were significantly lower than CSEB and control (2.99 ± 5.1 MPa (P<0.0001. 3- There was no significant difference between PLP and iB groups in dry condition (P=1. 4- Shear bond strength of CSEB in wet condition (21.8 ± 3 MPa was

  4. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  5. Damage-free back channel wet-etch process in amorphous indium-zinc-oxide thin-film transistors using a carbon-nanofilm barrier layer.

    Science.gov (United States)

    Luo, Dongxiang; Zhao, Mingjie; Xu, Miao; Li, Min; Chen, Zikai; Wang, Lang; Zou, Jianhua; Tao, Hong; Wang, Lei; Peng, Junbiao

    2014-07-23

    Amorphous indium-zinc-oxide thin film transistors (IZO-TFTs) with damage-free back channel wet-etch (BCE) process were investigated. A carbon (C) nanofilm was inserted into the interface between IZO layer and source/drain (S/D) electrodes as a barrier layer. Transmittance electron microscope images revealed that the 3 nm-thick C nanofilm exhibited a good corrosion resistance to a commonly used H3PO4-based etchant and could be easily eliminated. The TFT device with a 3 nm-thick C barrier layer showed a saturated field effect mobility of 14.4 cm(2) V(-1) s(-1), a subthreshold swing of 0.21 V/decade, an on-to-off current ratio of 8.3 × 10(10), and a threshold voltage of 2.0 V. The favorable electrical performance of this kind of IZO-TFTs was due to the protection of the inserted C to IZO layer in the back-channel-etch process. Moreover, the low contact resistance of the devices was proved to be due to the graphitization of the C nanofilms after annealing. In addition, the hysteresis and thermal stress testing confirmed that the usage of C barrier nanofilms is an effective method to fabricate the damage-free BCE-type devices with high reliability.

  6. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  7. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  8. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  9. Design and application of a metal wet-etching post-process for the improvement of CMOS-MEMS capacitive sensors

    International Nuclear Information System (INIS)

    Tsai, Ming-Han; Sun, Chih-Ming; Liu, Yu-Chia; Fang, Weileun; Wang, Chuanwei

    2009-01-01

    This study presents a process design methodology to improve the performance of a CMOS-MEMS gap-closing capacitive sensor. In addition to the standard CMOS process, the metal wet-etching approach is employed as the post-CMOS process to realize the present design. The dielectric layers of the CMOS process are exploited to form the main micro mechanical structures of the sensor. The metal layers of the CMOS process are used as the sensing electrodes and sacrificial layers. The advantages of the sensor design are as follows: (1) the parasitic capacitance is significantly reduced by the dielectric structure, (2) in-plane and out-of-plane sensing gaps can be reduced to increase the sensitivity, and (3) plate-type instead of comb-type out-of-plane sensing electrodes are available to increase the sensing electrode area. To demonstrate the feasibility of the present design, a three-axis capacitive CMOS-MEMS accelerometers chip is implemented and characterized. Measurements show that the sensitivities of accelerometers reach 11.5 mV G −1 (in the X-, Y-axes) and 7.8 mV G −1 (in the Z-axis), respectively, which are nearly one order larger than existing designs. Moreover, the detection of 10 mG excitation using the three-axis accelerometer is demonstrated for both in-plane and out-of-plane directions

  10. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  11. Surface changes of biopolymers PHB and PLLA induced by Ar+ plasma treatment and wet etching

    Science.gov (United States)

    Slepičková Kasálková, N.; Slepička, P.; Sajdl, P.; Švorčík, V.

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar+ plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers - polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  12. Nickel silicide thin films as masking and structural layers for silicon bulk micro-machining by potassium hydroxide wet etching

    International Nuclear Information System (INIS)

    Bhaskaran, M; Sriram, S; Sim, L W

    2008-01-01

    This paper studies the feasibility of using titanium and nickel silicide thin films as mask materials for silicon bulk micro-machining. Thin films of nickel silicide were found to be more resistant to wet etching in potassium hydroxide. The use of nickel silicide as a structural material, by fabricating micro-beams of varying dimensions, is demonstrated. The micro-structures were realized using these thin films with wet etching using potassium hydroxide solution on (1 0 0) and (1 1 0) silicon substrates. These results show that nickel silicide is a suitable alternative to silicon nitride for silicon bulk micro-machining

  13. Surface changes of biopolymers PHB and PLLA induced by Ar{sup +} plasma treatment and wet etching

    Energy Technology Data Exchange (ETDEWEB)

    Slepičková Kasálková, N. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Slepička, P., E-mail: petr.slepicka@vscht.cz [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Sajdl, P. [Department of Power Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Švorčík, V. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic)

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar{sup +} plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers – polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  14. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  15. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  16. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    Science.gov (United States)

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  17. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers

    International Nuclear Information System (INIS)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-01-01

    To develop x-ray mirrors for micropore optics, smooth silicon (111)sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 μm wide (111) sidewalls was fabricated using a 220 μm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time,x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements

  18. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang, Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-12-10

    To develop x-ray mirrors for micropore optics, smooth silicon (111) sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 microm wide (111) sidewalls was fabricated using a 220 microm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time, x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements.

  19. Effects of UV light intensity on electrochemical wet etching of SiC for the fabrication of suspended graphene

    Science.gov (United States)

    O, Ryong-Sok; Takamura, Makoto; Furukawa, Kazuaki; Nagase, Masao; Hibino, Hiroki

    2015-03-01

    We report on the effects of UV light intensity on the photo assisted electrochemical wet etching of SiC(0001) underneath an epitaxially grown graphene for the fabrication of suspended structures. The maximum etching rate of SiC(0001) was 2.5 µm/h under UV light irradiation in 1 wt % KOH at a constant current of 0.5 mA/cm2. The successful formation of suspended structures depended on the etching rate of SiC. In the Raman spectra of the suspended structures, we did not observe a significant increase in the intensity of the D peak, which originates from defects in graphene sheets. This is most likely explained by the high quality of the single-crystalline graphene epitaxially grown on SiC.

  20. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  1. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  2. Fabrication of etched facets and vertical couplers in InP for packaging and on-wafer test

    NARCIS (Netherlands)

    Lemos Alvares Dos Santos, Rui; D'Agostino, D.; Soares, F. M.; Haghighi, H. Rabbani; Williams, K. A.; Leijtens, X. J. M.

    2016-01-01

    In this letter, the fabrication and the characterization of angled and straight etched facets in InP-based technology are reported. In addition, we report on etched facets combined with coupler mirrors for vertical outcoupling, realized with a wet-etching process.

  3. Fabrication and Photovoltaic Characteristics of Coaxial Silicon Nanowire Solar Cells Prepared by Wet Chemical Etching

    Directory of Open Access Journals (Sweden)

    Chien-Wei Liu

    2012-01-01

    Full Text Available Nanostructured solar cells with coaxial p-n junction structures have strong potential to enhance the performances of the silicon-based solar cells. This study demonstrates a radial junction silicon nanowire (RJSNW solar cell that was fabricated simply and at low cost using wet chemical etching. Experimental results reveal that the reflectance of the silicon nanowires (SNWs declines as their length increases. The excellent light trapping was mainly associated with high aspect ratio of the SNW arrays. A conversion efficiency of ∼7.1% and an external quantum efficiency of ∼64.6% at 700 nm were demonstrated. Control of etching time and diffusion conditions holds great promise for the development of future RJSNW solar cells. Improving the electrode/RJSNW contact will promote the collection of carries in coaxial core-shell SNW array solar cells.

  4. Study on morphology of high-aspect-ratio grooves fabricated by using femtosecond laser irradiation and wet etching

    International Nuclear Information System (INIS)

    Chen, Tao; Pan, An; Li, Cunxia; Si, Jinhai; Hou, Xun

    2015-01-01

    Highlights: • We studied morphologies of silicon grooves fabricated by laser irradiation and wet etching. • We found nano-ripple structures formed on the groove sidewall. • Formations of nano-ripples were due to the formation of standing wave and nanoplanes. • Remaining debris on the groove bottom was removed by KOH etching. - Abstract: Morphologies of high-aspect-ratio silicon grooves fabricated by using femtosecond laser irradiation and selective chemical etching of hydrofluoric acid (HF) were studied. Oxygen was deeply doped into silicon under femtosecond laser irradiation in air, and then the oxygen-doped regions were removed by HF etching to form high-aspect-ratio grooves. After HF etching, periodic nano-ripples which were induced in silicon by femtosecond laser were observed on the groove sidewalls. The ripple orientation was perpendicular or parallel to the laser propagation direction (z direction), which depended on the relative direction between the laser polarization direction and the scanning direction. The formation of nano-ripples with orientations perpendicular to z direction could be attributed to the standing wave generated by the interference of the incident light and the reflected light in z direction. The formation of nano-ripples with orientations parallel to z direction could be attributed to the formation of self-organized periodic nanoplanes (bulk nanogratings) induced by femtosecond laser inside silicon. Materials in the tail portion of laser-induced oxygen doping (LIOD) regions were difficult to be etched by HF solution due to low oxygen concentration. The specimen was etched further in KOH solution to remove remaining materials in LIOD regions and all-silicon grooves were fabricated

  5. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers.

    Science.gov (United States)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Maeda, Yoshitomo; Yamasaki, Noriko Y; Mitsuda, Kazuhisa; Shirata, Takayuki; Hayashi, Takayuki; Takano, Takayuki; Maeda, Ryutaro

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K(alpha)0.28 keV and Al K(alpha)1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K(alpha) (approximately 6 nm rms) is significantly larger than approximately 1 nm at Al K(alpha). This can be explained by different coherent lengths at two energies.

  6. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Maeda, Yoshitomo; Yamasaki, Noriko Y.; Mitsuda, Kazuhisa; Shirata, Takayuki; Hayashi, Takayuki; Takano, Takayuki; Maeda, Ryutaro

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K{alpha}0.28 keV and Al K{alpha}1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K{alpha} ({approx}6 nm rms) is significantly larger than {approx}1 nm at Al K{alpha}. This can be explained by different coherent lengths at two energies.

  7. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  8. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    Science.gov (United States)

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  9. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  10. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  11. Fabrication of 3D electro-thermal micro actuators in silica glass by femtosecond laser wet etch and microsolidics

    Science.gov (United States)

    Li, Qichao; Shan, Chao; Yang, Qing; Chen, Feng; Bian, Hao; Hou, Xun

    2017-02-01

    This paper demonstrates a novel electro-thermal micro actuator's design, fabrication and device tests which combine microfluidic technology and microsolidics process. A three-dimensional solenoid microchannel with high aspect ratio is fabricated inside the silica glass by an improved femtosecond laser wet etch (FLWE) technology, and the diameter of the spiral coil is only 200 μm. Molten alloy (Bi/In/Sn/Pb) with high melting point is injected into the three-dimensional solenoid microchannel inside the silica glass , then it solidifys and forms an electro-thermal micro actuator. The device is capable of achieving precise temperature control and quick response, and can also be easily integrated into MEMS, sensors and `lab on a chip' (LOC) platform inside the fused silica substrate.

  12. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  13. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  14. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  15. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  16. Influence of sputtering conditions on the optical and electrical properties of laser-annealed and wet-etched room temperature sputtered ZnO:Al thin films

    Energy Technology Data Exchange (ETDEWEB)

    Boukhicha, Rym, E-mail: rym.boukhicha@polytechnique.edu [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Charpentier, Coralie [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Total S and M — New Energies Division, R and D Division, Department of Solar Energies EN/BO/RD/SOL, Tour Michelet, 24 cours Michelet, La Défense 10, 92069 Paris La Défense Cedex (France); Prod' Homme, Patricia [Total S and M — New Energies Division, R and D Division, Department of Solar Energies EN/BO/RD/SOL, Tour Michelet, 24 cours Michelet, La Défense 10, 92069 Paris La Défense Cedex (France); Roca i Cabarrocas, Pere [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Lerat, Jean-François; Emeraud, Thierry [Photovoltaic Business Unit, Excico Group NV, Kempische Steenweg 305/2, B-3500 Hasselt (Belgium); Johnson, Erik [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France)

    2014-03-31

    We explore the influence of the sputtering deposition conditions on the outcome of an excimer laser anneal and chemical etching process with the goal of producing highly textured substrates for thin film silicon solar cells. Aluminum-doped zinc oxide (ZnO:Al) thin films were prepared on glass substrates by radio frequency magnetron sputtering from a ceramic target at room temperature. The effects of the process pressure (0.11–1.2 Pa) and oxygen flow (0–2 sccm) on the optical and electrical properties of ZnO:Al thin films have been studied both before and after an excimer laser annealing treatment followed by a dilute HCl chemical etch. The as-deposited films varied from completely opaque to yellowish. Thin film laser annealing dramatically improves the optical properties of the most opaque thin films. After laser annealing at the optimum fluence, the average transmittance in the visible wavelength range was around 80% for most films, and reasonable electrical performance was obtained for the films deposited at lower pressures and without oxygen flux (7 Ω/□ for films of 1 μm). After etching, all films displayed a dramatic improvement in haze, but only the low pressure, low oxygen films retained acceptable electrical properties (< 11 Ω/□). - Highlights: • Al:ZnO thin films were deposited at room temperature. • The ZnO:Al films were excimer laser annealed and then wet-etched. • The optical and electrical properties were studied in details.

  17. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  18. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion.

    Directory of Open Access Journals (Sweden)

    R Zahran

    Full Text Available Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5-7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time.

  19. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  20. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    International Nuclear Information System (INIS)

    Upadhyay, Janardan; Phillips, Larry; Valente, Anne-Marie

    2011-01-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  1. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    Energy Technology Data Exchange (ETDEWEB)

    Janardan Upadhyay, Larry Phillips, Anne-Marie Valente

    2011-09-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  2. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  3. Single step sequential polydimethylsiloxane wet etching to fabricate a microfluidic channel with various cross-sectional geometries

    Science.gov (United States)

    Wang, C.-K.; Liao, W.-H.; Wu, H.-M.; Lo, Y.-H.; Lin, T.-R.; Tung, Y.-C.

    2017-11-01

    Polydimethylsiloxane (PDMS) has become a widely used material to construct microfluidic devices for various biomedical and chemical applications due to its desirable material properties and manufacturability. PDMS microfluidic devices are usually fabricated using soft lithography replica molding methods with master molds made of photolithogrpahy patterned photoresist layers on silicon wafers. The fabricated microfluidic channels often have rectangular cross-sectional geometries with single or multiple heights. In this paper, we develop a single step sequential PDMS wet etching process that can be used to fabricate microfluidic channels with various cross-sectional geometries from single-layer PDMS microfluidic channels. The cross-sections of the fabricated channel can be non-rectangular, and varied along the flow direction. Furthermore, the fabricated cross-sectional geometries can be numerically simulated beforehand. In the experiments, we fabricate microfluidic channels with various cross-sectional geometries using the developed technique. In addition, we fabricate a microfluidic mixer with alternative mirrored cross-sectional geometries along the flow direction to demonstrate the practical usage of the developed technique.

  4. In situ reflectivity investigations of solid/liquid interface during laser backside etching

    International Nuclear Information System (INIS)

    Boehme, R.; Otto, T.; Zimmer, K.

    2006-01-01

    In situ reflectivity measurements of the solid/liquid interface with a pump-probe setup were performed during laser-induced backside wet etching (LIBWE) of fused silica with KrF excimer laser using toluene as absorbing liquid. The intensity, the temporal shape, and the duration of the reflected light measured in dependence on the laser fluence are discussed referring to the surface modification and the bubble formation. The vaporisation of the superheated liquid at the solid interface causes a considerable increase of the reflectivity and gives information about the bubble lifetime. The alterations of the reflectivity after bubbles collapse can be explained with the changed optical properties due to surface modifications of the solid surface. Comparative studies of the reflectivity at different times and the etch rate behaviour in dependence on the laser fluence show that the in situ measured surface modification begins just at the etch threshold fluence and correlates further with etch rate behaviour and the etched surface appearance. The already observed surface modification at LIBWE due to a carbon deposition and structural changes of the near surface region are approved by the changes of the interface reflectivity and emphasizes the importance of the modified surface region in the laser-induced backside wet etching process

  5. Antireflective surface structures in glass by self-assembly of SiO2 nanoparticles and wet etching.

    Science.gov (United States)

    Maier, Thomas; Bach, David; Müllner, Paul; Hainberger, Rainer; Brückl, Hubert

    2013-08-26

    We describe the fabrication of an antireflective surface structure with sub-wavelength dimensions on a glass surface using scalable low-cost techniques involving sol-gel coating, thermal annealing, and wet chemical etching. The glass surface structure consists of sand dune like protrusions with 250 nm periodicity and a maximum peak-to-valley height of 120 nm. The antireflective structure increases the transmission of the glass up to 0.9% at 700 nm, and the transmission remains enhanced over a wide spectral range and for a wide range of incident angles. Our measurements reveal a strong polarization dependence of the transmission change.

  6. Improved Ohmic-contact to AlGaN/GaN using Ohmic region recesses by self-terminating thermal oxidation assisted wet etching technique

    Science.gov (United States)

    Liu, J.; Wang, J.; Wang, H.; Zhu, L.; Wu, W.

    2017-06-01

    Lower Ti/Al/Ni/Au Ohmic contact resistance on AlGaN/GaN with wider rapid thermal annealing (RTA) temperature window was achieved using recessed Ohmic contact structure based on self-terminating thermal oxidation assisted wet etching technique (STOAWET), in comparison with conventional Ohmic contacts. Even at lower temperature such as 650°C, recessed structure by STOAWET could still obtain Ohmic contact with contact resistance of 1.97Ω·mm, while conventional Ohmic structure mainly featured as Schottky contact. Actually, both Ohmic contact recess and mesa isolation processes could be accomplished by STOAWET in one process step and the process window of STOAWET is wide, simplifying AlGaN/GaN HEMT device process. Our experiment shows that the isolation leakage current by STOAWET is about one order of magnitude lower than that by inductivity coupled plasma (ICP) performed on the same wafer.

  7. Sacrificial structures for deep reactive ion etching of high-aspect ratio kinoform silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Michael-Lindhard, Jonas; Hübner, Jörg

    2015-01-01

    This article describes the realization of complex high-aspect ratio silicon structures with feature dimensions from 100 lm to 100nm by deep reactive ion etching using the Bosch process. As the exact shape of the sidewall profiles can be crucial for the proper functioning of a device, the authors...... of the sacrificial structures was accomplished by thermal oxidation and subsequent selective wet etching. The effects of the dimensions and relative placement of sacrificial walls and pillars on the etching result were determined through systematic experiments. The authors applied this process for exact sidewall...

  8. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon, E-mail: mphoung@eembox.ncku.edu.tw

    2015-06-15

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H{sub 3}PO{sub 4}, HCl, and HNO{sub 3} are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO{sub 3} exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10{sup −4} Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H{sub 3}PO{sub 4}, HCl and HNO{sub 3} were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm{sup 2} and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO{sub 3}-etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%.

  9. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    International Nuclear Information System (INIS)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon

    2015-01-01

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H 3 PO 4 , HCl, and HNO 3 are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO 3 exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10 −4 Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H 3 PO 4 , HCl and HNO 3 were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO 3 -etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm 2 and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO 3 -etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO 3 -etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%

  10. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  11. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  12. Real-Time Observation of Carbon Nanotube Etching Process Using Polarized Optical Microscope.

    Science.gov (United States)

    Zhao, Qiuchen; Yao, Fengrui; Wang, Zequn; Deng, Shibin; Tong, Lianming; Liu, Kaihui; Zhang, Jin

    2017-08-01

    Controllable synthesis of carbon nanotubes (CNTs) is of great importance in its further application, which attracts broad attention. As growth and etching are the two sides in the process of material crystallography and the control of the competition between them forms the foundation for modern technology of materials design and manufacture, the understanding on etching process of carbon nanotubes is still very unclear because technically it is of great challenge to characterize the dynamics in such small one-dimensional (1D) scale. Here the real-time investigation on the etching process of CNTs is reported, by the hot-wall chemical reactor equipped with a polarized optical microscope. It is discovered that the CNT etching behavior in air is totally of random, including the etching sites, termination sites, and structure dependence. Combining with the dynamic simulation, it is revealed that the random behavior reflects the unique "self-termination" phenomenon. A structure-independent etching propagation barrier of 2.4 eV is also obtained, which indicates that the etching propagation process still follows the conventional Kinetic Wulff construction theory. The results represent the new knowledge on the etching process in carbon nanotube and can contribute to its selective enrichment. Furthermore, the "self-termination" phenomenon may be a universal behavior in 1D process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  14. Enhancement of terahertz radiation in a Smith-Purcell backward-wave oscillator by an inverse wet-etched grating

    International Nuclear Information System (INIS)

    Kim, Jung-Il; Jeon, Seok-Gy; Kim, Geun-Ju; Kim, Jaehong

    2011-01-01

    A terahertz (THz) Smith-Purcell (SP) backward-wave oscillator with an inverse wet-etched grating based on silicon has been proposed to enhance radiation intensity. This grating strengthens the interactions between an electron beam and the evanescent wave due to the adjacent surface structure between gratings that improves the magnitude of the electric field up to 1.7 times compared to the conventional rectangular gratings. A two-dimensional particle-in-cell (PIC) simulation shows that the radiated power is increased up to 2.3 times higher at the radiated frequency of 0.66 THz for an electron-beam energy of 30 keV.

  15. Overview Of Dry-Etch Techniques

    Science.gov (United States)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  16. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    Science.gov (United States)

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  17. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  18. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  19. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  20. Formation of hydrogen-related traps in electron-irradiated n-type silicon by wet chemical etching

    International Nuclear Information System (INIS)

    Tokuda, Yutaka; Shimada, Hitoshi

    1998-01-01

    Interaction of hydrogen atoms and vacancy-related defects in 10 MeV electron-irradiated n-type silicon has been studied by deep-level transient spectroscopy. Hydrogen has been incorporated into electron-irradiated n-type silicon by wet chemical etching. The reduction of the concentration of the vacancy-oxygen pair and divacancy occurs by the incorporation of hydrogen, while the formation of the NH1 electron trap (E c - 0.31 eV) is observed. Further decrease of the concentration of the vacancy-oxygen pair and further increase of the concentration of the NH1 trap are observed upon subsequent below-band-gap light illumination. It is suggested that the trap NH1 is tentatively ascribed to the vacancy-oxygen pair which is partly saturated with hydrogen

  1. Nano integrated circuit process

    International Nuclear Information System (INIS)

    Yoon, Yung Sup

    2004-02-01

    This book contains nine chapters, which are introduction of manufacture of semiconductor chip, oxidation such as Dry-oxidation, wet oxidation, oxidation model and oxide film, diffusion like diffusion process, diffusion equation, diffusion coefficient and diffusion system, ion implantation, including ion distribution, channeling, multiimplantation and masking and its system, sputtering such as CVD and PVD, lithography, wet etch and dry etch, interconnection and flattening like metal-silicon connection, silicide, multiple layer metal process and flattening, an integrated circuit process, including MOSFET and CMOS.

  2. Nano integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Yoon, Yung Sup

    2004-02-15

    This book contains nine chapters, which are introduction of manufacture of semiconductor chip, oxidation such as Dry-oxidation, wet oxidation, oxidation model and oxide film, diffusion like diffusion process, diffusion equation, diffusion coefficient and diffusion system, ion implantation, including ion distribution, channeling, multiimplantation and masking and its system, sputtering such as CVD and PVD, lithography, wet etch and dry etch, interconnection and flattening like metal-silicon connection, silicide, multiple layer metal process and flattening, an integrated circuit process, including MOSFET and CMOS.

  3. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    distance. In this dissertation it is presented the first nitride blue edge emitting LD with a photoelectrochemical etched current aperture (CA-LD) into the device active region. Photoelectrochemical etching (PECE) has emerged as a powerful wet etching technique for III-nitride compounds. Beyond the advantages of wet etching technique, PECE offers bandgap selectivity, which is particularly desirable because it allows more freedom in designing new and advanced devices with higher performances. In the first part of this thesis a review of PECE is presented, and it is shown how it can be used to achieve a selective and controllable deep undercut of the active region of LEDs and LDs, in particular the selective PECE of MQW active region of (10-10) m-plane and (20-2-1) plane structures is reported. In the second part of this thesis, the fabrication flow process of the CA-LD is described. The performance of these devices is compared with that of shallow etched ridge LDs with a nominally identical epitaxial structure and active region width and it is experimentally shown that the CA-LD design has superior performance. CW operation of a (20-2-1) CA-LD with a 1.5 microm wide active region is demonstrated. Finally, in the third and last part of this thesis, the CA-LD performance is discussed in more details, in particular, an analysis of optical scattering losses caused by the rough edges of the remnant PEC etched active region is presented.

  4. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  5. Glass frit bonding with controlled width and height using a two-step wet silicon etching procedure

    Science.gov (United States)

    Yifang, Liu; Daner, Chen; Liwei, Lin; Gaofeng, Zheng; Jianyi, Zheng; Lingyun, Wang; Daoheng, Sun

    2016-03-01

    A simple and versatile two-step silicon wet etching technique for the control of the width and height of the glass frit bonding layer has been developed to improve bonding strength and reliability in wafer-level microelectromechanical systems (MEMS) packaging processes. The height of the glass frit bonding layer is set by the design of a vertical reference wall which regulates the distance between the silicon wafer and the encapsulation capping substrate. On the other hand, the width of the bonding layer is constrained between two micro grooves which are used to accommodate the spillages of extra glass frit during the bonding process. An optimized thermal bonding process, including the formation of glass liquid, removal of gas bubbles under vacuum and the filling of voids under normal atmospheric condition has been developed to suppress the formation of the bubbles/voids. The stencil printing and pre-sintering processes for the glass frit have been characterized before the thermal bonding process under different magnitudes of bonding pressure. The bonding gap thickness is found to be equal to the height of the reference wall of 10 μm in the prototype design. The bubbles/voids are found to be suppressed effectively and the bonding strength increases from 10.2 to 19.1 MPa as compared with a conventional thermal annealing process in air. Experimentally, prototype samples are measured to have passed the high hermetic sealing leakage tests of 5  ×  10-8 atm cc s-1.

  6. Polymer degradation in reactive ion etching and its possible application to all dry processes

    International Nuclear Information System (INIS)

    Hiraoka, H.; Welsh, L.W. Jr.

    1981-01-01

    Dry etching processes involving CF 4 -plasma and reactive ion etching become increasingly important for microcircuit fabrication techniques. In these techniques polymer degradation and etch resistance against reactive species like F atoms and CF 3 + ions are the key factors in the processes. It is well-known that classical electron beam resists like poly(methyl methacrylate) and poly(1-butene sulfone) are not suitable for dry etching processes because they degrade rapidly under these etching conditions. In order to find a correlation of etching rate and polymer structures the thickness loss of polymer films have been measured for a variety of polymer films in reactive ion etching conditions, where CF 3 + ions are the major reactive species with an accelerating potential of 500 volts. Because of its high CF 4 -plasma and reactive ion etch resistance, and because of its high electron beam sensitivity, poly(methacrylonitrile) provides a positive working electron beam resist uniquely suited for all dry processes. (author)

  7. Growing Embossed Nanostructures of Polymer Brushes on Wet-Etched Silicon Templated via Block Copolymers

    Science.gov (United States)

    Lu, Xiaobin; Yan, Qin; Ma, Yinzhou; Guo, Xin; Xiao, Shou-Jun

    2016-02-01

    Block copolymer nanolithography has attracted enormous interest in chip technologies, such as integrated silicon chips and biochips, due to its large-scale and mass production of uniform patterns. We further modified this technology to grow embossed nanodots, nanorods, and nanofingerprints of polymer brushes on silicon from their corresponding wet-etched nanostructures covered with pendent SiHx (X = 1-3) species. Atomic force microscopy (AFM) was used to image the topomorphologies, and multiple transmission-reflection infrared spectroscopy (MTR-IR) was used to monitor the surface molecular films in each step for the sequential stepwise reactions. In addition, two layers of polymethacrylic acid (PMAA) brush nanodots were observed, which were attributed to the circumferential convergence growth and the diffusion-limited growth of the polymer brushes. The pH response of PMAA nanodots in the same region was investigated by AFM from pH 3.0 to 9.0.

  8. Single Mode Optical Fiber based Refractive Index Sensor using Etched Cladding

    OpenAIRE

    Kumar, Ajay; Gupta, Geeta; Mallik, Arun; Bhatnagar, Anuj

    2011-01-01

    The use of optical fiber for sensor applications is a topic of current interest. We report the fabrication of etched single mode optical fiber based refractive index sensor. Experiments are performed to determine the etch rate of fiber in buffered hydrofluoric acid, which can be high or low depending upon the temperature at which etching is carried out. Controlled wet etching of fiber cladding is performed using these measurements and etched fiber region is tested for refractive index sensing...

  9. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    Science.gov (United States)

    Held, J.; Gaspar, J.; Ruther, P.; Hagner, M.; Cismak, A.; Heilmann, A.; Paul, O.

    2010-02-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  10. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    International Nuclear Information System (INIS)

    Held, J; Gaspar, J; Ruther, P; Paul, O; Hagner, M; Cismak, A; Heilmann, A

    2010-01-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  11. Imaging and etching, soft x-ray microscopy on whole wet cells

    International Nuclear Information System (INIS)

    Gilbert, J.R.; Pine, J.

    1993-01-01

    The authors have produced images of whole wet tissue culture cells with the Stony Brook/BNL scanning transmission x-ray microscope (STXM). For fixed cells the authors have taken images at theoretical resolutions of ∼50-75nm, and in practice have measured FWHM of features down to near 100nm, without any exotic image processing. For unfixed (i.e., initially live) cells the authors have imaged with 100nm pixels and measured features down to 250nm. In order to do this the authors have developed, tested and used a wet cell for maintaining fixed or live cells on the STXM stage during imaging. The design of the wet cell and the culture substrates that go with it make the STXM compatible with almost all standard systems for surface adherent tissue culture. The authors will show some new images of whole wet fixed and unfixed cells, with visible sub-micron features. The authors will also report data that helps to characterize the tissue damage due to x-ray absorption during STXM imaging

  12. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  13. Reduction of Residual Stresses in Sapphire Cover Glass Induced by Mechanical Polishing and Laser Chamfering Through Etching

    Directory of Open Access Journals (Sweden)

    Shih-Jeh Wu

    2016-10-01

    Full Text Available Sapphire is a hard and anti-scratch material commonly used as cover glass of mobile devices such as watches and mobile phones. A mechanical polishing using diamond slurry is usually necessary to create mirror surface. Additional chamfering at the edge is sometimes needed by mechanical grinding. These processes induce residual stresses and the mechanical strength of the sapphire work piece is impaired. In this study wet etching by phosphate acid process is applied to relief the induced stress in a 1” diameter sapphire cover glass. The sapphire is polished before the edge is chamfered by a picosecond laser. Residual stresses are measured by laser curvature method at different stages of machining. The results show that the wet etching process effectively relief the stress and the laser machining does not incur serious residual stress.

  14. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching.

    Science.gov (United States)

    Irrera, Alessia; Artoni, Pietro; Fioravanti, Valeria; Franzò, Giorgia; Fazio, Barbara; Musumeci, Paolo; Boninelli, Simona; Impellizzeri, Giuliana; Terrasi, Antonio; Priolo, Francesco; Iacona, Fabio

    2014-02-12

    Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm-2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS: 61.46.Km; 78.55.-m; 78.67.Lt.

  15. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  16. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  17. Nanostructuring of Mo/Si multilayers by means of reactive ion etching using a three-level mask

    International Nuclear Information System (INIS)

    Dreeskornfeld, L.; Haindl, G.; Kleineberg, U.; Heinzmann, U.; Shi, F.; Volland, B.; Rangelow, I.W.; Majkova, E.; Luby, S.; Kostic,; Matay, L.; Hrkut, P.; Hudek, P.; Lee, H.-Y.

    2004-01-01

    Recently, Mo/Si multilayer reflectors have been gaining industry interest as a promising choice for the next generation extreme ultraviolet mask material for printing sub 70 nm feature size devices. A reactive ion etching system with optimized hardware using CHF 3 /Ar process regime shows the capability for highly anisotropic etching of sub congruent with 400 nm feature sizes in Mo/Si test multilayers with ten periods and a bilayer thickness of 7.8 nm which were prepared by e-beam evaporation. A three-level-mask technique consisting of a top resist mask layer poly-methyl-meth-acrylate, a middle hard amorphous Si mask layer and a bottom-level polyimide layer is used to create the etch mask. The etch characteristics of the polyimide film is shown to be one of the major factors determining the success of the described multilayer etching process. The developed etching technology demonstrates superior process performance without facets, excellent uniformity and good profile control. No contamination, degeneration or defect generation in the unetched multilayer structure could be detected. This non-conventional process results in minimum deposition during the etching thus eliminating the need for a dry or wet cleaning. Sidewall angles in Mo/Si multilayers of 85 deg. , without undercut, bowing and ripples resulting in smooth sidewalls are achieved

  18. A plasmaless, photochemical etch process for porous organosilicate glass films

    Science.gov (United States)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  19. Complex three-dimensional structures in Si{1 0 0} using wet bulk micromachining

    International Nuclear Information System (INIS)

    Pal, Prem; Sato, Kazuo

    2009-01-01

    Complex three-dimensional structures for microelectromechanical systems (MEMS) are fabricated in Si{1 0 0} wafers using wet bulk micromachining. The structures are divided into two categories: fixed and freestanding. The fabrication processes for both types utilize single wafers with sequentially deposited nitride and oxide layers, local oxidation of silicon (LOCOS) and two steps of wet anisotropic etching. The fixed structures contain perfectly sharp edges. Thermally deposited oxide is used as the material for the freestanding structures. Wet etching is performed in tetramethyl ammonium hydroxide (TMAH) with and without Triton X-100 (C 14 H 22 O(C 2 H 4 O) n , n = 9–10). For the fixed structures, both etching steps are performed either in 25 wt% TMAH + Triton or pure TMAH or both, depending upon the type of the structures. In the case of freestanding systems, TMAH + Triton is utilized first, followed by pure TMAH. The fabrication methods enable densely arrayed structures, allowing the manufacture of corrugated diaphragms, compact size liquid (or gas) flow delivery systems, newly shaped mold for soft MEMS structures (e.g. PDMS (polydimethylsiloxane)) and other applications. The present research is an approach to fabricate advanced MEMS structures, extending the range of 3D structures fabricated by silicon anisotropic etching

  20. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  1. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    Science.gov (United States)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  2. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  3. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  4. A multi-step electrochemical etching process for a three-dimensional micro probe array

    International Nuclear Information System (INIS)

    Kim, Yoonji; Youn, Sechan; Cho, Young-Ho; Park, HoJoon; Chang, Byeung Gyu; Oh, Yong Soo

    2011-01-01

    We present a simple, fast, and cost-effective process for three-dimensional (3D) micro probe array fabrication using multi-step electrochemical metal foil etching. Compared to the previous electroplating (add-on) process, the present electrochemical (subtractive) process results in well-controlled material properties of the metallic microstructures. In the experimental study, we describe the single-step and multi-step electrochemical aluminum foil etching processes. In the single-step process, the depth etch rate and the bias etch rate of an aluminum foil have been measured as 1.50 ± 0.10 and 0.77 ± 0.03 µm min −1 , respectively. On the basis of the single-step process results, we have designed and performed the two-step electrochemical etching process for the 3D micro probe array fabrication. The fabricated 3D micro probe array shows the vertical and lateral fabrication errors of 15.5 ± 5.8% and 3.3 ± 0.9%, respectively, with the surface roughness of 37.4 ± 9.6 nm. The contact force and the contact resistance of the 3D micro probe array have been measured to be 24.30 ± 0.98 mN and 2.27 ± 0.11 Ω, respectively, for an overdrive of 49.12 ± 1.25 µm.

  5. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    Science.gov (United States)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  6. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  7. Wet-etching induced abnormal phase transition in highly strained VO{sub 2}/TiO{sub 2} (001) epitaxial film

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Hui; Chen, Shi; Chen, Yuliang; Luo, Zhenlin; Zhou, Jingtian; Zheng, Xusheng; Wang, Liangxin; Li, Bowen; Zou, Chongwen [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei (China)

    2018-01-15

    The metal-insulator transition (MIT) behavior in vanadium dioxide (VO{sub 2}) epitaxial film is known to be dramatically affected by interfacial stress due to lattice mismatching. For the VO{sub 2}/TiO{sub 2} (001) system, there exists a considerable strain in ultra-thin VO{sub 2} thin film, which shows a lower T{sub c} value close to room temperature. As the VO{sub 2} epitaxial film grows thicker layer-by-layer along the ''bottom-up'' route, the strain will be gradually relaxed and T{sub c} will increase as well, until the MIT behavior becomes the same as that of bulk material with a T{sub c} of about 68 C. Whereas, in this study, we find that the VO{sub 2}/TiO{sub 2} (001) film thinned by ''top-down'' wet-etching shows an abnormal variation in MIT, which accompanies the potential relaxation of film strain with thinning. It is observed that even when the strained VO{sub 2} film is etched up to several nanometers, the MIT persists, and T{sub c} will increase up to that of bulk material, showing the trend to a stress-free ultra-thin VO{sub 2} film. The current findings demonstrate a facial chemical-etching way to change interfacial strain and modulate the phase transition behavior of ultrathinVO{sub 2} films, which can also be applied to other strained oxide films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  9. Continuous Process for the Etching, Rinsing and Drying of MEMS Using Supercritical Carbon Dioxide

    Energy Technology Data Exchange (ETDEWEB)

    Min, Seon Ki; Han, Gap Su; You, Seong-sik [Korea University of Technology and Education, Cheonan (Korea, Republic of)

    2015-10-15

    The previous etching, rinsing and drying processes of wafers for MEMS (microelectromechanical system) using SC-CO{sub 2} (supercritical-CO{sub 2}) consists of two steps. Firstly, MEMS-wafers are etched by organic solvent in a separate etching equipment from the high pressure dryer and then moved to the high pressure dryer to rinse and dry them using SC-CO{sub 2}. We found that the previous two step process could be applied to etch and dry wafers for MEMS but could not confirm the reproducibility through several experiments. We thought the cause of that was the stiction of structures occurring due to vaporization of the etching solvent during moving MEMS wafer to high pressure dryer after etching it outside. In order to improve the structure stiction problem, we designed a continuous process for etching, rinsing and drying MEMS-wafers using SC-CO{sub 2} without moving them. And we also wanted to know relations of states of carbon dioxide (gas, liquid, supercritical fluid) to the structure stiction problem. In the case of using gas carbon dioxide (3 MPa, 25 .deg. C) as an etching solvent, we could obtain well-treated MEMS-wafers without stiction and confirm the reproducibility of experimental results. The quantity of rinsing solvent used could be also reduced compared with the previous technology. In the case of using liquid carbon dioxide (3 MPa, 5 .deg. C), we could not obtain well-treated MEMS-wafers without stiction due to the phase separation of between liquid carbon dioxide and etching co-solvent(acetone). In the case of using SC-CO{sub 2} (7.5 Mpa, 40 .deg. C), we had as good results as those of the case using gas-CO{sub 2}. Besides the processing time was shortened compared with that of the case of using gas-CO{sub 2}.

  10. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  11. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  12. Etching processes of transparent carbon nanotube thin films using laser technologies

    International Nuclear Information System (INIS)

    Lin, H.K.; Lin, R.C.; Li, C.H.

    2010-01-01

    Carbon nanotubes (CNTs) have potential as a transparent conductive material with good mechanical and electrical properties. However, carbon nanotube thin film deposition and etching processes are very difficult to pattern the electrode. In this study, transparent CNT film with a binder is coated on a PET flexible substrate. The transmittance and sheet resistance of carbon nanotube film are 84% and 1000 Ω/□, respectively. The etching process of carbon nanotube film on flexible substrates was investigated using 355 nm and 1064 nm laser sources. Experimental results show that carbon nanotube film can be ablated using laser technology. With the 355 nm UV laser, the minimum etched line width was 20 μm with a low amount of recast material of the ablated sections. The optimal conditions of laser ablation were determined for carbon nanotube film.

  13. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  14. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  15. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  16. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments.

    Science.gov (United States)

    Schukfeh, M I; Storm, K; Hansen, A; Thelander, C; Hinze, P; Beyer, A; Weimann, T; Samuelson, L; Tornow, M

    2014-11-21

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor-liquid-solid grown InAs nanowires with embedded InP segments of 10-60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap.

  17. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments

    International Nuclear Information System (INIS)

    Schukfeh, M I; Hansen, A; Tornow, M; Storm, K; Thelander, C; Samuelson, L; Hinze, P; Weimann, T; Beyer, A

    2014-01-01

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor–liquid–solid grown InAs nanowires with embedded InP segments of 10–60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap. (paper)

  18. Influence of chemistry on wetting dynamics of nanotextured hydrophobic surfaces.

    Science.gov (United States)

    Di Mundo, Rosa; Palumbo, Fabio; d'Agostino, Riccardo

    2010-04-06

    In this work, the role of a chemical parameter, such as the degree of fluorination, on the wetting behavior of nanotextured hydrophobic surfaces is investigated. Texture and chemistry tuning of the surfaces has been accomplished with single batch radiofrequency low-pressure plasma processes. Polystyrene substrates have been textured by CF(4) plasma etching and subsequently covered by thin films with a tunable F-to-C ratio, obtained in discharges fed with C(4)F(8)-C(2)H(4). Measurements of wetting dynamics reveal a regime transition from adhesive-hydrophobic to slippery-superhydrophobic, i.e., from wet to non wet states, as the F-to-C rises at constant topography. Such achievements are strengthened by calculation of the solid fraction of surface water contact area applying Cassie-Baxter advancing and receding equations to water contact angle data of textured and flat reference surfaces.

  19. Neutron-induced modifications on Hostaphan and Makrofol wettability and etching behaviors

    International Nuclear Information System (INIS)

    El-Sayed, D.; El-Saftawy, A.A.; Abd El Aal, S.A.; Fayez-Hassan, M.; Al-Abyad, M.; Mansour, N.A.; Seddik, U.

    2017-01-01

    Understanding the nature of polymers used as nuclear detectors is crucial to enhance their behaviors. In this work, the induced modifications in wettability and etching properties of Hostaphan and Makrofol polymers irradiated by different fluences of thermal neutrons are investigated. The wetting properties are studied by contact angle technique which showed the spread out of various liquids over the irradiated polymers surfaces (wettability enhanced). This wetting behavior is attributed to the induced changes in surface free energy (SFE), morphology, roughness, structure, hardness, and chemistry. SFE values are calculated by three different models and found to increase after neutrons irradiation associated with differences depending on the used model. These differences result from the intermolecular interactions in the liquid/polymer system. Surface morphology and roughness of both polymers showed drastic changes after irradiation. Additionally, surface structure and hardness of pristine and irradiated polymers were discussed and correlated to the surface wettability improvements. The changes in surface chemistry are examined by Fourier transform infrared spectroscopy (FTIR), which indicate an increase in surface polarity due to the formation of polar groups. The irradiated polymers etching characteristics and activation energies are discussed as well. Lastly, it is evident that thermal neutrons show efficiency in improving surface wettability and etching properties of Hostaphan and Makrofol in a controlled way. - Highlights: • Neutrons radiation used to modify Hostaphan and Makrofol polymer wetting behavior. • Tailoring surface structure, topography and chemistry control its wettability. • Bulk etching rate and activation energy improved after neutrons irradiation.

  20. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  1. Optical and Electrical Performance of ZnO Films Textured by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Shiuh-Chuan HER

    2015-11-01

    Full Text Available Zinc oxide (ZnO films were prepared by radio frequency (RF magnetron sputtering on the glass substrate as transparent conductive oxide films. For silicon solar cells, a proper surface texture is essential to introduce light scattering and subsequent light trapping to enhance the current generation. In this study, the magnetron-sputtered ZnO films were textured by wet-chemical etching in diluted hydrochloric acid (HCl for better light scattering. The diffuse transmittance of the surface textured ZnO films was measured to evaluate the light scattering. The influence of hydrochloric acid concentration on the morphology, optical and electrical properties of the surface-textured ZnO film was investigated. The ZnO film etched in 0.05M HCl solution for 30 s exhibited average diffuse transmittance in the visible wavelength range of 9.52 % and good resistivity of 1.10 x 10-3 W×cm while the as-deposited ZnO film had average diffuse transmittance of 0.51 % and relatively high resistivity of 5.84 x 10-2 W×cm. Experimental results illustrated that the optical and electrical performance of ZnO films can be significantly improved by introducing the surface texture through the wet-chemical etching process.DOI: http://dx.doi.org/10.5755/j01.ms.21.4.9624

  2. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  3. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  4. Performance improvements of binary diffractive structures via optimization of the photolithography and dry etch processes

    Science.gov (United States)

    Welch, Kevin; Leonard, Jerry; Jones, Richard D.

    2010-08-01

    Increasingly stringent requirements on the performance of diffractive optical elements (DOEs) used in wafer scanner illumination systems are driving continuous improvements in their associated manufacturing processes. Specifically, these processes are designed to improve the output pattern uniformity of off-axis illumination systems to minimize degradation in the ultimate imaging performance of a lithographic tool. In this paper, we discuss performance improvements in both photolithographic patterning and RIE etching of fused silica diffractive optical structures. In summary, optimized photolithographic processes were developed to increase critical dimension uniformity and featuresize linearity across the substrate. The photoresist film thickness was also optimized for integration with an improved etch process. This etch process was itself optimized for pattern transfer fidelity, sidewall profile (wall angle, trench bottom flatness), and across-wafer etch depth uniformity. Improvements observed with these processes on idealized test structures (for ease of analysis) led to their implementation in product flows, with comparable increases in performance and yield on customer designs.

  5. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  6. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  7. Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications

    International Nuclear Information System (INIS)

    Ray, Tathagata; Zhu, Haixin; Meldrum, Deirdre R

    2010-01-01

    In this note, we present our results from process development and characterization of reactive ion etching (RIE) of fused silica using a single-coated soft masking layer (KMPR® 1025, Microchem Corporation, Newton, MA). The effects of a number of fluorine-radical-based gaseous chemistries, the gas flow rate, RF power and chamber pressure on the etch rate and etching selectivity of fused silica were studied using factorial experimental designs. RF power and pressure were found to be the most important factors in determining the etch rate. The highest fused silica etch rate obtained was about 933 Å min −1 by using SF 6 -based gas chemistry, and the highest etching selectivity between the fused silica and KMPR® 1025 was up to 1.2 using a combination of CF 4 , CHF 3 and Ar. Up to 30 µm deep microstructures have been successfully fabricated using the developed processes. The average area roughness (R a ) of the etched surface was measured and results showed it is comparable to the roughness obtained using a wet etching technique. Additionally, near-vertical sidewalls (with a taper angle up to 85°) have been obtained for the etched microstructures. The processes developed here can be applied to any application requiring fabrication of deep microstructures in fused silica with near-vertical sidewalls. To our knowledge, this is the first note on deep RIE of fused silica using a single-coated KMPR® 1025 masking layer and a non-ICP-based reactive ion etcher. (technical note)

  8. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    Science.gov (United States)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  9. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  10. Ethanol Wet-bonding Technique Sensitivity Assessed by AFM

    OpenAIRE

    Osorio, E.; Toledano, M.; Aguilera, F.S.; Tay, F.R.; Osorio, R.

    2010-01-01

    In ethanol wet bonding, water is replaced by ethanol to maintain dehydrated collagen matrices in an extended state to facilitate resin infiltration. Since short ethanol dehydration protocols may be ineffective, this study tested the null hypothesis that there are no differences in ethanol dehydration protocols for maintaining the surface roughness, fibril diameter, and interfibrillar spaces of acid-etched dentin. Polished human dentin surfaces were etched with phosphoric acid and water-rinsed...

  11. Investigation of Plasma Etching for Superconducting RF Cavities Surface Preparation. Final Report

    International Nuclear Information System (INIS)

    Vuskovic, Leposava

    2009-01-01

    Our results show that plasma-treated samples are comparable or superior to a BCP sample, both in the size of features and sharpness of the boundaries between individual features at the surface. Plasma treatment of bulk Nb cavities is a promising technique for microwave cavities preparation used in particle acceleration application. Etching rates are sufficiently high to enable efficient removal of mechanically damaged surface layer with high reproducibility. No impurities are deposited on the bulk Nb surface during plasma treatment. Surface topology characteristic are promising for complex cavity geometry, since discharge conforms the profile of the reaction chamber. In view of these experimental results, we propose plasma treatment for producing microwave cavities with high Q factor instead of using bulk Nb treated with wet etching process.

  12. Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography

    International Nuclear Information System (INIS)

    Woldering, Leon A; Tjerkstra, R Willem; Vos, Willem L; Jansen, Henri V; Setija, Irwan D

    2008-01-01

    We report on the fabrication of periodic arrays of deep nanopores with high aspect ratios in crystalline silicon. The radii and pitches of the pores were defined in a chromium mask by means of deep UV scan and step technology. The pores were etched with a reactive ion etching process with SF 6 , optimized for the formation of deep nanopores. We have realized structures with pitches between 440 and 750 nm, pore diameters between 310 and 515 nm, and depth to diameter aspect ratios up to 16. To the best of our knowledge, this is the highest aspect ratio ever reported for arrays of nanopores in silicon made with a reactive ion etching process. Our experimental results show that the etching rate of the nanopores is aspect-ratio-dependent, and is mostly influenced by the angular distribution of the etching ions. Furthermore we show both experimentally and theoretically that, for sub-micrometer structures, reducing the sidewall erosion is the best way to maximize the aspect ratio of the pores. Our structures have potential applications in chemical sensors, in the control of liquid wetting of surfaces, and as capacitors in high-frequency electronics. We demonstrate by means of optical reflectivity that our high-quality structures are very well suited as photonic crystals. Since the process studied is compatible with existing CMOS semiconductor fabrication, it allows for the incorporation of the etched arrays in silicon chips

  13. Wet chemical etching of Al-doped ZnO film deposited by RF magnetron sputtering method on textured glass substrate for energy application

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Ki Hwan; Nam, Sang Hun; Jung, Won Suk; Lee, Yong Min; Yang, Hee Su; Boo, Jin Hyo [Dept. of Chemistry, Sungkyunkwan University, Suwon (Korea, Republic of)

    2015-03-15

    The etching of glasses in aqueous hydrofluoric acid (HF) solutions is applied in many technological fields. Particularly, the textured transparent conductive oxide materials on the glass substrate etched by HF were used to improve the current density of solar cells. In this study, the textured glass substrate has been etched by solution and the Al-doped ZnO (AZO) thin films have been prepared on this textured glass substrates by RF magnetron sputtering method. After the AZO film deposition, the surface of AZO has been etched by hydrochloric acid with different concentration and etching time. Etched AZO thin films had higher haze ratio and sheet resistance than bare AZO glass. Increases in the root-mean-square surface roughness of AZO films enhanced from 53.78 to 84.46 nm the haze ratio in above 700 nm wavelength. Our process could be applicable in texturing glass and etching AZO surface to fabricate solar cell in industrial scale. We also carried out fabricating an organic solar-cell device. Energy conversion efficiency improvement of 123% was obtained with textured AZO-based solar-cell device compared with that of nontextured solar-cell device.

  14. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    Energy Technology Data Exchange (ETDEWEB)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin; Walch, Steve P.; Kim, Hyo Jin [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Kim, Ki-Hyun [Manufacturing Technology Center, Samsung Electronics, Suwon, Gyeonggi-Do (Korea, Republic of); Prinz, Fritz B. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{sub x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.

  15. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, Heike, E-mail: angermann@helmholtz-berlin.de

    2014-09-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D{sub it}(E), and density D{sub it,min} of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly

  16. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    International Nuclear Information System (INIS)

    Angermann, Heike

    2014-01-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D it (E), and density D it,min of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly based on

  17. Nanostructured silicon via metal assisted catalyzed etch (MACE): chemistry fundamentals and pattern engineering

    Science.gov (United States)

    Toor, Fatima; Miller, Jeffrey B.; Davidson, Lauren M.; Nichols, Logan; Duan, Wenqi; Jura, Michael P.; Yim, Joanne; Forziati, Joanne; Black, Marcie R.

    2016-10-01

    There are a range of different methods to generate a nanostructured surface on silicon (Si) but the most cost effective and optically interesting is the metal assisted wet chemical etching (MACE) (Koynov et al 2006 Appl. Phys. Lett. 88 203107). MACE of Si is a controllable, room-temperature wet-chemical technique that uses a thin layer of metal to etch the surface of Si, leaving behind various nano- and micro-scale surface features or ‘black silicon’. MACE-fabricated nanowires (NWs) provide improved antireflection and light trapping functionality (Toor et al 2016 Nanoscale 8 15448-66) compared with the traditional ‘iso-texturing’ (Campbell and Green 1987 J. Appl. Phys. 62 243-9). The resulting lower reflection and improved light trapping can lead to higher short circuit currents in NW solar cells (Toor et al 2011 Appl. Phys. Lett. 99 103501). In addition, NW cells can have higher fill factors and voltages than traditionally processed cells, thus leading to increased solar cell efficiencies (Cabrera et al 2013 IEEE J. Photovolt. 3 102-7). MACE NW processing also has synergy with next generation Si solar cell designs, such as thin epitaxial-Si and passivated emitter rear contact (Toor et al 2016 Nanoscale 8 15448-66). While several companies have begun manufacturing black Si, and many more are researching these techniques, much of the work has not been published in traditional journals and is publicly available only through conference proceedings and patent publications, which makes learning the field challenging. There have been three specialized review articles published recently on certain aspects of MACE or black Si, but do not present a full review that would benefit the industry (Liu et al 2014 Energy Environ. Sci. 7 3223-63 Yusufoglu et al 2015 IEEE J. Photovolt. 5 320-8 Huang et al 2011 Adv. Mater. 23 285-308). In this feature article, we review the chemistry of MACE and explore how changing parameters in the wet etch process effects the resulting

  18. Effect of ethanol-wet-bonding technique on resin–enamel bonds

    Directory of Open Access Journals (Sweden)

    Muhammet Kerim Ayar

    2014-03-01

    Conclusion: The ethanol-wet-bonding technique may increase the bond strength of commercial adhesives to enamel. The chemical composition of the adhesives can affect the bond strength of adhesives when bonding to acid-etched enamel, using the ethanol-wet-bonding technique. Some adhesive systems used in the present study may simultaneously be applied to enamel and dentin using ethanol-wet-bonding. Furthermore, deploying ethanol-wet-bonding for the tested commercial adhesives to enamel can increase the adhesion abilities of these adhesives to enamel.

  19. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  20. Thermo-fluid dynamic analysis of wet compression process

    Energy Technology Data Exchange (ETDEWEB)

    Mohan, Abhay; Kim, Heuy Dong [School of Mechanical Engineering, Andong National University, Andong (Korea, Republic of); Chidambaram, Palani Kumar [FMTRC, Daejoo Machinery Co. Ltd., Daegu (Korea, Republic of); Suryan, Abhilash [Dept. of Mechanical Engineering, College of Engineering Trivandrum, Kerala (India)

    2016-12-15

    Wet compression systems increase the useful power output of a gas turbine by reducing the compressor work through the reduction of air temperature inside the compressor. The actual wet compression process differs from the conventional single phase compression process due to the presence of latent heat component being absorbed by the evaporating water droplets. Thus the wet compression process cannot be assumed isentropic. In the current investigation, the gas-liquid two phase has been modeled as air containing dispersed water droplets inside a simple cylinder-piston system. The piston moves in the axial direction inside the cylinder to achieve wet compression. Effects on the thermodynamic properties such as temperature, pressure and relative humidity are investigated in detail for different parameters such as compression speeds and overspray. An analytical model is derived and the requisite thermodynamic curves are generated. The deviations of generated thermodynamic curves from the dry isentropic curves (PV{sup γ} = constant) are analyzed.

  1. Thermo-fluid dynamic analysis of wet compression process

    International Nuclear Information System (INIS)

    Mohan, Abhay; Kim, Heuy Dong; Chidambaram, Palani Kumar; Suryan, Abhilash

    2016-01-01

    Wet compression systems increase the useful power output of a gas turbine by reducing the compressor work through the reduction of air temperature inside the compressor. The actual wet compression process differs from the conventional single phase compression process due to the presence of latent heat component being absorbed by the evaporating water droplets. Thus the wet compression process cannot be assumed isentropic. In the current investigation, the gas-liquid two phase has been modeled as air containing dispersed water droplets inside a simple cylinder-piston system. The piston moves in the axial direction inside the cylinder to achieve wet compression. Effects on the thermodynamic properties such as temperature, pressure and relative humidity are investigated in detail for different parameters such as compression speeds and overspray. An analytical model is derived and the requisite thermodynamic curves are generated. The deviations of generated thermodynamic curves from the dry isentropic curves (PV γ = constant) are analyzed

  2. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    Science.gov (United States)

    Jung-Kubiak, Cecile (Inventor); Reck, Theodore (Inventor); Chattopadhyay, Goutam (Inventor); Perez, Jose Vicente Siles (Inventor); Lin, Robert H. (Inventor); Mehdi, Imran (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  3. Optical ridge waveguides preserving the thermo-optic features in LiNbO3 crystals fabricated by combination of proton implantation and selective wet etching.

    Science.gov (United States)

    Tan, Yang; Chen, Feng

    2010-05-24

    We report on a new, simple method to fabricate optical ridge waveguides in a z-cut LiNbO3 wafer by using proton implantation and selective wet etching. The measured modal field is well confined in the ridge waveguide region, which is also confirmed by the numerical simulation. With thermal annealing treatment at 400 degrees C, the propagation loss of the ridge waveguides is determined to be as low as approximately 0.9 dB/cm. In addition, the measured thermo-optic coefficients of the waveguides are in good agreement with those of the bulk, suggesting potential applications in integrated photonics.

  4. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  5. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  6. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    Science.gov (United States)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  7. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    Science.gov (United States)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  8. Fabrication of a vertical sidewall using double-sided anisotropic etching of 〈1 0 0〉 oriented silicon

    International Nuclear Information System (INIS)

    Kim, Hyun-Seok; Bang, Yong-Seung; Song, Eun-Seok; Kim, Yong-Kweon; Kim, Jung-Mu; Ji, Chang-Hyeon

    2012-01-01

    A double-sided wet etch process has been proposed to fabricate vertical structures in 〈1 0 0〉 oriented silicon substrate. Both sides of a {1 0 0} silicon wafer have been patterned identically along the 〈1 1 0〉 direction, and etched using potassium hydroxide (KOH) solution. By precisly controlling the etch time, using etch-timer structure and additive control, structures with smooth and vertical {1 1 0} sidewalls have been fabricated at the edges of a rectangular opening without undercut. Rectangular through-holes, bridges and cantilevers have been constructed using the proposed process. The measured average surface roughness of the vertical sidewall was 481 nm, which has been further reduced to 217 nm and 218 nm by postetching using a KOH–IPA and TMAH–Triton mixture, respectively. Slanted {4 1 1} planes exposed at the concave corners during the vertical etch process have been successfully removed or diminished by the postetching process. A bridge structure with a high aspect ratio of 39:1 has been fabricated, and cantilevers without undercutting were successfully constructed by applying the compensation technique. The proposed process can potentially be utilized in place of the deep reactive ion etching process for the fabrication of structures having vertical through-holes, such as through-silicon vias, high aspect ratio springs and filters for microfluidic applications. (paper)

  9. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  10. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  11. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    Science.gov (United States)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  12. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  13. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  14. Improvement of the optical quality of site-controlled InAs quantum dots by a double stack growth technique in wet-chemically etched holes

    Energy Technology Data Exchange (ETDEWEB)

    Pfau, Tino Johannes; Gushterov, Aleksander; Reithmaier, Johann-Peter [Technische Physik, INA, Universitaet Kassel (Germany); Cestier, Isabelle; Eisenstein, Gadi [Electrical Engineering Dept., Technion, Haifa (Israel); Linder, Evgany; Gershoni, David [Solid State Institute and Physics Dept., Technion, Haifa (Israel)

    2010-07-01

    The optimization of the wet-chemically etching of holes and a special MBE growth stack technique allows enlarging the site-control of low density InAs QDs on GaAs substrates up to a buffer layer thickness of 55 nm. The strain of InAs QDs, grown in the etched holes, reduces the hole closing, so that a pre-patterned surface is conserved for the second QD layer. The distance of 50 nm GaAs between the two QD layers exceeds drastically the maximum vertical alignment based on pure strain coupling (20 nm). Compared to stacks with several QD layers, this method avoids electronic coupling between the different QD layers and reduces the problems to distinguish the dots of different layers optically. Confocal microphotoluminescence reveals a significant diminution of the low temperature photoluminescence linewidth of the second InAs QD layer to an average value of 505{+-}53 {mu}eV and a minimum width of 460 {mu}eV compared to 2 to 4 meV for QDs grown on thin buffer layers. The increase of the buffer layer thickness decreases the influence of the surface defects caused by prepatterning.

  15. Etching characteristics of Si{110} in 20 wt% KOH with addition of hydroxylamine for the fabrication of bulk micromachined MEMS

    Science.gov (United States)

    Rao, A. V. Narasimha; Swarnalatha, V.; Pal, P.

    2017-12-01

    Anisotropic wet etching is a most widely employed for the fabrication of MEMS/NEMS structures using silicon bulk micromachining. The use of Si{110} in MEMS is inevitable when a microstructure with vertical sidewall is to be fabricated using wet anisotropic etching. In most commonly employed etchants (i.e. TMAH and KOH), potassium hydroxide (KOH) exhibits higher etch rate and provides improved anisotropy between Si{111} and Si{110} planes. In the manufacturing company, high etch rate is demanded to increase the productivity that eventually reduces the cost of end product. In order to modify the etching characteristics of KOH for the micromachining of Si{110}, we have investigated the effect of hydroxylamine (NH2OH) in 20 wt% KOH solution. The concentration of NH2OH is varied from 0 to 20% and the etching is carried out at 75 °C. The etching characteristics which are studied in this work includes the etch rates of Si{110} and silicon dioxide, etched surface morphology, and undercutting at convex corners. The etch rate of Si{110} in 20 wt% KOH + 15% NH2OH solution is measured to be four times more than that of pure 20 wt% KOH. Moreover, the addition of NH2OH increases the undercutting at convex corners and enhances the etch selectivity between Si and SiO2.

  16. Wet-chemical etching of atom probe tips for artefact free analyses of nanoscaled semiconductor structures.

    Science.gov (United States)

    Melkonyan, D; Fleischmann, C; Veloso, A; Franquet, A; Bogdanowicz, J; Morris, R J H; Vandervorst, W

    2018-03-01

    We introduce an innovative specimen preparation method employing the selectivity of a wet-chemical etching step to improve data quality and success rates in the atom probe analysis of contemporary semiconductor devices. Firstly, on the example of an SiGe fin embedded in SiO 2 we demonstrate how the selective removal of SiO 2 from the final APT specimen significantly improves accuracy and reliability of the reconstructed data. With the oxide removal, we eliminate the origin of shape artefacts, i.e. the formation of a non-hemispherical tip shape, that are typically observed in the reconstructed volume of complex systems. Secondly, using the same approach, we increase success rates to ∼90% for the damage-free, 3D site-specific localization of short (250 nm), vertical Si nanowires at the specimen apex. The impact of the abrupt emitter radius change that is introduced by this specimen preparation method is evaluated as being minor using field evaporation simulation and comparison of different reconstruction schemes. The Ge content within the SiGe fin as well as the 3D boron distribution in the Si NW as resolved by atom probe analysis are in good agreement with TEM/EDS and ToF-SIMS analysis, respectively. Copyright © 2017 Elsevier B.V. All rights reserved.

  17. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    Science.gov (United States)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  18. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    International Nuclear Information System (INIS)

    Lu, J.; Meng, X.; SpringThorpe, A.J.; Shepherd, F.R.; Poirier, M.

    2004-01-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated 'T electrodes' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl 2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ∼0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl 2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 deg. C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes

  19. Wetting properties of hybrid structure with hydrophilic ridges and hydrophobic channels

    Science.gov (United States)

    Lee, Dong-Ki; Choi, Su Young; Park, Min Soo; Cho, Young Hak

    2018-02-01

    In the present study, we fabricated a hybrid structure where the upper surface of the ridge is hydrophilic and the inner surface of the channel is hydrophobic. Laser-induced backside wet etching (LIBWE) process was performed to machine the hybrid structure on a Pyrex glass substrate. Wetting properties were evaluated from static contact angles (CAs) measurement in parallel and orthogonal directions. The water droplet on the hybrid structure was in the Cassie-Baxter state and showed anisotropic wetting property along groove lines. Moisture condensation studies under humid condition indicated that water droplets grew and coalesced on the ridge with hydrophilicity. Furthermore, water-oil separation was tested using a microfluidic chip with the developed hybrid structure. In case of hybrid microfluidic chip, the water could not flow into channel but the hexadecane could flow due to the capillary pressure difference.

  20. A novel technique for die-level post-processing of released optical MEMS

    International Nuclear Information System (INIS)

    Elsayed, Mohannad Y; Beaulieu, Philippe-Olivier; Briere, Jonathan; Ménard, Michaël; Nabki, Frederic

    2016-01-01

    This work presents a novel die-level post-processing technique for dies including released movable structures. The procedure was applied to microelectromechanical systems (MEMS) chips that were fabricated in a commercial process, SOIMUMPs from MEMSCAP. It allows the performance of a clean DRIE etch of sidewalls on the diced chips enabling the optical testing of the pre-released MEMS mirrors through the chip edges. The etched patterns are defined by photolithography using photoresist spray coating. The photoresist thickness is tuned to create photoresist bridges over the pre-released gaps, protecting the released structures during subsequent wet processing steps. Then, the chips are subject to a sequence of wet and dry etching steps prior to dry photoresist removal in O 2 plasma. Processed micromirrors were tested and found to rotate similarly to devices without processing, demonstrating that the post-processing procedure does not affect the mechanical performance of the devices significantly. (technical note)

  1. Influence of high frequency ex-electric field on etching process and shape of pores for nuclear track film

    International Nuclear Information System (INIS)

    Chen Hui; Wang Yulan; Xu Shiping; Wang Jianchen

    2011-01-01

    To assess the details of the chemical etching process of polyethylene terephthalate (PET), the current signals during the whole etching process were recorded with the etching apparatus. The background-current was studied, which illustrated that it was mainly determined by the electric capacity of the etching system and was influenced by the thickness of the membrane but not by the temperature. According to the record of the current change during the etching process, it was found that the process can be divided into three phases. The influences of the existence and intensity of the ex-electric field on the breakthrough time and shape of pores were also studied. The existence of ex-electric field could shorten the breakthrough time and shape the pores more close to column. And these two phenomenons could be strengthened as the intensity of the electric field rose, and yet would reach a plateau when the intensity gets near 10 V/cm. (authors)

  2. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  3. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  4. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  5. Metal-assisted chemical etching of CIGS thin films for grain size analysis

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chaowei [Research and Development Centre, Hanergy Thin Film Power Group Limited, Chengdu (China); Loi, Huu-Ha; Duong, Anh; Parker, Magdalena [Failure Analysis Department, MiaSole Hi-Tech Corp., Santa Clara, CA (United States)

    2016-09-15

    Grain size of the CIGS absorber is an important monitoring factor in the CIGS solar cell manufacturing. Electron backscatter diffraction (EBSD) analysis is commonly used to perform CIGS grain size analysis in the scanning electron microscope (SEM). Although direct quantification on SEM image using the average grain intercept (AGI) method is faster and simpler than EBSD, it is hardly applicable on CIGS thin films. The challenge is that, not like polycrystalline silicon, to define grain boundaries by selective chemical etching is not easily realizable for the multi-component CIGS alloy. In this Letter, we present direct quantification of CIGS thin film grain size using the AGI method by developing metal-assisted wet chemical etching process to define CIGS grain boundaries. The calculated value is similar to EBSD result. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Investigating the process of white etching crack initiation in bearing steel

    Energy Technology Data Exchange (ETDEWEB)

    Gould, Benjamin; Greco, Aaron

    2016-04-01

    White etching cracks (WECs) have been identified as a dominant mode of premature failure within wind turbine gearbox bearings. Though WECs have been reported in the field for over a decade, the conditions leading to WECs, and the process by which this failure culminates, are both highly debated. In previously published work, the generation of WECs on a benchtop scale was linked to sliding at the surface of the test sample, it was also postulated that the generation of WECs was dependent on the cumulative energy that had been applied to the sample over the entirety of the test. In this paper, a three ring on roller bench top test rig is used to systematically alter the cumulative energy that a sample experiences through changes in normal load, sliding, and run time, in an attempt to correlate cumulative energy with the formation of WECs. It was determined that, in the current test setup, the presence of WECs can be predicted by this energy criterion. The authors then used this information to study the process by which WECs initiate. Lastly, it was found that, under the current testing conditions, the formation of a dark etching microstructure precedes the formation of a crack, and a crack precedes the formation of white etching microstructure.

  7. Superhydrophobic coatings for aluminium surfaces synthesized by chemical etching process

    Directory of Open Access Journals (Sweden)

    Priya Varshney

    2016-10-01

    Full Text Available In this paper, the superhydrophobic coatings on aluminium surfaces were prepared by two-step (chemical etching followed by coating and one-step (chemical etching and coating in a single step processes using potassium hydroxide and lauric acid. Besides, surface immersion time in solutions was varied in both processes. Wettability and surface morphologies of treated aluminium surfaces were characterized using contact angle measurement technique and scanning electron microscopy, respectively. Microstructures are formed on the treated aluminium surfaces which lead to increase in contact angle of the surface (>150°. Also on increasing immersion time, contact angle further increases due to increase in size and depth of microstructures. Additionally, these superhydrophobic coatings show excellent self-cleaning and corrosion-resistant behavior. Water jet impact, floatation on water surface, and low temperature condensation tests assert the excellent water-repellent nature of coatings. Further, coatings are to be found mechanically, thermally, and ultraviolet stable. Along with, these coatings are found to be excellent regeneration ability as verified experimentally. Although aforesaid both processes generate durable and regenerable superhydrophobic aluminium surfaces with excellent self-cleaning, corrosion-resistant, and water-repellent characteristics, but one-step process is proved more efficient and less time consuming than two-step process and promises to produce superhydrophobic coatings for industrial applications.

  8. Development of a Photoelectrochemical Etch Process to Enable Heterogeneous Substrate Integration of Epitaxial III-Nitride Semiconductors

    Science.gov (United States)

    2017-12-01

    release stack. Recently, this technique has been refined with band engineering within the release layer7 and extended to the point where it has been...liftoff. Mesas with a 200-μm diameter are lithographically defined and etched down to a depth of approximately 450 nm using a plasma etching chemistry ...etch chemistry , bonding, and other materials processing vary, but the setup created for this project can be applied to others as well. Approved

  9. A Study of Parameters Related to the Etch Rate for a Dry Etch Process Using NF3/O2 and SF6/O2

    Directory of Open Access Journals (Sweden)

    Seon-Geun Oh

    2014-01-01

    Full Text Available The characteristics of the dry etching of SiNx:H thin films for display devices using SF6/O2 and NF3/O2 were investigated using a dual-frequency capacitively coupled plasma reactive ion etching (CCP-RIE system. The investigation was carried out by varying the RF power ratio (13.56 MHz/2 MHz, pressure, and gas flow ratio. For the SiNx:H film, the etch rates obtained using NF3/O2 were higher than those obtained using SF6/O2 under various process conditions. The relationships between the etch rates and the usual monitoring parameters—the optical emission spectroscopy (OES intensity of atomic fluorine (685.1 nm and 702.89 nm and the voltages VH and VL—were investigated. The OES intensity data indicated a correlation between the bulk plasma density and the atomic fluorine density. The etch rate was proportional to the product of the OES intensity of atomic fluorine (I(F and the square root of the voltages (Vh+Vl on the assumption that the velocity of the reactive fluorine was proportional to the square root of the voltages.

  10. Precise thickness control in recess etching of AlGaN/GaN hetero-structure using photocarrier-regulated electrochemical process

    Science.gov (United States)

    Kumazaki, Yusuke; Uemura, Keisuke; Sato, Taketomo; Hashizume, Tamotsu

    2017-05-01

    The photocarrier-regulated electrochemical (PREC) process was developed for fabricating recessed-gate AlGaN/GaN high-electron-mobility transistors (HEMTs) for normally off operation. The PREC process is based on photo-assisted electrochemical etching using low-energy chemical reactions. The fundamental photo-electrochemical measurements on AlGaN/GaN heterostructures revealed that the photo-carriers generated in the top AlGaN layer caused homogeneous etching of AlGaN with a smooth surface, but those generated in the GaN layer underneath caused inhomogeneous etching that roughens the surface. The concept of the PREC process is to supply the photo-carriers generated only in the AlGaN layer by selecting proper conditions on light wavelength and voltage. The phenomenon of self-termination etching has been observed during the PREC process, where the etching depth was controlled by light intensity. The recessed-gate AlGaN/GaN HEMT fabricated with the PREC process showed positive threshold voltage and improvement in transconductance compared to planar-gate AlGaN/GaN HEMTs.

  11. Anti-reflection textured structures by wet etching and island lithography for surface-enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Chao, Bo-Kai [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Cheng, Hsin-Hung [Department of Marine Engineering, Taipei College of Maritime Technology, Taipei 11174, Taiwan (China); Nien, Li-Wei; Chen, Miin-Jang [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Nagao, Tadaaki [Center for Materials Nanoarchitectonics, National Institute for Materials Science, Tsukuba, Ibaraki 305-0044 (Japan); Li, Jia-Han [Department of Engineering Science and Ocean Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Hsueh, Chun-Hway, E-mail: hsuehc@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China)

    2015-12-01

    Graphical abstract: - Highlights: • We fabricated textured SERS substrate with a high surface area and low reflectance. • Large surface area of substrate contains more gold nanodroplets to absorb analytes. • Low reflectance of textured SERS substrate enabled multiple reflections of incident laser light. • We obtained strong SERS enhancement from nanopillar-on-pyramid SERS substrate. - Abstract: A high surface area and low reflection textured surface-enhanced Raman scattering (SERS) substrate with plasmonic gold nanodroplets fabricated by wet etching and island lithography was reported in the present study. Specifically, four textured substrates, planar, pyramid, nanopillar, and nanopillar-on-pyramid, were fabricated. The fabricated structures were simulated using the finite-difference time-domain method and the results agreed with the reflection and dark-field scattering measurements. Although the SERS signals varied in different measured regions because of the random nanostructure, the SERS substrates with nanopillar-on-pyramid structure always have the stronger enhancement factor than the SERS substrates with only pyramids or nanopillars. Based on the atomic force microscope and reflection measurements, the nanopillar-on-pyramid structure provided a large surface area and multiple reflections for SERS enhancement, which was about 3 orders of magnitude larger than that of the planar substrate. Our results can be applied to fabricate the inexpensive, large surface area, and high SERS enhancement substrates.

  12. Fiscal 2000 achievement report on the investigation of alternative gas system and process technologies for dry etching in electronic device manufacturing; 2000 nendo denshi device seizo process de shiyosuru etching gas no daitai gas system oyobi daitai process no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Efforts are made to develop technologies for saving PFC (perfluoro-compound) and conserving energy in semiconductor manufacturing processes, in particular, in the layer insulation film (SiO{sub 2}) dry etching process. Activities are conducted in the five fields of (1) research and development of technologies for reducing the amount of etching gas consumption, (2) development of a dry etching technology using alternative gas, (3) development of a dry etching technology using a low dielectric constant layer insulation film, (4) research and development of novel wiring structures and a method for fabricating the same, and (5) re-entrusted studies. Conducted in field (5) are studies of novel alternative gas - solid sources to substitute PFC, theory design technologies for low dielectric constant organic macromolecules, low dielectric constant material film fabrication by CVD (chemical vapor deposition), and technology for optical wiring inside chips. In field (2), studies are conducted of low GWP (global warming potential) alternative PFC gas aided etching and decomposition prevention technologies for reduction in PFC emissions, and it is made clear that C{sub 4}F{sub 6} performs excellently as an etchant. (NEDO)

  13. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  14. Effects of Micromachining Processes on Electro-Osmotic Flow Mobility of Glass Surfaces

    Directory of Open Access Journals (Sweden)

    Norihisa Miki

    2013-03-01

    Full Text Available Silica glass is frequently used as a device material for micro/nano fluidic devices due to its excellent properties, such as transparency and chemical resistance. Wet etching by hydrofluoric acid and dry etching by neutral loop discharge (NLD plasma etching are currently used to micromachine glass to form micro/nano fluidic channels. Electro-osmotic flow (EOF is one of the most effective methods to drive liquids into the channels. EOF mobility is affected by a property of the micromachined glass surfaces, which includes surface roughness that is determined by the manufacturing processes. In this paper, we investigate the effect of micromaching processes on the glass surface topography and the EOF mobility. We prepared glass surfaces by either wet etching or by NLD plasma etching, investigated the surface topography using atomic force microscopy, and attempted to correlate it with EOF generated in the micro-channels of the machined glass. Experiments revealed that the EOF mobility strongly depends on the surface roughness, and therefore upon the fabrication process used. A particularly strong dependency was observed when the surface roughness was on the order of the electric double layer thickness or below. We believe that the correlation described in this paper can be of great help in the design of micro/nano fluidic devices.

  15. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  16. Similarity ratio analysis for early stage fault detection with optical emission spectrometer in plasma etching process.

    Directory of Open Access Journals (Sweden)

    Jie Yang

    Full Text Available A Similarity Ratio Analysis (SRA method is proposed for early-stage Fault Detection (FD in plasma etching processes using real-time Optical Emission Spectrometer (OES data as input. The SRA method can help to realise a highly precise control system by detecting abnormal etch-rate faults in real-time during an etching process. The method processes spectrum scans at successive time points and uses a windowing mechanism over the time series to alleviate problems with timing uncertainties due to process shift from one process run to another. A SRA library is first built to capture features of a healthy etching process. By comparing with the SRA library, a Similarity Ratio (SR statistic is then calculated for each spectrum scan as the monitored process progresses. A fault detection mechanism, named 3-Warning-1-Alarm (3W1A, takes the SR values as inputs and triggers a system alarm when certain conditions are satisfied. This design reduces the chance of false alarm, and provides a reliable fault reporting service. The SRA method is demonstrated on a real semiconductor manufacturing dataset. The effectiveness of SRA-based fault detection is evaluated using a time-series SR test and also using a post-process SR test. The time-series SR provides an early-stage fault detection service, so less energy and materials will be wasted by faulty processing. The post-process SR provides a fault detection service with higher reliability than the time-series SR, but with fault testing conducted only after each process run completes.

  17. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  18. Chemically etched fiber tips for near-field optical microscopy: a process for smoother tips.

    Science.gov (United States)

    Lambelet, P; Sayah, A; Pfeffer, M; Philipona, C; Marquis-Weible, F

    1998-11-01

    An improved method for producing fiber tips for scanning near-field optical microscopy is presented. The improvement consists of chemically etching quartz optical fibers through their acrylate jacket. This new method is compared with the previous one in which bare fibers were etched. With the new process the meniscus formed by the acid along the fiber does not move during etching, leading to a much smoother surface of the tip cone. Subsequent metallization is thus improved, resulting in better coverage of the tip with an aluminum opaque layer. Our results show that leakage can be avoided along the cone, and light transmission through the tip is spatially limited to an optical aperture of a 100-nm dimension.

  19. Optimization of the etch-and-rinse technique: New perspectives to improve resin-dentin bonding and hybrid layer integrity by reducing residual water using dimethyl sulfoxide pretreatments.

    Science.gov (United States)

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Abuna, Gabriel; Sinhoreti, Mário Alexandre Coelho; Martins, Luís Roberto Marcondes; Tezvergil-Mutluay, Arzu

    2018-04-13

    To determine whether bonding effectiveness and hybrid layer integrity on acid-etched dehydrated dentin would be comparable to the conventional wet-bonding technique through new dentin biomodification approaches using dimethyl sulfoxide (DMSO). Etched dentin surfaces from extracted sound molars were randomly bonded in wet or dry conditions (30s air drying) with DMSO/ethanol or DMSO/H 2 O as pretreatments using a simplified (Scotchbond Universal Adhesive, 3M ESPE: SU) and a multi-step (Adper Scotchbond Multi-Purpose, 3M ESPE: SBMP) etch-and-rinse adhesives. Untreated dentin surfaces served as control. Bonded teeth (n=8) were stored in distilled water for 24h and sectioned into resin-dentin beams (0.8mm 2 ) for microtensile bond strength test and quantitative interfacial nanoleakage analysis (n=8) under SEM. Additional teeth (n=2) were prepared for micropermeability assessment by CFLSM under simulated pulpar pressure (20cm H 2 O) using 5mM fluorescein as a tracer. Microtensile data was analyzed by 3-way ANOVA followed by Tukey Test and nanoleakage by Kruskal-Wallis and Dunn-Bonferroni multiple comparison test (α=0.05). While dry-bonding of SBMP produced significantly lower bond strengths than wet-bonding (padhesives to demineralized air-dried dentin beyond conventional wet-bonding. Less porous resin-dentin interfaces with higher bond strengths on air-dried etched dentin were achieved; nonetheless, overall efficiency varied according to DMSO's co-solvent and adhesive type. DMSO pretreatments permit etched dentin to be air-dried before hybridization facilitating residual water removal and thus improving bonding effectiveness. This challenges the current paradigm of wet-bonding requirement for the etch-and-rinse approach creating new possibilities to enhance the clinical longevity of resin-dentin interfaces. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Inc. All rights reserved.

  20. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  1. Process for recovering yttrium and lanthanides from wet-process phosphoric acid

    Energy Technology Data Exchange (ETDEWEB)

    Janssen, J.A.; Weterings, C.A.

    1983-06-28

    Process for recovering yttrium and lanthanides from wet-process phosphoric acid by adding a flocculant to the phosphoric acid, separating out the resultant precipitate and then recovering yttrium and lanthanides from the precipitate. Uranium is recovered from the remaining phosphoric acid.

  2. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  3. Response of murine bone marrow-derived mesenchymal stromal cells to dry-etched porous silicon scaffolds.

    Science.gov (United States)

    Hajj-Hassan, Mohamad; Khayyat-Kholghi, Maedeh; Wang, Huifen; Chodavarapu, Vamsy; Henderson, Janet E

    2011-11-01

    Porous silicon shows great promise as a bio-interface material due to its large surface to volume ratio, its stability in aqueous solutions and to the ability to precisely regulate its pore characteristics. In the current study, porous silicon scaffolds were fabricated from single crystalline silicon wafers by a novel xenon difluoride dry etching technique. This simplified dry etch fabrication process allows selective formation of porous silicon using a standard photoresist as mask material and eliminates the post-formation drying step typically required for the wet etching techniques, thereby reducing the risk of damaging the newly formed porous silicon. The porous silicon scaffolds supported the growth of primary cultures of bone marrow derived mesenchymal stromal cells (MSC) plated at high density for up to 21 days in culture with no significant loss of viability, assessed using Alamar Blue. Scanning electron micrographs confirmed a dense lawn of cells at 9 days of culture and the presence of MSC within the pores of the porous silicon scaffolds. Copyright © 2011 Wiley Periodicals, Inc.

  4. ICP dry etching ITO to improve the performance of GaN-based LEDs

    International Nuclear Information System (INIS)

    Meng Lili; Chen Yixin; Ma Li; Liu Zike; Shen Guangdi

    2011-01-01

    In order to improve the light efficiency of the conventional GaN-based light-emitting diodes (LEDs), the indium tin oxide (ITO) film is introduced as the current spreading layer and the light anti-reflecting layer on the p-GaN surface. There is a big problem with the ITO thin film's corrosion during the electrode preparation. In this paper, at least, the edge of the ITO film was lateral corroded 3.5 μm width, i.e. 6.43%-1/3 of ITO film's area. An optimized simple process, i.e. inductively couple plasma (ICP), was introduced to solve this problem. The ICP process not only prevented the ITO film from lateral corrosion, but also improved the LED's light intensity and device performance. The edge of the ITO film by ICP dry etching is steep, and the areas of ITO film are whole. Compared with the chip by wet etching, the areas of light emission increase by 6.43% at least and the chip's lop values increase by 45.9% at most. (semiconductor devices)

  5. Feature scale modeling for etching and deposition processes in semiconductor manufacturing

    International Nuclear Information System (INIS)

    Pyka, W.

    2000-04-01

    Simulation of etching and deposition processes as well as three-dimensional geometry generation are important issues in state of the art TCAD applications. Three-dimensional effects are gaining importance for semiconductor devices and for their interconnects. Therefore a strictly physically based simulation of their topography is required. Accurate investigation of single etching and deposition processes has become equally important as process integration. Within this context several aspects of three-dimensional topography simulation have been covered by this thesis and new and interesting results have been achieved in various areas. The algorithmic core of the cell-based structuring element surface propagation method has been optimized and has been eliminated from its position as factor which predominantly determines the required CPU time. In parallel with investigated optimization techniques and required by various process models, the implementation of the surface normal calculation and the special handling of voids and unconnected parts of the geometry has been completed in three dimensions. A process-step-based solid modeling tool which incorporates layout data as well as aerial image simulation has been supplied. It can be coupled with the topography simulation and includes simple geometrically based models for CMP and oxidation. In the presented combination, the tool makes use of the design information stored in the layout file, combines it with the manufacturing recipe, and hence is extremely helpful for the automatic generation of three-dimensional structures. Its usefulness has been proven with several interconnect examples. Regarding topography models, resist development not only turned out to be very helpful for predicting exposed and etched resist profiles within a rigorous lithography simulation, but, by means of benchmark examples, also demonstrated the extraordinary stability of the proposed cellular surface movement algorithm. With respect to

  6. Model determination and validation for reactive wetting processes

    Energy Technology Data Exchange (ETDEWEB)

    Yost, F.G.; O`Toole, E.J.; Sackinger, P.A. [Sandia National Labs., Albuquerque, NM (United States); Swiler, T.P. [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Chemical and Nuclear Engineering

    1998-01-01

    It is shown that dissolutive wetting initially yields a metastable equilibrium. A compact model for the kinetics of approach to this metastable state is described. The technique for constructing these kinetics stems from the early work of Onsager and begins with a relationship for the entropy production. From this, a coupled set of nonlinear, ordinary differential equations can be written directly. The equations are solved numerically for the wetted area and compared with experimental data. The model captures many of the subtle complexities of dissolutive wetting such as multiple metastable states. Sessile drop experiments involving a variety of Bi-Sn alloys on solid Bi substrates were performed. Substrates prepared from small and large-grained polycrystals and single crystals were used to measure equilibrium and metastable contact angles and estimate the surface tension and equilibrium contact angle of the solid-liquid interface. The substrates were also used to investigate the coupling of the dissolution and wetting processes and to investigate the effect of substrate grain size on wetting. It was determined that the equilibrium wetting geometry is independent of linear scale and that grain size has little influence on wetting or dissolution in the Bi-Sn system. To investigate the atomic behavior of liquids at interfaces during wetting, the authors simulated wetting in the Ag-Cu system using molecular dynamics with atomic potentials and observed both atomic dynamics and structural correlations of the liquid-solid interface. The authors found that spreading is prompted by interactions between the liquid and the substrate surface that cause the liquid layer in contact with the substrate to take on some of the symmetry of the substrate surface and result in the formation of a liquid monolayer that extends beyond the major part of the liquid droplet.

  7. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    International Nuclear Information System (INIS)

    Choi, Yunju; Kim, Yangsoo; Ahn, Kwang-Soon; Kim, Hyunsoo

    2014-01-01

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10 −3 , 4.3 × 10 −4 , and 1.1 × 10 −3 Ω cm 2 for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N 2 ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples

  8. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yunju [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Kim, Yangsoo [Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Ahn, Kwang-Soon, E-mail: kstheory@ynu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, Gyeongbuk 712-749 (Korea, Republic of); Kim, Hyunsoo, E-mail: hskim7@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2014-10-30

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10{sup −3}, 4.3 × 10{sup −4}, and 1.1 × 10{sup −3} Ω cm{sup 2} for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N{sub 2} ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples.

  9. High-quality InN grown on KOH wet etched N-polar InN template by RF-MBE

    International Nuclear Information System (INIS)

    Muto, D.; Araki, T.; Kitagawa, S.; Kurouchi, M.; Nanishi, Y.; Naoi, H.; Na, H.

    2006-01-01

    We have succeeded in dramatically decreasing the density of dislocations in InN by regrowing InN films on micro-facetted N-polar InN templates. The micro-facetted N-polar InN templates were formed by wet etching in a 10 mol/l KOH solution. InN films were regrown on the micro-facetted N-polar InN templates and on flat surface N-polar InN templates for comparison by radio-frequency plasma-assisted molecular beam epitaxy. InN regrown on micro-facetted InN had considerably smaller twist distribution than that grown on the flat InN templates. From transmission electron microscopy observation, it was confirmed that the InN grown on the micro-facetted InN template had much lower density of dislocations than that grown on the flat InN template, and moreover the propagation of edge dislocations was almost completely terminated at the interface between the regrown InN and the micro-facetted InN template. Based on the results, we propose that regrowth of InN on micro-facetted InN templates is an effective way to obtain high-quality InN films. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Effect of the duration of a wet KCN etching step and post deposition annealing on the efficiency of Cu2ZnSnSe4 solar cells

    OpenAIRE

    Sahayaraj, Sylvester; Brammertz, Guy; Vermang, Bart; Ranjbar, Samaneh; Meuris, Marc; Vleugels, Jef; Poortmans, Jef

    2016-01-01

    The influence of the duration of the KCN etching step on the efficiency of Cu2ZnSnSe4 (CZTSe) solar cells and Post deposition annealing (PDA) has been explored. CZTSe thin film absorbers prepared by selenization at 450 degrees C were etched by 5 wt% KCN/KOH from 30s up to 360 s before solar cell processing. KCN etching times above 120 s resulted in poor efficiencies. The fill factor (FF) and short circuit current density Jsc) of these devices were affected severely. After annealing the solar ...

  11. Cradle-to-gate life cycle assessment of the dry etching step in the manufacturing of photovoltaic cells

    Directory of Open Access Journals (Sweden)

    Otto Andersen

    2014-11-01

    Full Text Available A new photovoltaic silicon crystalline solar cell dry chemical etching process (DCEP is developed. It is an alternative to the current State-of-the-Art (SoA wet chemical etching process (WCEP, associated with relatively large environmental loadings in the form of high water consumption and emissions of greenhouse gases with high Global Warming Potential (GWP. In order to compare the environmental impacts of DCEP to the corresponding impacts from WCEP, a comparative attributional life cycle assessment (LCA is conducted. From the LCA it can be concluded that the DCEP will lead to 86% reduction in water consumption compared to WCEP (acidic, and 89% reduction compared to WCEP (alkaline. The emissions of greenhouse gases, as expressed by the GWP100 indicator of the etching step, are also reduced with 63% and 20% respectively, when compared with current SoA acidic and alkaline WCEP. The toxicity impacts are also assessed to be lower for the DCEP compared to WCEP technologies, although the uncertainty is relatively high for the applied toxicity indicators. All in all, DCEP can reduce the CO2eq emissions of solar photovoltaic systems production by 5-10%.

  12. Core-shell polymer nanorods by a two-step template wetting process

    International Nuclear Information System (INIS)

    Dougherty, S; Liang, J

    2009-01-01

    One-dimensional core-shell polymer nanowires offer many advantages and great potential for many different applications. In this paper we introduce a highly versatile two-step template wetting process to fabricate two-component core-shell polymer nanowires with controllable shell thickness. PLLA and PMMA were chosen as model polymers to demonstrate the feasibility of this process. Solution wetting with different concentrations of polymer solutions was used to fabricate the shell layer and melt wetting was used to fill the shell with the core polymer. The shell thickness was analyzed as a function of the polymer solution concentration and viscosity, and the core-shell morphology was observed with TEM. This paper demonstrates the feasibility of fabricating polymer core-shell nanostructures using our two-step template wetting process and opens the arena for optimization and future experiments with polymers that are desirable for specific applications.

  13. Effect of Dentin Wetness on the Bond Strength of Universal Adhesives

    Directory of Open Access Journals (Sweden)

    An-Na Choi

    2017-10-01

    Full Text Available The effects of dentin wetness on the bond strength and adhesive interface morphology of universal adhesives have been investigated using micro-tensile bond strength (μTBS testing and confocal laser scanning microscopy (CLSM. Seventy-two human third molars were wet ground to expose flat dentin surfaces. They were divided into three groups according to the air-drying time of the dentin surfaces: 0 (without air drying, 5, and 10 s. The dentin surfaces were then treated with three universal adhesives: G-Premio Bond, Single Bond Universal, and All-Bond Universal in self-etch or etch-and-rinse mode. After composite build up, a μTBS test was performed. One additional tooth was prepared for each group by staining the adhesives with 0.01 wt % of Rhodamine B fluorescent dye for CLSM analysis. The data were analyzed statistically using ANOVA and Tukey’s post hoc tests (α = 0.05. Two-way ANOVA showed significant differences among the adhesive systems and dentin moisture conditions. An interaction effect was also observed (p < 0.05. One-way ANOVA showed that All-Bond Universal was the only material influenced by the wetness of the dentin surfaces. Wetness of the dentin surface is a factor influencing the micro-tensile bond strength of universal adhesives.

  14. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  15. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  16. Di- and tri-carboxylic-acid-based etches for processing high temperature superconducting thin films and related materials

    International Nuclear Information System (INIS)

    Ginley, D.S.; Barr, L.; Ashby, C.I.H.; Plut, T.A.; Urea, D.; Siegal, M.P.; Martens, J.S.; Johansson, M.E.

    1994-01-01

    The development of passive and active electronics from high-temperature superconducting thin films depends on the development of process technology capable of producing appropriate feature sizes without degrading the key superconducting properties. We present a new class of chelating etches based on di- and tri-carboxylic acids that are compatible with positive photoresists and can produce sub-micron feature sizes while typically producing increases the microwave surface resistance at 94 GHz by less than 10%. This simple etching process works well for both the Y--Ba--Cu--O and Tl--Ba--Ca--Cu--O systems. In addition, we demonstrate that the use of chelating etches with an activator such as HF allows the etching of related oxides such as LaAlO 3 , which is a key substrate material, and Pb(Zr 0.53 Ti 0.47 )O 3 (PZT) which is a key ferroelectric material for HTS and other applications such as nonvolatile memories

  17. Effectiveness of immediate bonding of etch-and-rinse adhesives to simplified ethanol-saturated dentin

    Directory of Open Access Journals (Sweden)

    Leandro Afonso Guimarães

    2012-04-01

    Full Text Available This study examined the immediate bond strength of etch-and-rinse adhesives to demineralized dentin saturated with either water or absolute ethanol. The research hypothesis was that there would be no difference in bond strength to dentin between water or ethanol wet-bonding techniques. The medium dentin of 20 third molars was exposed (n = 5. The dentin surface was then acid-etched, left moist and randomly assigned to be saturated via either water wet-bonding (WBT or absolute ethanol wet-bonding (EBT. The specimens were then treated with one of the following etch-and-rinse adhesive systems: a 3-step, water-based system (Adper Scotchbond Multipurpose, or SBMP or a 2-step, ethanol/water-based system (Adper Single Bond 2, or SB. Resin composite build-ups were then incrementally constructed. After water storage for 24 h at 37°C, the tensile strength of the specimens was tested in a universal testing machine (0.5 mm/min. Data were analyzed by two-way ANOVA and Tukey's test (a = 5%. The failure modes were verified using a stereomicroscope (40'. For both adhesives, no significant difference in bond strength was observed between WBT and EBT (p > 0.05. The highest bond strength was observed for SB, regardless of the bonding technique (p < 0.05. No significant interaction between adhesives and bonding techniques was noticed (p = 0.597. There was a predominance of adhesive failures for all tested groups. The EBT and WBT displayed similar immediate bond strength means for both adhesives. The SB adhesive exhibited higher means for all conditions tested. Further investigations are needed to evaluate long-term bonding to dentin mediated by commercial etch-and-rinse adhesives using the EBT approach.

  18. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  19. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  20. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  1. Aerosol chemistry in Titan's ionosphere: simultaneous growth and etching processes

    Science.gov (United States)

    Carrasco, Nathalie; Cernogora, Guy; Jomard, François; Etcheberry, Arnaud; Vigneron, Jackie

    2016-10-01

    Since the Cassini-CAPS measurements, organic aerosols are known to be present and formed at high altitudes in the diluted and partially ionized medium that is Titan's ionosphere [1]. This unexpected chemistry can be further investigated in the laboratory with plasma experiments simulating the complex ion-neutral chemistry starting from N2-CH4 [2]. Two sorts of solid organic samples can be produced in laboratory experiments simulating Titan's atmospheric reactivity: grains in the volume and thin films on the reactor walls. We expect that grains are more representative of Titan's atmospheric aerosols, but films are used to provide optical indices for radiative models of Titan's atmosphere.The aim of the present study is to address if these two sorts of analogues are chemically equivalent or not, when produced in the same N2-CH4 plasma discharge. The chemical compositions of both these materials are measured by using elemental analysis, XPS analysis and Secondary Ion Mass Spectrometry. We find that films are homogeneous but significantly less rich in nitrogen and hydrogen than grains produced in the same experimental conditions. This surprising difference in their chemical compositions is explained by the efficient etching occurring on the films, which stay in the discharge during the whole plasma duration, whereas the grains are ejected after a few minutes [3]. The impact for our understanding of Titan's aerosols chemical composition is important. Our study shows that chemical growth and etching process are simultaneously at stake in Titan's ionosphere. The more the aerosols stay in the ionosphere, the more graphitized they get through etching process. In order to infer Titan's aerosols composition, our work highlights a need for constraints on the residence time of aerosols in Titan's ionosphere. [1] Waite et al. (2009) Science , 316, p. 870[2] Szopa et al. (2006) PSS, 54, p. 394[3] Carrasco et al. (2016) PSS, 128, p. 52

  2. Physical and chemical processes for the generation of 1-μm-structures

    International Nuclear Information System (INIS)

    Mader, L.

    1979-01-01

    The following processes for the realization of fine structures in isolator and metal layers on silicon wafers have been studied: Wet chemical etching of silicon dioxide and aluminum layers; plasma etching of polysilicon layers; ion beam etching of silicon dioxide and polysilicon layers, lift-off technique for metal pattern generation. Test structures and functioning integrated circuits (memory cells, CCDs) with minimum dimensions of 1.5 μm were realized using these methods of pattern generation. (orig.) 891 ORU/orig. 892 MB [de

  3. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  4. Environmental management system case study: textile wet processes

    Energy Technology Data Exchange (ETDEWEB)

    Nasreldin, A A [Engineering Researches and Industrial Technologies Council, Sudan Academy of Sciences, Khartoum (Sudan)

    2008-10-15

    Textile industry is one of the oldest industries, it started very early in the ancient ages, its grows and improves gradually at the first and then rapidly to satisfy other different need of the mankind, even for luxury purposes, this development caused damage to environment, then its need the treatment. Textile wet processes used significant quantities of water and various kind of chemicals marketed under the name textile auxiliaries, to enhance the appearance of the fabric, serviceability, and durability. The chemical contamination of textile wet processes can be a health risk for the mill workers, consumers and for the environment as well. A number of schemes have been proposed in different countries to control the textile wet processes to create better environment and protect the ecosystem from further degradation, the developing countries need to apply their designed policies from the beginning. A theoretical study for probability of application of environmental management system in textile industry, to prevent or eliminate textile industry pollution that considered as one of the largest polluters in Sudanese environment, especially after the government (industrial ministry) support and facilitate to textile industry development. Applying environmental management system can appreciably reduce the textile industry pollution as founded from the study.(Author)

  5. Environmental management system case study: textile wet processes

    International Nuclear Information System (INIS)

    Nasreldin, A.A.

    2008-10-01

    Textile industry is one of the oldest industries, it started very early in the ancient ages, its grows and improves gradually at the first and then rapidly to satisfy other different need of the mankind, even for luxury purposes, this development caused damage to environment, then its need the treatment. Textile wet processes used significant quantities of water and various kind of chemicals marketed under the name textile auxiliaries, to enhance the appearance of the fabric, serviceability, and durability. The chemical contamination of textile wet processes can be a health risk for the mill workers, consumers and for the environment as well. A number of schemes have been proposed in different countries to control the textile wet processes to create better environment and protect the ecosystem from further degradation, the developing countries need to apply their designed policies from the beginning. A theoretical study for probability of application of environmental management system in textile industry, to prevent or eliminate textile industry pollution that considered as one of the largest polluters in Sudanese environment, especially after the government (industrial ministry) support and facilitate to textile industry development. Applying environmental management system can appreciably reduce the textile industry pollution as founded from the study.(Author)

  6. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Energy Technology Data Exchange (ETDEWEB)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y., E-mail: jean-yves.hihn@univ-fcomte.fr

    2015-11-15

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  7. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    International Nuclear Information System (INIS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y.

    2015-01-01

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  8. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    OpenAIRE

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted ...

  9. Ex-situ XPS-investigation of the interface between PE-CVD SiO{sub 2} and wet chemically etched MO-CVD epitaxial layers of In{sub 0.53}Ga{sub 0.47}As

    Energy Technology Data Exchange (ETDEWEB)

    Procop, M. [Bundesanstalt fuer Materialforschung und -pruefung, Berlin (Germany); Wandel, K. [Humboldt-Universitaet, Berlin (Germany). Inst. fuer Festkoerperphysik; Verucchi, R. [Modena Univ. (Italy). Ist. di Fisica

    1995-11-01

    The As rich SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface which is produced by wet chemical etching before SiO{sub 2} deposition to improve the electronic properties of the interface has been studied. SiO{sub 2}-layers of about 10 to 20 nm thickness have been deposited in a plasma enhanced chemical vapour deposition (PECVD) reactor and then thinned down to about 4 to 3 nm by 1.5 keV Ar ion beam bombardment at grazing incidence (85 ) in the XPS analysis chamber. The photoelectron spectra show that an additional broadening of the In and As lines due to a possible ion beam damage can be neglected in case of a qualitative interpretation of the interface spectra. Moreover, TRIM simulations of the collision cascade reveal low damage production in the SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface region. Therefore such ex-situ XPS experiments allow a supervision of the interface chemistry after the fabrication process and an optimisation of the technology with regard to the etching solution and deposition conditions. The conservation or removal of the elemental arsenic and the oxidation of the semiconductor due to the SiO{sub 2} deposition are well reflected in the photoelectron spectra. (orig.)

  10. Sub-micrometer-scale patterning on Zr-based metallic glass using focused ion beam irradiation and chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Morita, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Yamada, Shigeru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Takano, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Ashida, Kiwamu [Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kochi 782-8502 (Japan); Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Ofune, Hitoshi [YKK Corporation, 200 Yoshida, Kurobe, Toyama 938-8601 (Japan)

    2007-09-19

    This report describes a method of sub-micrometer-scale rapid patterning on a Zr-based metallic glass surface using a combination of focused ion beam irradiation and wet chemical etching. We found that a Zr-based metallic glass surface irradiated with Ga{sup +} ions could be selectively etched; a concave structure with a width and depth of several tens to hundreds of nanometers rapidly formed in the irradiated area. Moreover, we determined that the etching was enhanced by the presence of Ga{sup +} ions rather than a change in the crystal structure, and the structure could be fabricated while the substrate remained amorphous. The shape of the structure was principally a function of the dose and the etch time.

  11. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  12. Multivariate modelling of the tablet manufacturing process with wet granulation for tablet optimization and in-process control

    NARCIS (Netherlands)

    Westerhuis, J.A; Coenegracht, P.M J; Lerk, C.F

    1997-01-01

    The process of tablet manufacturing with granulation is described as a two-step process. The first step comprises wet granulation of the powder mixture, and in the second step the granules are compressed into tablets. For the modelling of the pharmaceutical process of wet granulation and tableting,

  13. FY 1999 report on the results of the R and D of the substituting gas system and the substituting process of the etching gas used in the electronic device production process; 1999 nendo denshi device seizo process de shiyosuru etching gas no daitai gas system oyobi daitai process no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    As to the dry etching process and the wiring process where PFC gas and electric power are required most in the electronic device production process, an investigational study was conducted with the aim of PFC saving and energy saving, and the FY 1999 results were summed up. In the study, high efficiency etching process analysis equipment was developed, and three kinds of PFC gas quantitative analysis method were comparatively studied. Relating to the substitution of global environmental warming gas, it was found that C{sub x}F{sub y} type gas was effective which includes no oxygen, has a lot of carbon element numbers, and has double unsaturated bond. Further, in the study of the technology of PFC decomposition by plasma, it was indicated that PFC of 98.7% at maximum in exhaust gas could be removed on ideal conditions. In the dry etching technology by non-PFC gas of the organic insulating film, it was found out that NH{sub 3} base gas is more excellent in both shape and speed of etching than the existing O{sub 2} base one. As to the future wiring technology, new concepts of the optical wiring inside chip, etc. were proposed. (NEDO)

  14. Novel micro-patterning processes for thin film NiTi vascular devices

    International Nuclear Information System (INIS)

    Chun, Y J; Mohanchandra, K P; Carman, G P; Levi, D S; Fishbein, M C

    2010-01-01

    In order to create microscale features in thin film NiTi for use in vascular endografts, a novel 'lift-off process' was developed for use with deep reactive ion etching. A wet etching approach is compared to two variations of this new 'lift-off' process. The first lift-off process (lift-off I) used Si posts to define the features of NiTi film deposited on the Si substrate. This method produced fractures in the NiTi when the film was released. The lift-off II process used Si islands as substrate for the film while the Si wafer defined the specific geometric features. Lift-off II process allowed for the creation of various shape patterns (i.e., ellipse, diamond, circle, square, etc) in the range of 5–180 µm. The lift-off II process produced smooth and well aligned micro-patterns in thin film NiTi without the undercutting found in wet etching techniques. The micro-patterned thin film NiTi formed from the lift-off II process was used to cover a stent. In vivo tests were performed to evaluate the endothelialization though patterned thin films. Angiography, histopathology and SEM showed patency of the artery and uniformly promoted endothelial layer covering without thrombosis in both a medium and small artery

  15. Ultraviolet Laser Damage Dependence on Contamination Concentration in Fused Silica Optics during Reactive Ion Etching Process

    Directory of Open Access Journals (Sweden)

    Laixi Sun

    2018-04-01

    Full Text Available The reactive ion etching (RIE process of fused silica is often accompanied by surface contamination, which seriously degrades the ultraviolet laser damage performance of the optics. In this study, we find that the contamination behavior on the fused silica surface is very sensitive to the RIE process which can be significantly optimized by changing the plasma generating conditions such as discharge mode, etchant gas and electrode material. Additionally, an optimized RIE process is proposed to thoroughly remove polishing-introduced contamination and efficiently prevent the introduction of other contamination during the etching process. The research demonstrates the feasibility of improving the damage performance of fused silica optics by using the RIE technique.

  16. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    Science.gov (United States)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  17. Performance improvement and better scalability of wet-recessed and wet-oxidized AlGaN/GaN high electron mobility transistors

    Science.gov (United States)

    Takhar, Kuldeep; Meer, Mudassar; Upadhyay, Bhanu B.; Ganguly, Swaroop; Saha, Dipankar

    2017-05-01

    We have demonstrated that a thin layer of Al2O3 grown by wet-oxidation of wet-recessed AlGaN barrier layer in an AlGaN/GaN heterostructure can significantly improve the performance of GaN based high electron mobility transistors (HEMTs). The wet-etching leads to a damage free recession of the gate region and compensates for the decreased gate capacitance and increased gate leakage. The performance improvement is manifested as an increase in the saturation drain current, transconductance, and unity current gain frequency (fT). This is further augmented with a large decrease in the subthreshold current. The performance improvement is primarily ascribed to an increase in the effective velocity in two-dimensional electron gas without sacrificing gate capacitance, which make the wet-recessed gate oxide-HEMTs much more scalable in comparison to their conventional counterpart. The improved scalability leads to an increase in the product of unity current gain frequency and gate length (fT × Lg).

  18. A numerical model for etching through a circular hole

    International Nuclear Information System (INIS)

    Rath, P; Chai, J C; Zheng, H; Lam, Y C; Murukeshan, V M

    2006-01-01

    A numerical model based on the total concentration of etchant is proposed to model the wet chemical etching through a circular hole. The reaction at the etchant-substrate interface is assumed to be infinitely fast i.e. etching is controlled by the diffusion of etchant to the interface. The proposed model is based on a fixed-grid approach analogous to the enthalpy method. The total concentration of etchant is the sum of the unreacted etchant concentration and the reacted etchant concentration. The reacted concentration of etchant is a measure of the etchfront position during etching. The governing mass diffusion equation based on the total concentration of etchant includes the interface condition. The etchfront position is found implicitly using the proposed approach. The computational domain is fixed, which includes the whole etchant and substrate domain including the mask region. For demonstration purposes, the finite volume method is used to solve the governing mass diffusion equation with prescribed initial and boundary conditions. The effect of mask thickness and initial etchant concentration on the shape evolution of etchfront is studied

  19. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  20. Enamel Wetness Effects on Microshear Bond Strength of Different Bonding Agents (Adhesive Systems): An in vitro Comparative Evaluation Study.

    Science.gov (United States)

    Kulkarni, Girish; Mishra, Vinay K

    2016-05-01

    The purpose of this study was to compare the effect of enamel wetness on microshear bond strength using different adhesive systems. To evaluate microshear bond strength of three bonding agents on dry enamel; to evaluate microshear bond strength of three bonding agents on wet enamel; and to compare microshear bond strength of three different bonding agents on dry and wet enamel. Sixty extracted noncarious human premolars were selected for this study. Flat enamel surfaces of approximately 3 mm were obtained by grinding the buccal surfaces of premolars with water-cooled diamond disks. This study evaluated one etch-and-rinse adhesive system (Single Bond 2) and two self-etching adhesive systems (Clearfil SE Bond and Xeno-V). The specimens were divided into two groups (n = 30). Group I (dry) was air-dried for 30 seconds and in group II (wet) surfaces were blotted with absorbent paper to remove excess water. These groups were further divided into six subgroups (n = 10) according to the adhesives used. The resin composite, Filtek Z 250, was bonded to flat enamel surfaces that had been treated with one of the adhesives, following the manufacturer's instructions. After being stored in water at 37°C for 24 hours, bonded specimens were stressed in universal testing machine (Fig. 3) at a crosshead speed of 1 mm/min. The data were evaluated with one-way and two-way analysis of variance (ANOVA), t-test, and Tukey's Multiple Post hoc tests (a = 0.05). The two-way ANOVA and Tukey's Multiple Post hoc tests showed significant differences among adhesive systems, but wetness did not influence microshear bond strength (p = 0.1762). The one-way ANOVA and t-test showed that the all-in-one adhesive (Xeno-V) was the only material influenced by the presence of water on the enamel surface. Xeno-V showed significantly higher microshear bond strength when the enamel was kept wet. Single Bond 2 adhesive showed significantly higher microshear bond strength as compared with Xeno-V adhesive but no

  1. Estimation of track registration efficiency in solution medium and study of gamma irradiation effects on the bulk-etch rate and the activation energy for bulk etching of CR-39 (DOP) Solid State Nuclear Track Detector

    International Nuclear Information System (INIS)

    Kalsi, P.C.

    2010-01-01

    The fission track registration efficiency of diethylene glycol bis allyl carbonate (dioctyl phthalate doped) (CR-39 (DOP)) solid state nuclear track detector (SSNTD) in solution medium (K wet ) has been experimentally determined and is found to be (9.7 ± 0.5).10 -4 cm. This is in good agreement with the values of other SSNTDs. The gamma irradiation effects in the dose range of 50.0-220.0 kGy on the bulk etch rate, V b and the activation energy for bulk etching, E of this solid state nuclear track detector (SSNTD) have also been studied. It is observed that the bulk etch rates increase and the activation energies for bulk etching decrease with the increase in gamma dose. These results have been explained on the basis of scission of the detector due to gamma irradiation

  2. A big picture prospective for wet waste processing management

    International Nuclear Information System (INIS)

    Gibson, J.D.

    1996-01-01

    This paper provides an overview of general observations made relative to the technical and economical considerations being evaluated by many commercial nuclear power plants involving their decision making process for implementation of several new wet waste management technologies. The waste management processes reviewed include the use of, Reverse Osmosis, Non-Precoat Filters, Resin Stripping ampersand Recycling, Evaporation ampersand Calcination (RVR trademark, ROVER trademark ampersand Thermax trademark), Compression Dewatering (PressPak trademark), Incineration (Resin Express trademark), Survey ampersand Free Release (Green Is Clean) and Quantum Catalytic Extraction Processing (QCEP trademark). These waste management processes are reviewed relative to their general advantages and disadvantages associated with the processing of various wet waste streams including: reactor make-up water, floor drain sludges and other liquid waste streams such as boric acid concentrates and steam generator cleaning solutions. A summary of the conclusions generally being derived by most utilities associated with the use of these waste management processes is also provided

  3. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  4. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    International Nuclear Information System (INIS)

    Geng, Xuewen; Grismer, Dane A; Bohn, Paul W; Duan, Barrett K; Zhao, Liancheng

    2013-01-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal–semiconductor interface. (paper)

  5. Investigation of near dry EDM compared with wet and dry EDM processes

    International Nuclear Information System (INIS)

    Gholipoor, Ahad; Baseri, Hamid; Shabgard, Mohammad Reza

    2015-01-01

    Material removal rate (MRR), tool wear ratio (TWR) and surface roughness (SR) obtained by near-dry EDM process were compared with wet and dry EDM at three levels of discharge energy in drilling of SPK steel. Surface integrity machined by this process was studied and compared with wet and dry EDM processes, by scanning electron microscopy (SEM). The results showed that at high level of discharge energy, wet EDM has the most MRR, TWR and SR, and dry EDM has the least MRR, TWR and SR, while at low discharge energy levels, near-dry EDM process has the most MRR and the least SR. SEM micrographs showed that the quality of surface obtained by near-dry EDM process is better than others and the machined surfaces by near-dry EDM process have lower micro-cracks and craters, relatively.

  6. Investigation of near dry EDM compared with wet and dry EDM processes

    Energy Technology Data Exchange (ETDEWEB)

    Gholipoor, Ahad [Islamic Azad University of Tabriz, Tabriz (Iran, Islamic Republic of); Baseri, Hamid [Amirkabir University of Technology, Tehran (Iran, Islamic Republic of); Shabgard, Mohammad Reza [University of Tabriz, Tabriz (Iran, Islamic Republic of)

    2015-05-15

    Material removal rate (MRR), tool wear ratio (TWR) and surface roughness (SR) obtained by near-dry EDM process were compared with wet and dry EDM at three levels of discharge energy in drilling of SPK steel. Surface integrity machined by this process was studied and compared with wet and dry EDM processes, by scanning electron microscopy (SEM). The results showed that at high level of discharge energy, wet EDM has the most MRR, TWR and SR, and dry EDM has the least MRR, TWR and SR, while at low discharge energy levels, near-dry EDM process has the most MRR and the least SR. SEM micrographs showed that the quality of surface obtained by near-dry EDM process is better than others and the machined surfaces by near-dry EDM process have lower micro-cracks and craters, relatively.

  7. Saddle-fin cell transistors with oxide etch rate control by using tilted ion implantation (TIS-fin) for sub-50-nm DRAMs

    International Nuclear Information System (INIS)

    Yoo, Min Soo; Choi, Kang Sik; Sun, Woo Kyung

    2010-01-01

    As DRAM cell pitch size decreases, the need for a high performance transistor is increasing. Though saddle-fin (S-fin) transistors have superior characteristics, S-fin transistors are well known to be more sensitive to process variation. To make uniform S-fin transistors, for the first time, we developed a new fin formation method using tilted ion implantation along the wordline direction after a recess gate etch. Due to the increased etch rate of the oxide film by ion implantation damage, fins are made at the bottom channel of the recess gate after wet etching. The resulting tilt implanted saddle-fin (TIS-fin) transistor has remarkably improved characteristics, such as ∼8% subthreshold swing (SS) and a 40% drain induced barrier lowering (DIBL) decrease. Especially, the TIS-fin with a neutral dopant has a reduced threshold voltage (Vth) variation within a wafer (<100 mV), which is comparable with that of a mass-produced sphere-shaped recessed channel array transistor (SRCAT).

  8. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  9. Lowering the environmental impact of high-kappa/ metal gate stack surface preparation processes

    Science.gov (United States)

    Zamani, Davoud

    ABSTRACT Hafnium based oxides and silicates are promising high-κ dielectrics to replace SiO2 as gate material for state-of-the-art semiconductor devices. However, integrating these new high-κ materials into the existing complementary metal-oxide semiconductor (CMOS) process remains a challenge. One particular area of concern is the use of large amounts of HF during wet etching of hafnium based oxides and silicates. The patterning of thin films of these materials is accomplished by wet etching in HF solutions. The use of HF allows dissolution of hafnium as an anionic fluoride complex. Etch selectivity with respect to SiO2 is achieved by appropriately diluting the solutions and using slightly elevated temperatures. From an ESH point of view, it would be beneficial to develop methods which would lower the use of HF. The first objective of this study is to find new chemistries and developments of new wet etch methods to reduce fluoride consumption during wet etching of hafnium based high-κ materials. Another related issue with major environmental impact is the usage of large amounts of rinsing water for removal of HF in post-etch cleaning step. Both of these require a better understanding of the HF interaction with the high-κ surface during the etching, cleaning, and rinsing processes. During the rinse, the cleaning chemical is removed from the wafers. Ensuring optimal resource usage and cycle time during the rinse requires a sound understanding and quantitative description of the transport effects that dominate the removal rate of the cleaning chemicals from the surfaces. Multiple processes, such as desorption and re-adsorption, diffusion, migration and convection, all factor into the removal rate of the cleaning chemical during the rinse. Any of these processes can be the removal rate limiting process, the bottleneck of the rinse. In fact, the process limiting the removal rate generally changes as the rinse progresses, offering the opportunity to save resources

  10. Process for recovering uranium from wet process phosphoric acid (III)

    International Nuclear Information System (INIS)

    Pyrih, R.Z.; Rickard, R.S.; Carrington, O.F.

    1983-01-01

    Uranium is conventionally recovered from wet-process phosphoric acid by two liquid ion exchange steps using a mixture of mono- and disubstituted phenyl esters of orthophosphoric acid (OPPA). Efficiency of the process drops as the mono-OPPA is lost preferentially to the aqueous phase. This invention provides a process for the removal of the uranium process organics (OPPA and organic solvents) from the raffinate of the first liquid ion exchange step and their return to the circuit. The process organics are removed by a combination flotation and absorption step, which results in the recovery of the organics on beads of a hydrophobic styrene polymer

  11. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Science.gov (United States)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  12. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    thermal annealing of the high density, as-deposited a-C:H films. Furthermore, not only the density itself but also the variation of density with thermal annealing need to be elucidated in order to understand the dry etch properties of annealed a-C:H films. - Highlights: ► A-C:H(amorphous carbon) films are grown for using hard mask in dry etch process by plasma-enhanced chemical vapor deposition and annealed. ► Physical, chemical and mechanical properties of grown amorphous carbon films are changed by hydrogen and hydrocarbon contents, be determined by deposition and annealing temperature. ► Dry etch rate of a-C:H films is decreased and the film density increased through thermal annealing with high density, low hydrogen content, as-deposited film.

  13. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors

    Science.gov (United States)

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  14. Design of an oval-form cathode for the precision etching process of e-paper surface

    International Nuclear Information System (INIS)

    Pa, P.S.

    2009-01-01

    A newly designed oval-form cathode using electroetching for indium-tin-oxide (ITO) microstructure removal from the surface of e-paper polymer PET films is presented. Through ultra-precise microstructural etching, the semiconductor industry can effectively reclaim defective products, thereby reducing production costs. The design features for the ITO removal process and the tool design of oval-form cathodes are of significant interest. A smaller oval-form cathode minor axis, a higher cathode rotational speed, a higher concentration, or a higher electrolyte temperature corresponds to a higher ITO etching rate.

  15. Process for winning uranium from wet process phosphoric acid

    International Nuclear Information System (INIS)

    1980-01-01

    A process is described for winning uranium from wet process phosphoric acid by means of liquid-liquid extraction with organic phosphoric acid esters. The process is optimised by keeping the sulphate percentage in the phosphoric acid below 2% by weight, and preferably below 0.6% by weight, as compared to P 2 O 5 in the phosphoric acid. This is achieved by adding an excess of Ba and/or Ca carbonate or sulfide solution and filtering off the formed calcium and/or barium sulphate precipitates. Solid KClO 3 is then added to the filtrate to oxidise U 4+ to U 6+ . The normal extraction procedure using organic phosphoric esters as extraction liquid, can then be applied. (Th.P.)

  16. Nano/micro particle beam for ceramic deposition and mechanical etching

    International Nuclear Information System (INIS)

    Chun, Doo-Man; Kim, Min-Saeng; Kim, Min-Hyeng; Ahn, Sung-Hoon; Yeo, Jun-Cheol; Lee, Caroline Sunyong

    2010-01-01

    Nano/micro particle beam (NPB) is a newly developed ceramic deposition and mechanical etching process. Additive (deposition) and subtractive (mechanical etching) processes can be realized in one manufacturing process using ceramic nano/micro particles. Nano- or micro-sized powders are sprayed through the supersonic nozzle at room temperature and low vacuum conditions. According to the process conditions, the ceramic powder can be deposited on metal substrates without thermal damage, and mechanical etching can be conducted in the same process with a simple change of process conditions and powders. In the present work, ceramic aluminum oxide (Al 2 O 3 ) thin films were deposited on metal substrates. In addition, the glass substrate was etched using a mask to make small channels. Deposited and mechanically etched surface morphology, coating thickness and channel depth were investigated. The test results showed that the NPB provides a feasible additive and subtractive process using ceramic powders.

  17. Electrodeless wet etching of n-GaN assisted with ultraviolet light

    International Nuclear Information System (INIS)

    Skriniarova, J.; Novotny, I.

    2012-01-01

    In this paper we studied photo-assisted electrodeless etching (ELPEC) of n-GaN in a K_2S_2O_8/KOH solution irradiated continuously with UV light. We investigated the impact of mask material on n-GaN patterning. As mask material thin layers of Ti, Pt and Au were used. The ratio of mask covered surface area to uncovered one was 7:1, 1:2 and 1:5. The K_2S_2O_8 oxidizing agent concentration was kept in the range from 0.006 to 0.1 M, the KOH electrolyte concentration was kept in the range from 0.004 to 0.04 M. (authors)

  18. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  19. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  20. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  1. Process for recovering a uranium containing concentrate and purified phosphoric acid from a wet process phosphoric acid containing uranium

    International Nuclear Information System (INIS)

    Weterings, C.A.M.; Janssen, J.A.

    1985-01-01

    A process is claimed for recovering from a wet process phosphoric acid which contains uranium, a uranium containing concentrate and a purified phosphoric acid. The wet process phosphoric acid is treated with a precipitant in the presence of a reducing agent and an aliphatic ketone

  2. Process for recovering a uranium containing concentrate and purified phosphoric acid from a wet process phosphoric acid containing uranium

    Energy Technology Data Exchange (ETDEWEB)

    Weterings, C.A.M.; Janssen, J.A.

    1985-04-30

    A process is claimed for recovering from a wet process phosphoric acid which contains uranium, a uranium containing concentrate and a purified phosphoric acid. The wet process phosphoric acid is treated with a precipitant in the presence of a reducing agent and an aliphatic ketone.

  3. DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed Bosch DRIE process

    DEFF Research Database (Denmark)

    Chang, Bingdong; Leussink, Pele; Jensen, Flemming

    2018-01-01

    The quest to sculpture materials as small and deep as possible is an ongoing topic in micro- and nanofabrication. For this, the Bosch process has been widely used to achieve anisotropic silicon microstructures with high aspect ratio. Reactive ion etching (RIE) lag is a phenomenon in which etch ra...

  4. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  5. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, H. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany)], E-mail: angermann@hmi.de; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany); Huebener, K.; Hauschild, J. [Freie Universitaet Berlin, FB Physik, Arnimallee 14, 14195 Berlin (Germany)

    2008-08-30

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D{sub it}(E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency.

  6. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    International Nuclear Information System (INIS)

    Angermann, H.; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M.; Huebener, K.; Hauschild, J.

    2008-01-01

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D it (E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency

  7. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  8. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  9. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  10. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  11. A simple process to achieve microchannels geometries able to produce hydrodynamic cavitation

    Science.gov (United States)

    Qiu, X.; Cherief, W.; Colombet, D.; Ayela, F.

    2017-04-01

    We present a simple process to perform microchannels in which cavitating two phase flows are easily producible. Up to now, hydrodynamic cavitation ‘on a chip’ was reached with small flow rates inside microchannels whose micromachining had involved a deep reactive ion etching (D-RIE). The process we present here does not require a D-RIE reactor, as it is only funded on a wet etching of silicon. It leads to a so-called microstep profile, and large cavitating flow rates become possible together with moderate pressure drops.

  12. A simple process to achieve microchannels geometries able to produce hydrodynamic cavitation

    International Nuclear Information System (INIS)

    Qiu, X; Cherief, W; Colombet, D; Ayela, F

    2017-01-01

    We present a simple process to perform microchannels in which cavitating two phase flows are easily producible. Up to now, hydrodynamic cavitation ‘on a chip’ was reached with small flow rates inside microchannels whose micromachining had involved a deep reactive ion etching (D-RIE). The process we present here does not require a D-RIE reactor, as it is only funded on a wet etching of silicon. It leads to a so-called microstep profile, and large cavitating flow rates become possible together with moderate pressure drops. (technical note)

  13. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  14. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  15. High index glass thin film processing for photonics and photovoltaic (PV) applications

    Science.gov (United States)

    Ogbuu, Okechukwu Anthony

    To favorably compete with fossil-fuel technology, the greatest challenge for thin film solar-cells is to improve efficiency and reduce material cost. Thickness scaling to thin film reduces material cost but affects the light absorption in the cells; therefore a concept that traps incident photons and increases its optical path length is needed to boost absorption in thin film solar cells. One approach is the integration of low symmetric gratings (LSG), using high index material, on either the front-side or backside of 30 um thin c-Si cells. In this study, Multicomponent TeO2--Bi2O 3--ZnO (TBZ) glass thin films were prepared using RF magnetron sputtering under different oxygen flow rates. The influences of oxygen flow rate on the structural and optical properties of the resulting thin films were investigated. The structural origin of the optical property variation was studied using X-ray diffraction, X-ray photoelectron spectroscopy, Raman Spectroscopy, and transmission electron microscopy. The results indicate that TBZ glass thin film is a suitable material for front side LSG material photovoltaic and photonics applications due to their amorphous nature, high refractive index (n > 2), broad band optical transparency window, low processing temperature. We developed a simple maskless method to pattern sputtered tellurite based glass thin films using unconventional agarose hydrogel mediated wet etching. Conventional wet etching process, while claiming low cost and high throughput, suffers from reproducibility and pattern fidelity issues due to the isotropic nature of wet chemical etching when applied to glasses and polymers. This method overcomes these challenges by using an agarose hydrogel stamp to mediate a conformal etching process. In our maskless method, agarose hydrogel stamps are patterned following a standard soft lithography and replica molding process from micropatterned masters and soaked in a chemical etchant. The micro-scale features on the stamp are

  16. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  17. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  18. Reverse pattern duplication utilizing a two-step metal lift-off process via nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Sun-Sik; Kim, Eun-Uk; Jung, Hee-Soo; Kim, Ki-Seok; Jung, Gun-Young

    2009-01-01

    A two-step metal lift-off process using a selective etching recipe was demonstrated as a new technique for the reverse pattern fabrication of the features of a master stamp via a UV-based nanoimprint lithography technique. A transparent master stamp with repeated pillars (150 nm diameter at 300 nm pitch) was fabricated by using laser interference lithography and the subsequent dry-etching process. After nanoimprint lithography and the following gold (Au) lift-off process, the corresponding gold dots (20 nm height) were generated. A thin chromium layer (Cr, 5 nm) was then deposited and subjected to the aqua regia solution, which dissolved only Au dots. By using a selective wet etching recipe between gold (Au) and chromium (Cr) materials, a Cr layer with holes was reliably generated, which was used as an etching mask to transfer holes into the silicon substrate in the subsequent dry-etching process. Hole patterns with a diameter of 146 nm were inversely replicated faithfully from the master stamp with the corresponding pillars without a notable feature size distortion

  19. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    International Nuclear Information System (INIS)

    Altamore, C; Tringali, C; Sparta', N; Marco, S Di; Grasso, A; Ravesi, S

    2010-01-01

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10 5 ) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10 1 Hz to 10 6 Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl 2 /Ar chemistry. The relationship between the etch rate and the Cl 2 /Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl 2 /Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  20. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Altamore, C; Tringali, C; Sparta' , N; Marco, S Di; Grasso, A; Ravesi, S [STMicroelectronics, Industial and Multi-segment Sector R and D, Catania (Italy)

    2010-02-15

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10{sup 5}) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10{sup 1} Hz to 10{sup 6} Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl{sub 2}/Ar chemistry. The relationship between the etch rate and the Cl{sub 2}/Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl{sub 2}/Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  1. Discussion about the application of treatment process for dehydrated wet waste at nuclear power station

    International Nuclear Information System (INIS)

    Li Guanghua; Wu Qiang

    2009-01-01

    In nuclear power station, the most popular treatment about low level radioactive wet waste generated during the unit operating and maintenance is embedded by cement. For radioactive waste minimization, this article introduces a new treatment process to dehydrate and compress wet waste. According to the development and application of the treatment process for the wet waste, and comparing with the formerly treatment-the cement embedding, prove that the new treatment can meet the purpose for volume reduction of wet waste. (authors)

  2. Effect of Dentin Wetness on the Bond Strength of Universal Adhesives

    Science.gov (United States)

    Lee, Ji-Hye; Son, Sung-Ae; Jung, Kyoung-Hwa; Kwon, Yong Hoon

    2017-01-01

    The effects of dentin wetness on the bond strength and adhesive interface morphology of universal adhesives have been investigated using micro-tensile bond strength (μTBS) testing and confocal laser scanning microscopy (CLSM). Seventy-two human third molars were wet ground to expose flat dentin surfaces. They were divided into three groups according to the air-drying time of the dentin surfaces: 0 (without air drying), 5, and 10 s. The dentin surfaces were then treated with three universal adhesives: G-Premio Bond, Single Bond Universal, and All-Bond Universal in self-etch or etch-and-rinse mode. After composite build up, a μTBS test was performed. One additional tooth was prepared for each group by staining the adhesives with 0.01 wt % of Rhodamine B fluorescent dye for CLSM analysis. The data were analyzed statistically using ANOVA and Tukey’s post hoc tests (α = 0.05). Two-way ANOVA showed significant differences among the adhesive systems and dentin moisture conditions. An interaction effect was also observed (p adhesives. PMID:29068404

  3. Ultra-short laser processing of transparent material at the interface to liquid

    International Nuclear Information System (INIS)

    Boehme, R; Pissadakis, S; Ehrhardt, M; Ruthe, D; Zimmer, K

    2006-01-01

    Similarly to laser-induced backside wet etching (LIBWE) with nanosecond ultraviolet (ns UV) laser pulses, the irradiation of the solid/liquid interface of fused silica with sub-picosecond (sub-ps) UV and femtosecond near infrared (fs NIR) laser pulses results in etching of the fused silica surface and deposition of decomposition products from liquid. Furthermore, the etch threshold is reduced compared with both direct ablation with an fs laser in air and backside etching with UV ns pulses. Using 0.5 M pyrene/toluene as absorbing liquid, the thresholds were determined to be 70 mJ cm -2 (sub-ps UV) and 330 mJ cm -2 (fs NIR). Furthermore, an almost linear increase in the etch rate with increasing laser fluence was found. The roughness of surfaces backside etched with ultra-short pulses is higher in comparison with ns pulses but lower than that obtained using direct fs laser ablation. Hence a combination of processes involved in fs laser ablation and ns backside etching can be expected. The processes at the ultra-short pulse laser irradiated solid/liquid interface are discussed, considering the effects of ultra-fast heating, multi-photon absorption processes, as well as defect generation in the materials

  4. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  5. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  6. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    Science.gov (United States)

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  7. Optimize Etching Based Single Mode Fiber Optic Temperature Sensor

    OpenAIRE

    Ajay Kumar; Dr. Pramod Kumar

    2014-01-01

    This paper presents a description of etching process for fabrication single mode optical fiber sensors. The process of fabrication demonstrates an optimized etching based method to fabricate single mode fiber (SMF) optic sensors in specified constant time and temperature. We propose a single mode optical fiber based temperature sensor, where the temperature sensing region is obtained by etching its cladding diameter over small length to a critical value. It is observed that th...

  8. Wet Mechanochemical Processing of Celestine using (NH42CO3

    Directory of Open Access Journals (Sweden)

    Deniz Bingöl

    2017-06-01

    Full Text Available In this study, traditional (univariate method of processing to the wet mechanochemical treatment were applied to obtain both SrCO3 and (NH42SO4 from celestite (SrSO4-(NH42CO3-H2O mixtures in a planetary ball mill. X-ray diffraction, Fourier transform infrared spectroscopy, scanning electron microscopy, and chemical analysis were used to analyze products formed during wet milling. A hydrometallurgical process was carried out to examine milling time, ball to grinding material mass ratio, (NH42CO3 to SrSO4 mole ratio and rotational speed of the mill in a planetary mill. Under optimum conditions, a conversion approaching 100% of SrCO3 was obtained.

  9. Anisotropic etching of silicon for application in micro machine using plasma of SF6/CH4/O2/Ar and SF6/CF4/O2/Ar

    International Nuclear Information System (INIS)

    Reyes B, C.; Moshkalyov, S.A.; Swart, J.W.

    2004-01-01

    We investigated the reactive ion etching of silicon using SF 6 /CH 4 (CF 4 )/O 2 /Ar gas mixtures containing fluorine for MEMS applications. Etch rates and anisotropy of etch profiles were examined as a function of gas composition, material of electrode, and RF power. Etch depths were measured using a profilometers, and etch profiles were analyzed by scanning electron microscope. As a mask material, an aluminium film deposited by evaporation, was used. High anisotropy of etching of 0.95 was achieved at etch depths up to 20-30 micrometers and etch rates of approximately 0.3-0.6 μm/min. Highly anisotropic etching is based on a mechanism that enhance the ion bombarding and protects the sidewalls due to polymerization and/or oxidation mechanisms in order to avoid the lateral etch. However, under the anisotropic etching conditions, considerable damages of the etched surfaces (roughness formation), were observed. After etching experiments, wet / dry cleaning procedures were applied to remove surface residues resulting from the reactive ion etching and to improve the etched surface morphology. (Author)

  10. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  11. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  12. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  13. Biodiesel production from wet microalgae feedstock using sequential wet extraction/transesterification and direct transesterification processes.

    Science.gov (United States)

    Chen, Ching-Lung; Huang, Chien-Chang; Ho, Kao-Chia; Hsiao, Ping-Xuan; Wu, Meng-Shan; Chang, Jo-Shu

    2015-10-01

    Although producing biodiesel from microalgae seems promising, there is still a lack of technology for the quick and cost-effective conversion of biodiesel from wet microalgae. This study was aimed to develop a novel microalgal biodiesel producing method, consisting of an open system of microwave disruption, partial dewatering (via combination of methanol treatment and low-speed centrifugation), oil extraction, and transesterification without the pre-removal of the co-solvent, using Chlamydomonas sp. JSC4 with 68.7 wt% water content as the feedstock. Direct transesterification with the disrupted wet microalgae was also conducted. The biomass content of the wet microalgae increased to 56.6 and 60.5 wt%, respectively, after microwave disruption and partial dewatering. About 96.2% oil recovery was achieved under the conditions of: extraction temperature, 45°C; hexane/methanol ratio, 3:1; extraction time, 80 min. Transesterification of the extracted oil reached 97.2% conversion within 15 min at 45°C and 6:1 solvent/methanol ratio with simultaneous Chlorophyll removal during the process. Nearly 100% biodiesel conversion was also obtained while conducting direct transesterification of the disrupted oil-bearing microalgal biomass. Copyright © 2015 Elsevier Ltd. All rights reserved.

  14. Development of a Silicon Microneedle with Three-Dimensional Sharp Tip by Electrochemical Etching

    Science.gov (United States)

    Izumi, Hayato; Okamoto, Tokusuke; Suzuki, Masato; Aoyagi, Seiji

    Aiming at the use in low-invasive medical treatments, this paper reports a fabrication technique of silicon microneedle of conical sharp point. The electrochemical etching technique is employed for sharpening the tip of a pillar, which is diced from a silicon wafer. A finely smooth tip surface is obtained due to electrochemical etching reactions, and is effective for easy insertion. The fabrication method is based on inexpensive wet etching, which does not require expensive fabrication facilities such as deep reactive ion etching (DRIE). A sharp needle was successfully fabricated, the tip angle of which was considerably small and was distributed within the range from 15 to 30 deg. An experiment of inserting the fabricated needle into an artificial skin of silicone rubber was carried out. As the results, the resistance force during insertion was much reduced compared to those of two-dimensional sharp needles. Imitating mosquito's motion, the effectiveness of applying vibration to the fabricated needle during insertion was also confirmed. After biocompatible Parylene coating, puncturing a human skin was demonstrated assuming a lancet usage for the diabetics, in which the bleeding was surely observed.

  15. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  16. The interplay between surface charging and microscale roughness during plasma etching of polymeric substrates

    Science.gov (United States)

    Memos, George; Lidorikis, Elefterios; Kokkoris, George

    2018-02-01

    The surface roughness developed during plasma etching of polymeric substrates is critical for a variety of applications related to the wetting behavior and the interaction of surfaces with cells. Toward the understanding and, ultimately, the manipulation of plasma induced surface roughness, the interplay between surface charging and microscale roughness of polymeric substrates is investigated by a modeling framework consisting of a surface charging module, a surface etching model, and a profile evolution module. The evolution of initially rough profiles during plasma etching is calculated by taking into account as well as by neglecting charging. It is revealed, on the one hand, that the surface charging contributes to the suppression of root mean square roughness and, on the other hand, that the decrease of the surface roughness induces a decrease of the charging potential. The effect of charging on roughness is intense when the etching yield depends solely on the ion energy, and it is mitigated when the etching yield additionally depends on the angle of ion incidence. The charging time, i.e., the time required for reaching a steady state charging potential, is found to depend on the thickness of the polymeric substrate, and it is calculated in the order of milliseconds.

  17. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    Science.gov (United States)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  18. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  19. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  20. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  1. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  2. Normally-off AlGaN/GaN-based MOS-HEMT with self-terminating TMAH wet recess etching

    Science.gov (United States)

    Son, Dong-Hyeok; Jo, Young-Woo; Won, Chul-Ho; Lee, Jun-Hyeok; Seo, Jae Hwa; Lee, Sang-Heung; Lim, Jong-Won; Kim, Ji Heon; Kang, In Man; Cristoloveanu, Sorin; Lee, Jung-Hee

    2018-03-01

    Normally-off AlGaN/GaN-based MOS-HEMT has been fabricated by utilizing damage-free self-terminating tetramethyl ammonium hydroxide (TMAH) recess etching. The device exhibited a threshold voltage of +2.0 V with good uniformity, extremely small hysteresis of ∼20 mV, and maximum drain current of 210 mA/mm. The device also exhibited excellent off-state performances, such as breakdown voltage of ∼800 V with off-state leakage current as low as ∼10-12 A and high on/off current ratio (Ion/Ioff) of 1010. These excellent device performances are believed to be due to the high quality recessed surface, provided by the simple self-terminating TMAH etching.

  3. Development of the DC-RF Hybrid Plasma Source and the Application to the Etching and Texturing of the Silicon Surface

    International Nuclear Information System (INIS)

    Kim, Ji Hun

    2011-02-01

    Plasma used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in general. However, low pressure processing is very costly due to the use of vacuum equipment and vacuum components. Subsequent wet processing is environmentally undesirable due to the use of a large amount of chemicals. Also, the usage of vacuum processing increases fabrication cost and decreases productivity. If stable atmospheric plasmas can be used, not only the decrease in processing costs but also the increase in productivity could be obtained. New DC arc plasmatron with a hot rod cathode and cold nozzle anode was developed and its V-A (Volt-Ampere) characteristics were investigated. Outlook of the measured V-A characteristics cleared the question of the stability of arc burning in the new DC arc plasmatron. The plasmatron that has a stable operations with distributed anode spot could possibly be applied to plasma chemical processing. And measured value of the erosion rate for copper anode is m Cu 3.6x10 -10 g/C which is better than corresponding data for thermo-ionic emission cathodes made of tungsten mw ∼10 -9 g/C. These facts mean that plasmatron durability reaches ∼10 3 h. The low anode erosion rate is related to the large surface of arc-anode contact due to distributed anode arc spot, which reduces the current density. Unique characteristics of the new plasma source concerning its durability and plasma purity at rather low temperatures make it an interesting tool for technical applications, such as etching/deposition and chemical reaction. To apply a plasma processing, the T-type plasmatron was modified to A-type. The A-type plasmatron was used to activate the CF 4 and SF 6 gases in etching experiments at atmospheric and low pressure. To reduce the recombination rate of the activated gas particles inside a plasmatron and let them preserve their activated state outside, the whole device was installed outside of

  4. A novel surface micromachining process to fabricate AlN unimorph suspensions and its application for RF resonators

    NARCIS (Netherlands)

    Saravanan, S.; Saravanan, S.; Berenschot, Johan W.; Krijnen, Gijsbertus J.M.; Elwenspoek, Michael Curt

    2006-01-01

    A novel surface micromachining process is reported for aluminum nitride (AlN) thin films to fabricate piezoelectric unimorph suspension devices for micro actuator applications. Wet anisotropic etching of AlN thin film is used with a Cr metal mask layer in the microfabrication process. Tetra methyl

  5. Development studies of a novel wet oxidation process

    International Nuclear Information System (INIS)

    Rogers, T.W.; Dhooge, P.M.

    1995-01-01

    Many DOE waste streams and remediates contain complex and variable mixtures of organic compounds, toxic metals, and radionuclides. These materials are often dispersed in organic or inorganic matrices, such as personal protective equipment, various sludges, soils, and water. Incineration and similar combustive processes do not appear to be viable options for treatment of these waste streams due to various considerations. The objective of this project is to develop a novel catalytic wet oxidation process for the treatment of multi-component wastes. The DETOX process uses a unique combination of metal catalysts to increase the rate of oxidation of organic materials

  6. Development studies of a novel wet oxidation process

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, T.W.; Dhooge, P.M. [Delphi Research, Inc., Albuquerque, NM (United States)

    1995-10-01

    Many DOE waste streams and remediates contain complex and variable mixtures of organic compounds, toxic metals, and radionuclides. These materials are often dispersed in organic or inorganic matrices, such as personal protective equipment, various sludges, soils, and water. Incineration and similar combustive processes do not appear to be viable options for treatment of these waste streams due to various considerations. The objective of this project is to develop a novel catalytic wet oxidation process for the treatment of multi-component wastes. The DETOX process uses a unique combination of metal catalysts to increase the rate of oxidation of organic materials.

  7. Plasma processing of large curved surfaces for superconducting rf cavity modification

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2014-12-01

    Full Text Available Plasma-based surface modification of niobium is a promising alternative to wet etching of superconducting radio frequency (SRF cavities. We have demonstrated surface layer removal in an asymmetric nonplanar geometry, using a simple cylindrical cavity. The etching rate is highly correlated with the shape of the inner electrode, radio-frequency (rf circuit elements, gas pressure, rf power, chlorine concentration in the Cl_{2}/Ar gas mixtures, residence time of reactive species, and temperature of the cavity. Using variable radius cylindrical electrodes, large-surface ring-shaped samples, and dc bias in the external circuit, we have measured substantial average etching rates and outlined the possibility of optimizing plasma properties with respect to maximum surface processing effect.

  8. Synthesis of Platinum Nanotubes and Nanorings via Simultaneous Metal Alloying and Etching

    KAUST Repository

    Huang, Zhiqi

    2016-04-19

    Metallic nanotubes represent a class of hollow nanostructures with unique catalytic properties. However, the wet-chemical synthesis of metallic nanotubes remains a substantial challenge, especially for those with dimensions below 50 nm. This communication describes a simultaneous alloying-etching strategy for the synthesis of Pt nanotubes with open ends by selective etching Au core from coaxial Au/Pt nanorods. This approach can be extended for the preparation of Pt nanorings when Saturn-like Au core/Pt shell nanoparticles are used. The diameter and wall thickness of both nanotubes and nanorings can be readily controlled in the range of 14-37 nm and 2-32 nm, respectively. We further demonstrated that the nanotubes with ultrathin side walls showed superior catalytic performance in oxygen reduction reaction. © 2016 American Chemical Society.

  9. Endpoint in plasma etch process using new modified w-multivariate charts and windowed regression

    Science.gov (United States)

    Zakour, Sihem Ben; Taleb, Hassen

    2017-09-01

    Endpoint detection is very important undertaking on the side of getting a good understanding and figuring out if a plasma etching process is done in the right way, especially if the etched area is very small (0.1%). It truly is a crucial part of supplying repeatable effects in every single wafer. When the film being etched has been completely cleared, the endpoint is reached. To ensure the desired device performance on the produced integrated circuit, the high optical emission spectroscopy (OES) sensor is employed. The huge number of gathered wavelengths (profiles) is then analyzed and pre-processed using a new proposed simple algorithm named Spectra peak selection (SPS) to select the important wavelengths, then we employ wavelet analysis (WA) to enhance the performance of detection by suppressing noise and redundant information. The selected and treated OES wavelengths are then used in modified multivariate control charts (MEWMA and Hotelling) for three statistics (mean, SD and CV) and windowed polynomial regression for mean. The employ of three aforementioned statistics is motivated by controlling mean shift, variance shift and their ratio (CV) if both mean and SD are not stable. The control charts show their performance in detecting endpoint especially W-mean Hotelling chart and the worst result is given by CV statistic. As the best detection of endpoint is given by the W-Hotelling mean statistic, this statistic will be used to construct a windowed wavelet Hotelling polynomial regression. This latter can only identify the window containing endpoint phenomenon.

  10. Effect of a re‑wetting agent on bond strength of an adhesive to ...

    African Journals Online (AJOL)

    Objective: This study investigated the effect of a re‑wetting agent on the microtensile bond strengths (μTBS) of primary and permanent dentin after acid or laser etching. Materials and Methods: Twelve permanent and 12 primary molar teeth were ground to expose an occlusal dentin surface. Each group teeth were randomly ...

  11. Grafting on nuclear tracks using the active sites that remain after the etching process

    International Nuclear Information System (INIS)

    Mazzei, R.; Bermudez, G. Garcia; Chappa, V.C.; Grosso, M.F. del; Fernandez, A.

    2006-01-01

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam

  12. Grafting on nuclear tracks using the active sites that remain after the etching process

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R. [Unidad de Aplicaciones Tecnologicas y Agropecuarias, CNEA, 1429 Buenos Aires (Argentina) and Universidad Tecnologica Nacional, Buenos Aires (Argentina)]. E-mail: mazzei@cae.cnea.gov.ar; Bermudez, G. Garcia [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Escuela de Ciencia y Tecnologia, UNSAM, 1653 Buenos Aires (Argentina); Consejo Nacional de Investigaciones Cientificas y Tecnicas (Argentina); Chappa, V.C. [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Grosso, M.F. del [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); U. A. de Materiales, CNEA, 1429 Buenos Aires (Argentina); Fernandez, A. [Universidad Tecnologica Nacional, Buenos Aires (Argentina)

    2006-09-15

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam.

  13. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin

    2016-05-20

    A wet-chemical surface texturing technique, including a two-step metal-catalyzed chemical etching (MCCE) and an extra alkaline treatment, has been proven as an efficient way to fabricate high-efficiency black multicrystalline (mc) silicon solar cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically smooth surfaces could be directly achieved by improved one-step MCCE and with no posttreatment, like alkali solution. The doublet surface texture of implementing this nanobowl structure upon the industrialized acidic-textured surface showed concurrent improvement in optical and electrical properties for realizing 18.23% efficiency mc-Si solar cells (156 mm × 156 mm), which is sufficiently higher than 17.7% of the solely acidic-textured cells in the same batch. The one-step MCCE method demonstrated in this study may provide a cost-effective way to manufacture high-performance mc-Si solar cells for the present photovoltaic industry. © 2016 IEEE.

  14. Deep-etched sinusoidal polarizing beam splitter grating.

    Science.gov (United States)

    Feng, Jijun; Zhou, Changhe; Cao, Hongchao; Lv, Peng

    2010-04-01

    A sinusoidal-shaped fused-silica grating as a highly efficient polarizing beam splitter (PBS) is investigated based on the simplified modal method. The grating structure depends mainly on the ratio of groove depth to grating period and the ratio of incident wavelength to grating period. These ratios can be used as a guideline for the grating design at different wavelengths. A sinusoidal-groove PBS grating is designed at a wavelength of 1310 nm under Littrow mounting, and the transmitted TM and TE polarized waves are mainly diffracted into the zeroth order and the -1st order, respectively. The grating profile is optimized by using rigorous coupled-wave analysis. The designed PBS grating is highly efficient (>95.98%) over the O-band wavelength range (1260-1360 nm) for both TE and TM polarizations. The sinusoidal grating can exhibit higher diffraction efficiency, larger extinction ratio, and less reflection loss than the rectangular-groove PBS grating. By applying wet etching technology on the rectangular grating, which was manufactured by holographic recording and inductively coupled plasma etching technology, the sinusoidal grating can be approximately fabricated. Experimental results are in agreement with theoretical values.

  15. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  16. High-etch-rate bottom-antireflective coating and gap-fill materials using dextrin derivatives in via first dual-Damascene lithography process

    Science.gov (United States)

    Takei, Satoshi; Sakaida, Yasushi; Shinjo, Tetsuya; Hashimoto, Keisuke; Nakajima, Yasuyuki

    2008-03-01

    The present paper describes a novel class of bottom antireflective coating (BARC) and gap fill materials using dextrin derivatives. The general trend of interconnect fabrication for such a high performance LSI is to apply cupper (Cu)/ low-dielectric-constant (low-k) interconnect to reduce RC delay. A via-first dual damascene process is one of the most promising processes to fabricate Cu/ low-k interconnect due to its wide miss-alignment margin. The sacrificial materials containing dextrin derivatives under resist for lithography were developed in via-first dual damascene process. The dextrin derivatives in this study was obtained by the esterification of the hydroxyl groups of dextrin resulting in improved solubility in the resist solvents such as propylene glycol monomethylether, propylene glycol monomethylether acetate, and ethyl lactate due to avoid the issue of defects that were caused by incompatability. The etch rate of our developed BARC and gap fill materials using dextrin derivatives was more than two times faster than one of the ArF resists evaluated in a CF4 gas condition using reactive ion etching. The improved etch performance was also verified by comparison with poly(hydroxystyrene), acrylate-type materials and latest low-k materials as a reference. In addition to superior etch performance, these materials showed good resist profiles and via filling performance without voids in via holes.

  17. A history of engraving and etching techniques: developments of manual intaglio printmaking processes, 1400-2000

    NARCIS (Netherlands)

    Stijnman, A.C.J.

    2012-01-01

    This book surveys the history of the techniques of engraving, etching and plate printing - i.e. that of manual intaglio printmaking processes - from its beginning in the 1430s until today. These developments are observed in the light of the coherence between the technique of the intaglio print (such

  18. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  19. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  20. Preliminary comparison of three processes of AlN oxidation: dry, wet and mixed ones

    Directory of Open Access Journals (Sweden)

    Korbutowicz R.

    2016-03-01

    Full Text Available Three methods of AlN layers oxidation: dry, wet and mixed (wet with oxygen were compared. Some physical parameters of oxidized thin films of aluminum nitride (AlN layers grown on silicon Si(1 1 1 were investigated by means Energy-Dispersive X-ray Spectroscopy (EDS and Spectroscopic Ellipsometry (SE. Three series of the thermal oxidations processes were carried out at 1012 °C in pure nitrogen as carrying gas and various gas ambients: (a dry oxidation with oxygen, (b wet oxidation with water steam and (c mixed atmosphere with various process times. All the research methods have shown that along with the rising of the oxidation time, AlN layer across the aluminum oxide nitride transforms to aluminum oxide. The mixed oxidation was a faster method than the dry or wet ones.

  1. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  2. Laser etching of polymer masked leadframes

    Science.gov (United States)

    Ho, C. K.; Man, H. C.; Yue, T. M.; Yuen, C. W.

    1997-02-01

    A typical electroplating production line for the deposition of silver pattern on copper leadframes in the semiconductor industry involves twenty to twenty five steps of cleaning, pickling, plating, stripping etc. This complex production process occupies large floor space and has also a number of problems such as difficulty in the production of rubber masks and alignment, generation of toxic fumes, high cost of water consumption and sometimes uncertainty on the cleanliness of the surfaces to be plated. A novel laser patterning process is proposed in this paper which can replace many steps in the existing electroplating line. The proposed process involves the application of high speed laser etching techniques on leadframes which were protected with polymer coating. The desired pattern for silver electroplating is produced by laser ablation of the polymer coating. Excimer laser was found to be most effective for this process as it can expose a pattern of clean copper substrate which can be silver plated successfully. Previous working of Nd:YAG laser ablation showed that 1.06 μm radiation was not suitable for this etching process because a thin organic and transparent film remained on the laser etched region. The effect of excimer pulse frequency and energy density upon the removal rate of the polymer coating was studied.

  3. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  4. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  5. Oxidation-extraction of uranium from wet-process phosphoric acid

    International Nuclear Information System (INIS)

    Lawes, B.C.

    1985-01-01

    The invention involves an improvement to the reductive stripping process for recovering uranium values from wet-process phosphoric acid solution, where uranium in the solution is oxidized to uranium (VI) oxidation state and then extracted from the solution by contact with a water immiscible organic solvent, by adding sufficient oxidant, hydrogen peroxide, to obtain greater than 90 percent conversion of the uranium to the uranium (VI) oxidation state to the phosphoric acid solution and simultaneously extracting the uranium (VI)

  6. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    Science.gov (United States)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  7. Simulation of biodiesel production using hydro-esterification process from wet microalgae

    Directory of Open Access Journals (Sweden)

    Pradana Yano Surya

    2018-01-01

    Full Text Available Recently, algae have received a lot of attention as a new biomass source for the production of renewable energy, such as biodiesel. Conventionally, biodiesel is made through esterification or transesterification of oils where the process involves a catalyst and alcohol to be reacted in a reactor. However, this process is energy intensive for drying and extraction step. To overcome this situation, we studied simulation of a new route of hydro-esterification process which is combine hydrolysis and esterification processes for biodiesel production from wet microalgae. Firstly, wet microalgae treated by hydrolyzer to produce fatty acids (FAs, separated with separator, and then mixed with methanol and esterified at subcritical condition to produce fatty acid methyl esters (FAMEs while H2SO4 conducted as the catalyst. Energy and material balance of conventional and hydrolysis-esterification process was evaluated by Aspen Plus. Simulation result indicated that conventional route is energy demanding process, requiring 4.40 MJ/L biodiesel produced. In contrast, the total energy consumption of hydrolysis-esterification method can be reduced significantly into 2.43 MJ/L biodiesel. Based on the energy consumption comparison, hydro-esterification process is less costly than conventional process for biodiesel production.

  8. Studies of biocompatibility of chemically etched CR-39 SSNTDs in view of their applications in alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Li, W.Y.; Chan, K.F.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2006-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require thin biocompatible materials which can record alpha-particle traversals as substrates for cell cultures. The biocompatibilities of chemically etched CR-39 solid-state nuclear track detectors (SSNTDs) using aqueous NaOH or NaOH/ehtanol are studied through the abundance and morphology of the cultured HeLa cells. The wetting properties of these etched CR-39 SSNTDs are also studied. The moderately hydrophobic CR-39 SSNTDs as well as the hydrophobic NaOH/ethanol-etched CR-39 SSNTDs are more biocompatible than the hydrophilic aqueous-NaOH-etched SSNTDs. Too small water contact angles, too large surface energy (γ s ) or the polar component γ s p do not favor the cell culture. On the other hand, the dispersive component γ s d of the surface energy and the ratio γ s p /γ s d do not seem to significantly affect the biocompatibility

  9. Enhancement of a-IGZO TFT Device Performance Using a Clean Interface Process via Etch-Stopper Nano-layers

    Science.gov (United States)

    Chung, Jae-Moon; Zhang, Xiaokun; Shang, Fei; Kim, Ji-Hoon; Wang, Xiao-Lin; Liu, Shuai; Yang, Baoguo; Xiang, Yong

    2018-05-01

    To overcome the technological and economic obstacles of amorphous indium-gallium-zinc-oxide (a-IGZO)-based display backplane for industrial production, a clean etch-stopper (CL-ES) process is developed to fabricate a-IGZO-based thin film transistor (TFT) with improved uniformity and reproducibility on 8.5th generation glass substrates (2200 mm × 2500 mm). Compared with a-IGZO-based TFT with back-channel-etched (BCE) structure, a newly formed ES nano-layer ( 100 nm) and a simultaneous etching of a-IGZO nano-layer (30 nm) and source-drain electrode layer are firstly introduced to a-IGZO-based TFT device with CL-ES structure to improve the uniformity and stability of device for large-area display. The saturation electron mobility of 8.05 cm2/V s and the V th uniformity of 0.72 V are realized on the a-IGZO-based TFT device with CL-ES structure. In the negative bias temperature illumination stress and positive bias thermal stress reliability testing under a ± 30 V bias for 3600 s, the measured V th shift of CL-ES-structured device significantly decreased to - 0.51 and + 1.94 V, which are much lower than that of BCE-structured device (- 3.88 V, + 5.58 V). The electrical performance of the a-IGZO-based TFT device with CL-ES structure implies that the economic transfer from a silicon-based TFT process to the metal oxide semiconductor-based process for LCD fabrication is highly feasible.

  10. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  11. Dust emission from wet, low-emission coke quenching process

    Science.gov (United States)

    Komosiński, Bogusław; Bobik, Bartłomiej; Konieczny, Tomasz; Cieślik, Ewelina

    2018-01-01

    Coke plants, which produce various types of coke (metallurgical, foundry or heating), at temperatures between 600 and 1200°C, with limited access to oxygen, are major emitters of particulates and gaseous pollutants to air, water and soils. Primarily, the process of wet quenching should be mentioned, as one of the most cumbersome. Atmospheric pollutants include particulates, tar substances, organic pollutants including B(a)P and many others. Pollutants are also formed from the decomposition of water used to quench coke (CO, phenol, HCN, H2S, NH3, cresol) and decomposition of hot coke in the first phase of quenching (CO, H2S, SO2) [1]. The development of the coke oven technology has resulted in the changes made to different types of technological installations, such as the use of baffles in quench towers, the removal of nitrogen oxides by selective NOx reduction, and the introduction of fabric filters for particulates removal. The BAT conclusions for coke plants [2] provide a methodology for the measurement of particulate emission from a wet, low-emission technology using Mohrhauer probes. The conclusions define the emission level for wet quenching process as 25 g/Mgcoke. The conducted research was aimed at verification of the presented method. For two of three quench towers (A and C) the requirements included in the BAT conclusions are not met and emissions amount to 87.34 and 61.35 g/Mgcoke respectively. The lowest particulates emission was recorded on the quench tower B and amounted to 22.5 g/Mgcoke, therefore not exceeding the requirements.

  12. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  13. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  14. Process for recovery of uranium from wet process phosphoric acid

    International Nuclear Information System (INIS)

    Wiewiorowski, T.K.; Thornsberry, W.L. Jr.

    1978-01-01

    Process is claimed for the recovery of uranium from wet process phosphoric acid solution in which an organic extractant, containing uranium values and dissolved iron impurities and comprising a dialkylphosphoric acid and a trialkylphosphine oxide dissolved in a water immiscible organic solvent, is contacted with a substantially iron-free dilute aqueous phosphoric acid to remove said iron impurities. The removed impurities are bled from the system by feeding the resulting iron-loaded phosphoric acid to a secondary countercurrent uranium extraction operation from which they leave as part of the uranium-depleted acid raffinate. Also, process for recovering uranium in which the extractant, after it has been stripped of uranium values by aqueous ammonium carbonate, is contacted with a dilute aqueous acid selected from the group consisting of H 2 SO 4 , HCl, HNO 3 and iron-free H 3 PO 4 to improve the extraction efficiency of the organic extractant

  15. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  16. Suitability of N2 plasma for the RIE etching of thin Ag layers

    International Nuclear Information System (INIS)

    Hrkut, P.; Matay, L.; Kostic, I.; Bencurova, A.; Konecnikova, A.; Nemec, P.; Andok, R.; Hacsik, S.

    2013-01-01

    Silver layers of 48 nm thickness were evaporated using EB PVD on Si wafers. The masking resist layers were spin-coated and patterned by the EBDW lithography on the ZBA 21 (20 keV) (Carl-Zeiss, Jena; currently Vistec, Ltd.) variable shaped e-beam pattern generator in II SAS. In order to check the etching process in N 2 , we covered a part of the samples containing Ag with a layer of various resists. The samples were dried on a hot-plate and RIE etched in SCM 600 (1 Pa; 20 sccm; 500 W). After 8 minutes the non-masked Ag layer was completely etched away, what testified suitability of N 2 as an etching gas. Also the etch time of 4 minutes showed to be sufficient for etching through the Ag layer. In order to optimize the etching process it was necessary to estimate the etch-rate (E.R.) of suitable resist layers and of the silver layer. The (authors)

  17. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  18. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  19. Measurement of the oxidation-extraction of uranium from wet-process phosphoric acid

    International Nuclear Information System (INIS)

    Lawes, B.C.

    1985-01-01

    The present invention relates to processes for the recovery of uranium from wet-process phosphoric acid and more particularly to the oxidation-extraction steps in the DEPA-TOPO process for such recovery. A more efficient use of oxidant is obtained by monitoring the redox potential during the extraction step

  20. Uranium recovery from wet process phosphoric acid

    International Nuclear Information System (INIS)

    1980-01-01

    In the field of metallurgy, specifically processes for recovering uranium from wet process phosphoric acid solution derived from the acidulation of uraniferous phosphate ores, problems of imbalance of ion exchange agents, contamination of recycled phosphoric acid with process organics and oxidizing agents, and loss and contamination of uranium product, are solved by removing organics from the raffinate after ion exchange conversion of uranium to uranous form and recovery thereof by ion exchange, and returning organics to the circuit to balance mono and disubstituted ester ion exchange agents; then oxidatively stripping uranium from the agent using hydrogen peroxide; then after ion exchange recovery of uranyl and scrubbing, stripping with sodium carbonate and acidifying the strip solution and using some of it for the scrubbing; regenerating the sodium loaded agent and recycling it to the uranous recovery step. Economic recovery of uranium as a by-product of phosphate fertilizer production is effected. (author)

  1. Nanoparticle and nanosphere mask for etching of ITO nanostructures and their reflection properties

    International Nuclear Information System (INIS)

    Xu, Cigang; Deng, Ligang; Holder, Adam; Bailey, Louise R.; Proudfoot, Gary; Thomas, Owain; Gunn, Robert; Cooke, Mike; Leendertz, Caspar; Bergmann, Joachim

    2015-01-01

    Au nanoparticles and polystyrene nanospheres were used as mask for plasma etching of indium tin oxide (ITO) layer. By reactive ion etching (RIE) processes, the morphology of polystyrene nanospheres can be tuned through chemical or physical etching, and Au nanoparticle mask can result in ITO nanostructures with larger aspect ratio than nanosphere mask. During inductively coupled plasma (ICP) processes, Au nanoparticle mask was not affected by the thermal effect of plasma, whereas temperature of the substrate was essential to protect nanospheres from the damaging effect of plasma. Physical bombardment in the plasma can also modify the nanospheres. It was observed that under the same process conditions, the ratio of CH 4 and H 2 in the process gas can affect the etching rate of ITO without completely etching the nanospheres. The morphology of ITO nanostructures also depends on process conditions. The resulting ITO nanostructures show lower reflection in a spectral range of 400-1000 nm than c-Si and conventional antireflection layer of SiN x film. ITO nanostructures obtained after etching (scale bar = 200 nm). (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Porous siliconformation and etching process for use in silicon micromachining

    Science.gov (United States)

    Guilinger, Terry R.; Kelly, Michael J.; Martin, Jr., Samuel B.; Stevenson, Joel O.; Tsao, Sylvia S.

    1991-01-01

    A reproducible process for uniformly etching silicon from a series of micromechanical structures used in electrical devices and the like includes providing a micromechanical structure having a silicon layer with defined areas for removal thereon and an electrochemical cell containing an aqueous hydrofluoric acid electrolyte. The micromechanical structure is submerged in the electrochemical cell and the defined areas of the silicon layer thereon are anodically biased by passing a current through the electrochemical cell for a time period sufficient to cause the defined areas of the silicon layer to become porous. The formation of the depth of the porous silicon is regulated by controlling the amount of current passing through the electrochemical cell. The micromechanical structure is then removed from the electrochemical cell and submerged in a hydroxide solution to remove the porous silicon. The process is subsequently repeated for each of the series of micromechanical structures to achieve a reproducibility better than 0.3%.

  3. Double-layer optical fiber coating analysis in MHD flow of an elastico-viscous fluid using wet-on-wet coating process

    Directory of Open Access Journals (Sweden)

    Zeeshan Khan

    Full Text Available Modern optical fibers require a double-layer coating on the glass fiber in order to provide protection from signal attenuation and mechanical damage. The most important plastic resins used in wires and optical fibers are plastic polyvinyl chloride (PVC and low and high density polyethylene (LDPE/HDPE, nylon and Polysulfone. One of the most important things which affect the final product after processing is the design of the coating die. In the present study, double-layer optical fiber coating is performed using melt polymer satisfying Oldroyd 8-constant fluid model in a pressure type die with the effect of magneto-hydrodynamic (MHD. Wet-on-wet coating process is applied for double-layer optical fiber coating. The coating process in the coating die is modeled as a simple two-layer Couette flow of two immiscible fluids in an annulus with an assigned pressure gradient. Based on the assumptions of fully developed laminar and MHD flow, the Oldroyd 8-constant model of non-Newtonian fluid of two immiscible resin layers is modeled. The governing nonlinear equations are solved analytically by the new technique of Optimal Homotopy Asymptotic Method (OHAM. The convergence of the series solution is established. The results are also verified by the Adomian Decomposition Method (ADM. The effect of important parameters such as magnetic parameter Mi, the dilatant constant α, the Pseodoplastic constant β, the radii ratio δ, the pressure gradient Ω, the speed of fiber optics V, and the viscosity ratio κ on the velocity profiles, thickness of coated fiber optics, volume flow rate, and shear stress on the fiber optics are investigated. At the end the result of the present work is also compared with the experimental results already available in the literature by taking non-Newtonian parameters tends to zero. Keywords: Non-Newtonian fluid, Oldroyd 8-constant fluid, MHD flow, Double-layer fiber coating, OHAM, ADM, Wet-on-wet coating process

  4. Isotropically etched radial micropore for cell concentration, immobilization, and picodroplet generation.

    Science.gov (United States)

    Perroud, Thomas D; Meagher, Robert J; Kanouff, Michael P; Renzi, Ronald F; Wu, Meiye; Singh, Anup K; Patel, Kamlesh D

    2009-02-21

    To enable several on-chip cell handling operations in a fused-silica substrate, small shallow micropores are radially embedded in larger deeper microchannels using an adaptation of single-level isotropic wet etching. By varying the distance between features on the photolithographic mask (mask distance), we can precisely control the overlap between two etch fronts and create a zero-thickness semi-elliptical micropore (e.g. 20 microm wide, 6 microm deep). Geometrical models derived from a hemispherical etch front show that micropore width and depth can be expressed as a function of mask distance and etch depth. These models are experimentally validated at different etch depths (25.03 and 29.78 microm) and for different configurations (point-to-point and point-to-edge). Good reproducibility confirms the validity of this approach to fabricate micropores with a desired size. To illustrate the wide range of cell handling operations enabled by micropores, we present three on-chip functionalities: continuous-flow particle concentration, immobilization of single cells, and picoliter droplet generation. (1) Using pressure differentials, particles are concentrated by removing the carrier fluid successively through a series of 44 shunts terminated by 31 microm wide, 5 microm deep micropores. Theoretical values for the concentration factor determined by a flow circuit model in conjunction with finite volume modeling are experimentally validated. (2) Flowing macrophages are individually trapped in 20 microm wide, 6 microm deep micropores by hydrodynamic confinement. The translocation of transcription factor NF-kappaB into the nucleus upon lipopolysaccharide stimulation is imaged by fluorescence microscopy. (3) Picoliter-sized droplets are generated at a 20 microm wide, 7 microm deep micropore T-junction in an oil stream for the encapsulation of individual E. coli bacteria cells.

  5. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  6. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E H; Miao Jianmin; Sun Jianbo

    2006-01-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions

  7. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore); Sun Jianbo [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions.

  8. Fabrication of different pore shapes by multi-step etching technique in ion-irradiated PET membranes

    Science.gov (United States)

    Mo, D.; Liu, J. D.; Duan, J. L.; Yao, H. J.; Latif, H.; Cao, D. L.; Chen, Y. H.; Zhang, S. X.; Zhai, P. F.; Liu, J.

    2014-08-01

    A method for the fabrication of different pore shapes in polyethylene terephthalate (PET)-based track etched membranes (TEMs) is reported. A multi-step etching technique involving etchant variation and track annealing was applied to fabricate different pore shapes in PET membranes. PET foils of 12-μm thickness were irradiated with Bi ions (kinetic energy 9.5 MeV/u, fluence 106 ions/cm2) at the Heavy Ion Research Facility (HIRFL, Lanzhou). The cross-sections of fundamental pore shapes (cylinder, cone, and double cone) were analyzed. Funnel-shaped and pencil-shaped pores were obtained using a two-step etching process. Track annealing was carried out in air at 180 °C for 120 min. After track annealing, the selectivity of the etching process decreased, which resulted in isotropic etching in subsequent etching steps. Rounded cylinder and rounded cone shapes were obtained by introducing a track-annealing step in the etching process. Cup and spherical funnel-shaped pores were fabricated using a three- and four-step etching process, respectively. The described multi-step etching technique provides a controllable method to fabricate new pore shapes in TEMs. Introduction of a variety of pore shapes may improve the separation properties of TEMs and enrich the series of TEM products.

  9. Dry etching of ITO by magnetic pole enhanced inductively coupled plasma for display and biosensing devices

    Energy Technology Data Exchange (ETDEWEB)

    Meziani, T. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Vatican City State, Holy See,) (Italy)]. E-mail: tarik.meziani@jrc.it; Colpo, P. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)]. E-mail: pascal.colpo@jrc.it; Lambertini, V. [Centro Ricerche Fiat, Strada Torino 50, 10043 Orbassano (TO) (Italy); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy); Rossi, F. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)

    2006-03-15

    The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H{sub 2} mixtures and at constant bias voltage (-100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH{sub 4}/H{sub 2} mixtures, the etch rate goes through a maximum for 10% CH{sub 4} indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH{sub 4}/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH{sub 4} in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.

  10. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  11. Solid waste management practices in wet coffee processing industries of Gidabo watershed, Ethiopia.

    Science.gov (United States)

    Ulsido, Mihret D; Li, Meng

    2016-07-01

    The financial and social contributions of coffee processing industries within most coffee export-based national economies like Ethiopia are generally high. The type and amount of waste produced and the waste management options adopted by these industries can have negative effects on the environment. This study investigated the solid waste management options adopted in wet coffee processing industries in the Gidabo watershed of Ethiopia. A field observation and assessment were made to identify whether the operational characteristics of the industries have any effect on the waste management options that were practiced. The investigation was conducted on 125 wet coffee processing industries about their solid waste handling techniques. Focus group discussion, structured questionnaires, key informant interview and transect walks are some of the tools employed during the investigation. Two major types of wastes, namely hull-bean-pulp blended solid waste and wastewater rich in dissolved and suspended solids were generated in the industries. Wet mills, on average, released 20.69% green coffee bean, 18.58% water and 60.74% pulp by weight. Even though these wastes are rich in organic matter and recyclables; the most favoured solid waste management options in the watershed were disposal (50.4%) and industrial or household composting (49.6%). Laxity and impulsive decision are the driving motives behind solid waste management in Gidabo watershed. Therefore, to reduce possible contamination of the environment, wastes generated during the processing of red coffee cherries, such as coffee wet mill solid wastes, should be handled properly and effectively through maximisation of their benefits with minimised losses. © The Author(s) 2016.

  12. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  13. Study of polycaprolactone wet electrospinning process

    Directory of Open Access Journals (Sweden)

    E. Kostakova

    2014-08-01

    Full Text Available Wet electrospinning is a useful method for 3-dimensional structure control of nanofibrous materials. This innovative technology uses a liquid collector instead of the metal one commonly used for standard electrospinning. The article compares the internal structural features of polycaprolactone (PCL nanofibrous materials prepared by both technologies. We analyze the influence of different water/ethanol compositions used as a liquid collector on the morphology of the resultant polycaprolactone nanofibrous materials. Scanning electron micro-photographs have revealed a bimodal structure in the wet electrospun materials composed of micro and nanofibers uniformly distributed across the sample bulk. We have shown that the full-faced, twofold fiber distribution is due to the solvent composition and is induced and enhanced by increasing the ethanol weight ratio. Moreover, the comparison of fibrous layers morphology obtained by wet and dry spinning have revealed that beads that frequently appeared in dry spun materials are created by Plateau-Rayleigh instability of the fraction of thicker fibers. Theoretical conditions for spontaneous and complete immersion of cylindrical fibers into a liquid collector are also derived here.

  14. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  15. Real-time control of ion density and ion energy in chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Chang, C.-H.; Leou, K.-C.; Lin Chaung; Lin, T.-L.; Tseng, C.-W.; Tsai, C.-H.

    2003-01-01

    In this study, we have experimentally demonstrated the real-time closed-loop control of both ion density and ion energy in a chlorine inductively coupled plasma etcher. To measure positive ion density, the trace rare gases-optical emission spectroscopy is used to measure the chlorine positive ion density. An rf voltage probe is adopted to measure the root-mean-square rf voltage on the electrostatic chuck which is linearly dependent on sheath voltage. One actuator is a 13.56 MHz rf generator to drive the inductive coil seated on a ceramic window. The second actuator is also a 13.56 MHz rf generator to power the electrostatic chuck. The closed-loop controller is designed to compensate for process drift, process disturbance, and pilot wafer effect and to minimize steady-state error of plasma parameters. This controller has been used to control the etch process of unpatterned polysilicon. The experimental results showed that the closed-loop control had a better repeatability of plasma parameters compared with open-loop control. The closed-loop control can eliminate the process disturbance resulting from reflected power. In addition, experimental results also demonstrated that closed-loop control has a better reproducibility in etch rate as compared with open-loop control

  16. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  17. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  18. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  19. Characterization of the nanosized porous structure of black Si solar cells fabricated via a screen printing process

    Institute of Scientific and Technical Information of China (English)

    Tang Yehua; Fei Jianming; Cao Hongbin; Zhou Chunlan; Wang Wenjing; Zhou Su; Zhao Yan; Zhao Lei; Li Hailing; Yan Baojun; Chen Jingwei

    2012-01-01

    A silicon (Si) surface with a nanosized porous structure was formed via simple wet chemical etching catalyzed by gold (Au) nanoparticles on p-type Cz-Si (100).The average reflectivity from 300 to 1200 nm was less than 1.5%.Black Si solar cells were then fabricated using a conventional production process.The results reflected the output characteristics of the cells fabricated using different etching depths and emitter dopant profiles.Heavier dopants and shallower etching depths should be adopted to optimize the black Si solar cell output characteristics.The efficiency at the optimized etching time and dopant profile was 12.17%.However,surface passivation and electrode contact due to the nanosized porous surface structure are still obstacles to obtaining high conversion efficiency for the black Si solar cells.

  20. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  1. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  2. Process Analytical Technology for High Shear Wet Granulation: Wet Mass Consistency Reported by In-Line Drag Flow Force Sensor Is Consistent With Powder Rheology Measured by At-Line FT4 Powder Rheometer.

    Science.gov (United States)

    Narang, Ajit S; Sheverev, Valery; Freeman, Tim; Both, Douglas; Stepaniuk, Vadim; Delancy, Michael; Millington-Smith, Doug; Macias, Kevin; Subramanian, Ganeshkumar

    2016-01-01

    Drag flow force (DFF) sensor that measures the force exerted by wet mass in a granulator on a thin cylindrical probe was shown as a promising process analytical technology for real-time in-line high-resolution monitoring of wet mass consistency during high shear wet granulation. Our previous studies indicated that this process analytical technology tool could be correlated to granulation end point established independently through drug product critical quality attributes. In this study, the measurements of flow force by a DFF sensor, taken during wet granulation of 3 placebo formulations with different binder content, are compared with concurrent at line FT4 Powder Rheometer characterization of wet granules collected at different time points of the processing. The wet mass consistency measured by the DFF sensor correlated well with the granulation's resistance to flow and interparticulate interactions as measured by FT4 Powder Rheometer. This indicated that the force pulse magnitude measured by the DFF sensor was indicative of fundamental material properties (e.g., shear viscosity and granule size/density), as they were changing during the granulation process. These studies indicate that DFF sensor can be a valuable tool for wet granulation formulation and process development and scale up, as well as for routine monitoring and control during manufacturing. Copyright © 2016. Published by Elsevier Inc.

  3. Removal of ammonia solutions used in catalytic wet oxidation processes.

    Science.gov (United States)

    Hung, Chang Mao; Lou, Jie Chung; Lin, Chia Hua

    2003-08-01

    Ammonia (NH(3)) is an important product used in the chemical industry, and is common place in industrial wastewater. Industrial wastewater containing ammonia is generally either toxic or has concentrations or temperatures such that direct biological treatment is unfeasible. This investigation used aqueous solutions containing more of ammonia for catalytic liquid-phase oxidation in a trickle-bed reactor (TBR) based on Cu/La/Ce composite catalysts, prepared by co-precipitation of Cu(NO(3))(2), La(NO(3))(2), and Ce(NO(3))(3) at 7:2:1 molar concentrations. The experimental results indicated that the ammonia conversion of the wet oxidation in the presence of the Cu/La/Ce composite catalysts was determined by the Cu/La/Ce catalyst. Minimal ammonia was removed from the solution by the wet oxidation in the absence of any catalyst, while approximately 91% ammonia removal was achieved by wet oxidation over the Cu/La/Ce catalyst at 230 degrees C with oxygen partial pressure of 2.0 MPa. Furthermore, the effluent streams were conducted at a liquid hourly space velocity of under 9 h(-1) in the wet catalytic processes, and a reaction pathway was found linking the oxidizing ammonia to nitric oxide, nitrogen and water. The solution contained by-products, including nitrates and nitrites. Nitrite selectivity was minimized and ammonia removal maximized when the feed ammonia solution had a pH of around 12.0.

  4. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  5. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  6. High-throughput anisotropic plasma etching of polyimide for MEMS

    International Nuclear Information System (INIS)

    Bliznetsov, Vladimir; Manickam, Anbumalar; Ranganathan, Nagarajan; Chen, Junwei

    2011-01-01

    This note describes a new high-throughput process of polyimide etching for the fabrication of MEMS devices with an organic sacrificial layer approach. Using dual frequency superimposed capacitively coupled plasma we achieved a vertical profile of polyimide with an etching rate as high as 3.5 µm min −1 . After the fabrication of vertical structures in a polyimide material, additional steps were performed to fabricate structural elements of MEMS by deposition of a SiO 2 layer and performing release etching of polyimide. (technical note)

  7. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  8. Six-month evaluation of adhesives interface created by a hydrophobic adhesive to acid-etched ethanol-wet bonded dentine with simplified dehydration protocols.

    Science.gov (United States)

    Sadek, Fernanda T; Mazzoni, Annalisa; Breschi, Lorenzo; Tay, Franklin R; Braga, Roberto R

    2010-04-01

    To evaluate the efficacy of simplified dehydration protocols, in the absence of tubular occlusion, on bond strength and interfacial nanoleakage of a hydrophobic experimental adhesive blend to acid-etched, ethanol-dehydrated dentine immediately and after 6 months. Molars were randomly assigned to 6 treatment groups (n=5). Under pulpal pressure simulation, dentine crowns were acid-etched with 35% H(3)PO(4) and rinsed with water. Adper Scotchbond Multi-Purpose was used for the control group. The remaining groups had their dentine surface dehydrated with ethanol solutions: group 1=50%, 70%, 80%, 95% and 3x100%, 30s for each application; group 2 the same ethanol sequence with 15s for each solution; groups 3, 4 and 5 used 100% ethanol only, applied in seven, three or one 30s step, respectively. After dehydration, a primer (50% BisGMA+TEGDMA, 50% ethanol) was used, followed by the neat comonomer adhesive application. Resin composite build-ups were then prepared using an incremental technique. Specimens were stored for 24h, sectioned into beams and stressed to failure after 24h or after 6 months of artificial ageing. Interfacial silver leakage evaluation was performed for both storage periods (n=5 per subgroup). Group 1 showed higher bond strengths at 24h or after 6 months of ageing (45.6+/-5.9(a)/43.1+/-3.2(a)MPa) and lower silver impregnation. Bond strength results were statistically similar to control group (41.2+/-3.3(ab)/38.3+/-4.0(ab)MPa), group 2 (40.0+/-3.1(ab)/38.6+/-3.2(ab)MPa), and group 3 at 24h (35.5+/-4.3(ab)MPa). Groups 4 (34.6+/-5.7(bc)/25.9+/-4.1(c)MPa) and 5 (24.7+/-4.9(c)/18.2+/-4.2(c)MPa) resulted in lower bond strengths, extensive interfacial nanoleakage and more prominent reductions (up to 25%) in bond strengths after 6 months of ageing. Simplified dehydration protocols using one or three 100% ethanol applications should be avoided for the ethanol-wet bonding technique in the absence of tubular occlusion, as they showed decreased bond strength, more

  9. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  10. Uranium recovery from wet-process phosphoric acid

    International Nuclear Information System (INIS)

    McCullough, J.F.; Phillips, J.F. Jr.; Tate, L.R.

    1979-01-01

    A method of recovering uranium from wet-process phosphoric acid is claimed where the acid is treated with a mixture of an ammonium salt or ammonia, a reducing agent, and then a miscible solvent. Solids are separated from the phosphoric acid liquid phase. The solid consists of a mixture of metal phosphates and uranium. It is washed free of adhering phosphoric acid with fresh miscible solvent. The solid is dried and dissolved in acid whereupon uranium is recovered from the solution. Miscible solvent and water are distilled away from the phosphoric acid. The distillate is rectified and water discarded. All miscible solvent is recovered for recycle. 5 claims

  11. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  12. Ethanol wet-bonding technique sensitivity assessed by AFM.

    Science.gov (United States)

    Osorio, E; Toledano, M; Aguilera, F S; Tay, F R; Osorio, R

    2010-11-01

    In ethanol wet bonding, water is replaced by ethanol to maintain dehydrated collagen matrices in an extended state to facilitate resin infiltration. Since short ethanol dehydration protocols may be ineffective, this study tested the null hypothesis that there are no differences in ethanol dehydration protocols for maintaining the surface roughness, fibril diameter, and interfibrillar spaces of acid-etched dentin. Polished human dentin surfaces were etched with phosphoric acid and water-rinsed. Tested protocols were: (1) water-rinse (control); (2) 100% ethanol-rinse (1-min); (3) 100% ethanol-rinse (5-min); and (4) progressive ethanol replacement (50-100%). Surface roughness, fibril diameter, and interfibrillar spaces were determined with atomic force microscopy and analyzed by one-way analysis of variance and the Student-Newman-Keuls test (α = 0.05). Dentin roughness and fibril diameter significantly decreased when 100% ethanol (1-5 min) was used for rinsing (p ethanol produced collapse and shrinkage of collagen fibrils. Ascending ethanol concentrations did not collapse the matrix and shrank the fibrils less than absolute ethanol-rinses.

  13. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2014-08-01

    Full Text Available Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV exposure and backside-lift-off (BLO schemes can not only prevent the damage when etching the source/drain (S/D electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs exhibit comparable field-effect mobility (9.5 cm2/V·s, threshold voltage (3.39 V, and subthreshold swing (0.3 V/decade. The delay time of an inverter fabricated using the proposed process was considerably decreased.

  14. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme.

    Science.gov (United States)

    Fan, Ching-Lin; Shang, Ming-Chi; Li, Bo-Jyun; Lin, Yu-Zuo; Wang, Shea-Jue; Lee, Win-Der

    2014-08-11

    Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV) exposure and backside-lift-off (BLO) schemes can not only prevent the damage when etching the source/drain (S/D) electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs) exhibit comparable field-effect mobility (9.5 cm²/V·s), threshold voltage (3.39 V), and subthreshold swing (0.3 V/decade). The delay time of an inverter fabricated using the proposed process was considerably decreased.

  15. Process development of ITO source/drain electrode for the top-gate indium-gallium-zinc oxide transparent thin-film transistor

    International Nuclear Information System (INIS)

    Cheong, Woo-Seok; Yoon, Young-sun; Shin, Jae-Heon; Hwang, Chi-Sun; Chu, Hye Yong

    2009-01-01

    Indium-tin oxide (ITO) has been widely used as electrodes for LCDs and OLEDs. The applications are expanding to the transparent thin-film transistors (TTFT S ) for the versatile circuits or transparent displays. This paper is related with optimization of ITO source and drain electrode for TTFTs on glass substrates. For example, un-etched ITO remnants, which frequently found in the wet etching process, often originate from unsuitable ITO formation processes. In order to improve them, an ion beam deposition method is introduced, which uses for forming a seed layer before the main ITO deposition. We confirm that ITO films with seed layers are effective to obtain clean and smooth glass surfaces without un-etched ITO remnants, resulting in a good long-run electrical stability of the top-gate indium-gallium-zinc oxide-TTFT.

  16. Proportion quantitative analysis and etching of {110} planes on tungsten single crystal coating surface

    Energy Technology Data Exchange (ETDEWEB)

    Mu, Rende, E-mail: dallasbiam@163.com [Beijing Institute of Aeronautical Materials, Aviation Key Laboratory of Science and Technology on Advanced Corrosion and Protection for Aviation Material, Department 5, P.O. Box 81-5, Beijing 100095 (China); Tan, Chengwen; Yu, Xiaodong [School of Material Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-05-05

    Tungsten single crystal and poly crystal were treated by electrolytic etching in a 3% by weight solution of NaOH in distilled water. The method for determining the proportion of {110} planes and characteristic morphology on the coating surface after electrolytic etching were investigated using EBSD and auto-focusing microscope. Then the optimization of process parameters for electrolytic etching is achieved. In order to compare the effect of process parameters, three process parameters were selected for the tungsten single crystal electrolytic etching. Through analyzing the change of {110} planes' proportion, we found that when the coatings are etched with 1.4 amp/cm{sup 2} and 3 min, {110} planes can be exposed in the greatest degree that can reach 61.4% on tubular surfaces. The proposed approach greatly improves the proportion of {110} planes relative to the original surface. - Highlights: • Tungsten single/poly crystals treated by electrolytic etching in solution of NaOH. • The {110} planes have the lower surface free energy than {112}. • Some {112} planes etched firstly, the {110} planes exposed at last during etching. • {110} planes exposed to the greatest extent with 1.4 amp/cm{sup 2} and 3 min.

  17. Enhancement of a-IGZO TFT Device Performance Using a Clean Interface Process via Etch-Stopper Nano-layers.

    Science.gov (United States)

    Chung, Jae-Moon; Zhang, Xiaokun; Shang, Fei; Kim, Ji-Hoon; Wang, Xiao-Lin; Liu, Shuai; Yang, Baoguo; Xiang, Yong

    2018-05-29

    To overcome the technological and economic obstacles of amorphous indium-gallium-zinc-oxide (a-IGZO)-based display backplane for industrial production, a clean etch-stopper (CL-ES) process is developed to fabricate a-IGZO-based thin film transistor (TFT) with improved uniformity and reproducibility on 8.5th generation glass substrates (2200 mm × 2500 mm). Compared with a-IGZO-based TFT with back-channel-etched (BCE) structure, a newly formed ES nano-layer (~ 100 nm) and a simultaneous etching of a-IGZO nano-layer (30 nm) and source-drain electrode layer are firstly introduced to a-IGZO-based TFT device with CL-ES structure to improve the uniformity and stability of device for large-area display. The saturation electron mobility of 8.05 cm 2 /V s and the V th uniformity of 0.72 V are realized on the a-IGZO-based TFT device with CL-ES structure. In the negative bias temperature illumination stress and positive bias thermal stress reliability testing under a ± 30 V bias for 3600 s, the measured V th shift of CL-ES-structured device significantly decreased to - 0.51 and + 1.94 V, which are much lower than that of BCE-structured device (- 3.88 V, + 5.58 V). The electrical performance of the a-IGZO-based TFT device with CL-ES structure implies that the economic transfer from a silicon-based TFT process to the metal oxide semiconductor-based process for LCD fabrication is highly feasible.

  18. Anisotropic wetting properties on a precision-ground micro-V-grooved Si surface related to their micro-characterized variables

    International Nuclear Information System (INIS)

    Li, P; Xie, J; Cheng, J; Wu, K K

    2014-01-01

    Micro-characterized variables are proposed to precisely characterize a micro-V-grooved Si surface through the 3D measured topography rather than the designed one. In this study, level and gradient micro-grooved surfaces with depth of 25–80 µm were precisely and smoothly fabricated using a new micro-grinding process rather than laser machining and chemical etching. The objective is to investigate how these accurate micro-characterized variables systematically influence anisotropic wetting and droplet self-movement on such regular micro-structured surfaces without surface chemical modification. First, the anisotropic wetting, droplet sliding, pinning effect and droplet impact were experimentally investigated; then, theoretical anisotropic wetting models were constructed to predict and design the anisotropic wetting. The experiments show that the level micro-V-grooved surface produces the anisotropic wetting and pinning effects. It not only approximates superhydrophobicity but also produces high surface free energy. Moreover, the gradient micro-V-grooved surface with large pitch may lead to much easier droplet sliding than the level one along the micro-groove. The droplet self-movement trend increases with increasing the micro-groove gradient and micro-V-groove ratio. The micro-groove pitch and depth also influence the droplet impact. Theoretical analyses show that the wetting anisotropy and the droplet anisotropy both reach their largest value and disappear for a sharp micro-groove top when the micro-V-groove ratio is equal to 0.70 and 2.58, respectively, which may change the wetting between the composite state and the non-composite state. It is confirmed that the wetting behavior may be designed and predicted by the accurate micro-characterized variables of a regular micro-structured surface. (paper)

  19. Anisotropic wetting properties on a precision-ground micro-V-grooved Si surface related to their micro-characterized variables

    Science.gov (United States)

    Li, P.; Xie, J.; Cheng, J.; Wu, K. K.

    2014-07-01

    Micro-characterized variables are proposed to precisely characterize a micro-V-grooved Si surface through the 3D measured topography rather than the designed one. In this study, level and gradient micro-grooved surfaces with depth of 25-80 µm were precisely and smoothly fabricated using a new micro-grinding process rather than laser machining and chemical etching. The objective is to investigate how these accurate micro-characterized variables systematically influence anisotropic wetting and droplet self-movement on such regular micro-structured surfaces without surface chemical modification. First, the anisotropic wetting, droplet sliding, pinning effect and droplet impact were experimentally investigated; then, theoretical anisotropic wetting models were constructed to predict and design the anisotropic wetting. The experiments show that the level micro-V-grooved surface produces the anisotropic wetting and pinning effects. It not only approximates superhydrophobicity but also produces high surface free energy. Moreover, the gradient micro-V-grooved surface with large pitch may lead to much easier droplet sliding than the level one along the micro-groove. The droplet self-movement trend increases with increasing the micro-groove gradient and micro-V-groove ratio. The micro-groove pitch and depth also influence the droplet impact. Theoretical analyses show that the wetting anisotropy and the droplet anisotropy both reach their largest value and disappear for a sharp micro-groove top when the micro-V-groove ratio is equal to 0.70 and 2.58, respectively, which may change the wetting between the composite state and the non-composite state. It is confirmed that the wetting behavior may be designed and predicted by the accurate micro-characterized variables of a regular micro-structured surface.

  20. Consideration of correlativity between litho and etching shape

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2012-03-01

    We developed an effective method for evaluating the correlation of shape of Litho and Etching pattern. The purpose of this method, makes the relations of the shape after that is the etching pattern an index in wafer same as a pattern shape on wafer made by a lithography process. Therefore, this method measures the characteristic of the shape of the wafer pattern by the lithography process and can predict the hotspot pattern shape by the etching process. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used wafer CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and lithography management, and this has a big impact on the semiconductor market that centers on the semiconductor business. 2-dimensional shape of wafer quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. In this study, we conducted experiments for correlation method of the pattern (Measurement Based Contouring) as two-dimensional litho and etch evaluation technique. That is, observation of the identical position of a litho and etch was considered. It is possible to analyze variability of the edge of the same position with high precision.

  1. Thinning of N-face GaN (0001) samples by inductively coupled plasma etching and chemomechanical polishing

    International Nuclear Information System (INIS)

    Rizzi, F.; Gu, E.; Dawson, M. D.; Watson, I. M.; Martin, R. W.; Kang, X. N.; Zhang, G. Y.

    2007-01-01

    The processing of N-polar GaN (0001) samples has been studied, motivated by applications in which extensive back side thinning of freestanding GaN (FS-GaN) substrates is required. Experiments were conducted on FS-GaN from two commercial sources, in addition to epitaxial GaN with the N-face exposed by a laser lift-off process. The different types of samples produced equivalent results. Surface morphologies were examined over relatively large areas, using scanning electron microscopy and stylus profiling. The main focus of this study was on inductively coupled plasma (ICP) etch processes, employing Cl 2 /Ar or Cl 2 /BCl 3 Ar gas mixtures. Application of a standard etch recipe, optimized for feature etching of Ga-polar GaN (0001) surfaces, caused severe roughening of N-polar samples and confirmed the necessity for specific optimization of etch conditions for N-face material. A series of recipes with a reduced physical (sputter-based) contribution to etching allowed average surface roughness values to be consistently reduced to below 3 nm. Maximum N-face etch rates of 370-390 nm/min have been obtained in recipes examined to date. These are typically faster than etch rates obtained on Ga-face samples under the same conditions and adequate for the process flows of interest. Mechanistic aspects of the ICP etch process and possible factors contributing to residual surface roughness are discussed. This study also included work on chemomechanical polishing (CMP). The optimized CMP process had stock removal rates of ∼500 nm/h on the GaN N face. This was much slower than the ICP etching but showed the important capability of recovering smooth surfaces on samples roughened in previous processing. In one example, a surface roughened by nonoptimized ICP etching was smoothed to give an average surface roughness of ∼2 nm

  2. ナノスケール機械加工と化学エッチングを併用した3次元極微細構造形成 : 第5報,マスク層の加工条件依存性(機械要素,潤滑,工作,生産管理など)

    OpenAIRE

    川堰, 宣隆; 森田, 昇; 山田, 茂; 高野, 登; 大山, 達雄; 芦田, 極

    2005-01-01

    This study is intended to fabricate 3D microstructures on single crystal silicon by tribo-nanolithography(TNL) and wet chemical etching. In previous report, it could be known that height of microstructure fabricated by the TNL and subsequent wet chemical etching can be controlled by adjusting the TNL conditions such as normal load, pitch of processing line and number of processing. This paper reports an etch result by HF solution in order to evaluate the mechanism of height change with the TN...

  3. Optical and structural properties of porous zinc oxide fabricated via electrochemical etching method

    International Nuclear Information System (INIS)

    Ching, C.G.; Lee, S.C.; Ooi, P.K.; Ng, S.S.; Hassan, Z.; Hassan, H. Abu; Abdullah, M.J.

    2013-01-01

    Highlights: • Hillock like porous structure zinc oxide was obtained via electrochemical etching. • Anisotropic dominance etching process by KOH etchant. • Reststrahlen features are sensitive to multilayer porous structure. • Determination of porosity from IR reflectance spectrum. -- Abstract: We investigated the optical and structural properties of porous zinc oxide (ZnO) thin film fabricated by ultraviolet light-assisted electrochemical etching. This fabrication process used 10 wt% potassium hydroxide solution as an electrolyte. Hillock-like porous ZnO films were successfully fabricated according to the field emission scanning electron microscopy results. The cross-sectional study of the sample indicated that anisotropic-dominated etching process occurred. However, the atomic force microscopic results showed an increase in surface roughness of the sample after electrochemical etching. A resonance hump induced by the porous structure was observed in the infrared reflectance spectrum. Using theoretical modeling technique, ZnO porosification was verified, and the porosity of the sample was determined

  4. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  5. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  6. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  7. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  8. The Role of Ge Wetting Layer and Ge Islands in Si MSM Photodetectors

    International Nuclear Information System (INIS)

    Mahmodi, H.; Hashim, M. R.

    2010-01-01

    In this work, Ge thin films were deposited on silicon substrates by radio frequency magnetron sputtering to form Ge islands from Ge layer on Si substrate during post-growth rapid thermal annealing (RTA). The size of the islands decreases from 0.6 to 0.1 as the rapid thermal annealing time increases from 30 s to 60 s at 900 deg. C. Not only that the annealing produces Ge islands but also wetting layer. Energy Dispersive X-ray Spectroscopy (EDX) and Scanning Electron Microscopy (SEM) were employed for structural analysis of Ge islands. Metal-Semiconductor-Metal photodetectors (MSM PDs) were fabricated on Ge islands (and wetting layer)/Si. The Ge islands and wetting layer between the contacts of the fabricated devices are etched in order to see their effects on the device. The performance of the Ge islands MSM-PD was evaluated by dark and photo current-voltage (I-V) measurements at room temperature (RT). It was found that the device with island and wetting layer significantly enhance the current gain (ratio of photo current to dark current) of the device.

  9. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  10. Study of Gallium Arsenide Etching in a DC Discharge in Low-Pressure HCl-Containing Mixtures

    Science.gov (United States)

    Dunaev, A. V.; Murin, D. B.

    2018-04-01

    Halogen-containing plasmas are often used to form topological structures on semiconductor surfaces; therefore, spectral monitoring of the etching process is an important diagnostic tool in modern electronics. In this work, the emission spectra of gas discharges in mixtures of hydrogen chloride with argon, chlorine, and hydrogen in the presence of a semiconducting gallium arsenide plate were studied. Spectral lines and bands of the GaAs etching products appropriate for monitoring the etching rate were determined. It is shown that the emission intensity of the etching products is proportional to the GaAs etching rate in plasmas of HCl mixtures with Ar and Cl2, which makes it possible to monitor the etching process in real time by means of spectral methods.

  11. XAFS studies of monodisperse Au nanoclusters formation in the etching process

    International Nuclear Information System (INIS)

    Yang, Lina; Huang, Ting; Liu, Wei; Bao, Jie; Huang, Yuanyuan; Cao, Yuanjie; Yao, Tao; Sun, Zhihu; Wei, Shiqiang

    2016-01-01

    Understanding the formation mechanism of gold nanoclusters is essential to the development of their synthetic chemistry. Here, by using x-ray absorption fine-structure (XAFS) spectroscopy, UV-Vis and MS spectra, the formation process of monodisperse Au 13 nanoclusters is investigated. We find that a critical step involving the formation of smaller Au 8 -Au 11 metastable intermediate clusters induced by the HCl + HSR etching of the polydisperse Au n precursor clusters occurs firstly. Then these intermediate species undergo a size-growth to Au 13 cores, followed by a slow structure rearrangement to reach the final stable structure. This work enriches the understanding of cluster formation chemistry and may guide the way towards the design and the controllable synthesis of nanoclusters. (paper)

  12. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  13. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  14. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  15. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  16. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  17. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  18. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  19. Study of etching processes in the GEM detectors

    CERN Document Server

    Zavazieva, Darina

    2016-01-01

    Gaseous Electron Multiplier (GEM) detectors are known to operate stably at high gains and high particle fluxes. Though, at very high gains and fluxes it was observed that the insulating polyimide layer between the GEM electrodes gets etched, changing the original shape of the hole, and therefore varying the gain and the energy resolution of the detector. The idea of the project to observe degradation effect of the GEM foils during the Triple GEM detector operation in extreme conditions under X-ray radiation.

  20. Novel back-channel-etch process flow based a-IGZO TFTs for circuit and display applications on PEN foil

    NARCIS (Netherlands)

    Nag, M.; Rockele, M.; Steudel, S.; Chasin, A.; Myny, K.; Bhoolokam, A.; Willegems, M.; Smout, S.; Vicca, P.; Ameys, M.; Ke, T.H.; Schols, S.; Genoe, J.; Steen, J.L. P.J. van der; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this study, we report high-quality amorphous indiunrv-galiium-zinc-oxide (a-IGZO) thinfilm transistors (TFTs) fabricated on a polyethylene naphthalate foil using a new back-channel-etch (BCE) process flow. The BCE flow allows a better scalability of TFTs for high-resolution backplanes and related

  1. Value-added and Supporting - Inhibiting Factors for the Wet Processing of Coffee

    OpenAIRE

    Hariyati, Yuli

    2014-01-01

    Coffee is one of the annual crops which are widely favored by coffee enjoyers. SidomulyoVillage is one of the fourth largest coffee producing villages in District of Silo with a land area of 180 ha in 2009. Coffee experiences a process of harvest and post harvest; one of the activities of post-harvest is coffee processing. Coffee processing is divided into two; wet processing and dry processing. The majority of farmers in SidomulyoVillage do dry processing; about 75% of farmers do dry process...

  2. COMPOSITE RESIN BOND STRENGTH TO ETCHED DENTINWITH ONE SELF PRIMING ADHESIVE

    Directory of Open Access Journals (Sweden)

    P SAMIMI

    2002-09-01

    Full Text Available Introduction. The purpose of this study was to compare shear bond strength of composite resins to etched dentin in both dry and wet dentin surface with active and inactive application of a single-bottle adhesive resin (Single Bond, 3M Dental products. Methods. Fourthy four intact human extracted molars and premolars teeth were selected. The facial surfaces of the teeth were grounded with diamond bur to expose dentin. Then specimens were divided into four groups of 11 numbers (9 Molars and 2 Premolars. All the samples were etched with Phosphoric Acid Gel 35% and then rinsed for 10 seconds. The following stages were carried out for each group: Group I (Active-Dry: After rinsing, air drying of dentin surface for 15 seconds, active priming of adhesive resin for 15 seconds, air drying for 5 seconds, the adhesive resin layer was light cured for 10 seconds. Group III (Inactive-Dry:After rinsing, air drying of dentin surface for 15 seconds, adhesive resin was applied and air dryied for 5 seconds, the adhesive layer was light cured for 10 seconds. Group III (Active-Wet:After rinsing, removal of excess water of dentin surface with a cotton roll, active priming of adhesive resin for 15 seconds and air drying for 5 seconds, the adhesive layer was light cured for 10 seconds. Group IV (Inactive-Wet:After rinsing, removal of excess water of dentin surface with a cotton roll, the adhesive resin was applied and air dryied for 5 seconds and then cured for 10 seconds. After adhesive resin application, composite resin (Z250, 3M Dental products was applied on prepared surface with cylindrical molds (with internal diameter of 2.8mm, & height of 5mm and light-cured for 100 seconds (5x20s. The samples were then thermocycled. They were located in 6±3c water .temperature for 10 seconds and then 15 seconds in inviromental temperature, 10s in 55±3c water temperature and then were located at room temperature for 15s. This test was repeated for 100s. All of the specimens

  3. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  4. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching

    International Nuclear Information System (INIS)

    Choi, J H; Bano, E; Latu-Romain, L; Dhalluin, F; Chevolleau, T; Baron, T

    2012-01-01

    In this paper, we demonstrate a top-down fabrication technique for nanometre scale silicon carbide (SiC) pillars using inductively coupled plasma etching. A set of experiments in SF 6 -based plasma was carried out in order to realize high aspect ratio SiC nanopillars. The etched SiC nanopillars using a small circular mask pattern (115 nm diameter) show high aspect ratio (7.4) with a height of 2.2 µm at an optimum bias voltage (300 V) and pressure (6 mTorr). Under the optimal etching conditions using a large circular mask pattern with 370 nm diameter, the obtained SiC nanopillars exhibit high anisotropy features (6.4) with a large etch depth (>7 µm). The etch characteristic of the SiC nanopillars under these conditions shows a high etch rate (550 nm min -1 ) and a high selectivity (over 60 for Ni). We also studied the etch profile of the SiC nanopillars and mask evolution over the etching time. As the mask pattern size shrinks in nanoscale, vertical and lateral mask erosion plays a crucial role in the etch profile of the SiC nanopillars. Long etching process makes the pillars appear with a hexagonal shape, coming from the crystallographic structure of α-SiC. It is found that the feature of pillars depends not only on the etching process parameters, but also on the crystallographic structure of the SiC phase. (paper)

  5. Modeling and optimization of wet sizing process

    International Nuclear Information System (INIS)

    Thai Ba Cau; Vu Thanh Quang and Nguyen Ba Tien

    2004-01-01

    Mathematical simulation on basis of Stock law has been done for wet sizing process on cylinder equipment of laboratory and semi-industrial scale. The model consists of mathematical equations describing relations between variables, such as: - Resident time distribution function of emulsion particles in the separating zone of the equipment depending on flow-rate, height, diameter and structure of the equipment. - Size-distribution function in the fine and coarse parts depending on resident time distribution function of emulsion particles, characteristics of the material being processed, such as specific density, shapes, and characteristics of the environment of classification, such as specific density, viscosity. - Experimental model was developed on data collected from an experimental cylindrical equipment with diameter x height of sedimentation chamber equal to 50 x 40 cm for an emulsion of zirconium silicate in water. - Using this experimental model allows to determine optimal flow-rate in order to obtain product with desired grain size in term of average size or size distribution function. (author)

  6. Wet steam wetness measurement in a 10 MW steam turbine

    Directory of Open Access Journals (Sweden)

    Kolovratník Michal

    2014-03-01

    Full Text Available The aim of this paper is to introduce a new design of the extinction probes developed for wet steam wetness measurement in steam turbines. This new generation of small sized extinction probes was developed at CTU in Prague. A data processing technique is presented together with yielded examples of the wetness distribution along the last blade of a 10MW steam turbine. The experimental measurement was done in cooperation with Doosan Škoda Power s.r.o.

  7. Etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon

    International Nuclear Information System (INIS)

    Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R.; Acero, M.C. Esteve, J.; Montserrat, J.; El-Hassani, A.

    1996-01-01

    In this work the etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon is studied as a function of the processing parameters, the implantation dose and temperature, and the presence of capping layers during implantation. Etching characteristics have been probed using tetramethylammonium hydroxide or KOH solutions for different times up to 6 h. Results show that, after annealing, the minimum dose required for the formation of an efficient etch-stop layer is about 4 x 10 17 cm -2 , for an implantation energy of 75 keV. This is defined as a layer with an efficient etch selectivity in relation to Si of s ≥ 100. For larger implantation doses efficient etch selectivities larger than 100 are obtained. However, for these doses a considerable density of pits is observed in the etch-stop layer. These are related to the presence of nitrogen poor Si regions in the buried layer after annealing, due to a partial separation of silicon and silicon nitride phases during the annealing process. The influence of this separation of phases as well as nitrogen gettering in the buried layer on the etch-stop behavior is discussed as a function of the processing parameters

  8. A metallic buried interconnect process for through-wafer interconnection

    International Nuclear Information System (INIS)

    Ji, Chang-Hyeon; Herrault, Florian; Allen, Mark G

    2008-01-01

    In this paper, we present the design, fabrication process and experimental results of electroplated metal interconnects buried at the bottom of deep silicon trenches with vertical sidewalls. A manual spray-coating process along with a unique trench-formation process has been developed for the electroplating of a metal interconnection structure at the bottom surface of the deep trenches. The silicon etch process combines the isotropic dry etch process and conventional Bosch process to fabricate a deep trench with angled top-side edges and vertical sidewalls. The resulting trench structure, in contrast to the trenches fabricated by wet anisotropic etching, enables spray-coated photoresist patterning with good sidewall and top-side edge coverage while maintaining the ability to form a high-density array of deep trenches without excessive widening of the trench opening. A photoresist spray-coating process was developed and optimized for the formation of electroplating mold at the bottom of 300 µm deep trenches having vertical sidewalls. A diluted positive tone photoresist with relatively high solid content and multiple coating with baking between coating steps has been experimentally proven to provide high quality sidewall and edge coverage. To validate the buried interconnect approach, a three-dimensional daisy chain structure having a buried interconnect as the bottom connector and traces on the wafer surface as the top conductor has been designed and fabricated

  9. Influence of etching process parameters on the antireflection property of Si SWSs by thermally dewetted Ag and Ag/SiO{sub 2} nanopatterns

    Energy Technology Data Exchange (ETDEWEB)

    Leem, Jung Woo; Yu, Jae Su [Department of Electronics and Radio Engineering, Kyung Hee University, 446-701 Yongin (Korea, Republic of); Song, Young Min; Lee, Yong Tak [Department of Information and Communications, Gwangju Institute of Science and Technology, 500-712 Gwangju (Korea, Republic of)

    2011-08-15

    The etching parameter dependent antireflection characteristics of disordered Si subwavelength structures (SWSs) by inductively coupled plasma (ICP) etching in a mixture gas of SiCl{sub 4}/Ar using thermally dewetted Ag and Ag/SiO{sub 2} nanopatterns are investigated. The average size and period of Si SWSs are closely correlated with thermal dewetting conditions. For desirable Ag nanoparticle patterns, the profile of Si SWSs is optimized by changing the ICP etching process parameters to obtain the lowest reflectance spectrum. The most tapered SWS with the highest height leads to a relatively low reflectance. The Ag nanopatterns result in more tapered and rough surface SWSs compared to the Ag/SiO{sub 2} nanopatterns, indicating a slightly reduced reflectance. The Si SWS etched using Ag nanopatterns by SiCl{sub 4}/Ar of 5 sccm/10 sccm at 50 W RF power, 200 W ICP power, and 10 mTorr process pressure exhibits a very low total reflectance of <{proportional_to}2.4% in the wavelength range of 400-1000 nm, maintaining a specular reflectance of <16% at 350-1100 nm up to the incident angle of {theta}{sub i} = 50 . (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Optimization of permanganic etching of polyethylenes for scanning electron microscopy

    International Nuclear Information System (INIS)

    Naylor, K.L.; Phillips, P.J.

    1983-01-01

    The permanganic etching technique has been studied as a function of time, temperature, and concentration for a series of polyethylenes. Kinetic studies show that a film of reaction products builds up on the surface, impeding further etching, an effect which is greatest for the lowest-crystallinity polymers. SEM studies combined with EDS show that the film contains sulfur, potassium and some manganese. An artifact is produced by the etching process which is impossible to remove by washing procedures if certain limits of time, temperature, and concentration are exceeded. For lower-crystallinity polyethylenes multiple etching and washing steps were required for optimal resolution. Plastic deformation during specimen preparation, whether from scratches or freeze fracturing, enhances artifact formation. When appropriate procedures are used, virtually artifact-free surfaces can be produced allowing a combination of permanganic etching and scanning electron microscopy to give a rapid method for detailed morphological characterization of bulk specimens

  11. High rate dry etching of InGaZnO by BCl3/O2 plasma

    Science.gov (United States)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  12. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  13. Electroless porous silicon formation applied to fabrication of boron-silica-glass cantilevers

    DEFF Research Database (Denmark)

    Teva, Jordi; Davis, Zachary James; Hansen, Ole

    2010-01-01

    This work describes the characterization and optimization of anisotropic formation of porous silicon in large volumes (0.5-1 mm3) of silicon by an electroless wet etching technique. The main goal is to use porous silicon as a sacrificial volume for bulk micromachining processes, especially in cases...... where etching of the full wafer thickness is needed. The porous silicon volume is formed by a metal-assisted etching in a wet chemical solution composed of hydrogen peroxide (30%), hydrofluoric acid (40%) and ethanol. This paper focuses on optimizing the etching conditions in terms of maximizing...... for bio-chemical sensors. The porous silicon volume is formed in an early step of the fabrication process, allowing easy handling of the wafer during all of the micromachining processes in the process flow. In the final process step, the porous silicon is quickly etched by immersing the wafer in a KOH...

  14. Finite element simulation of dynamic wetting flows as an interface formation process

    KAUST Repository

    Sprittles, J.E.; Shikhmurzaev, Y.D.

    2013-01-01

    A mathematically challenging model of dynamic wetting as a process of interface formation has been, for the first time, fully incorporated into a numerical code based on the finite element method and applied, as a test case, to the problem

  15. ABB wet flue gas desulfurization

    Energy Technology Data Exchange (ETDEWEB)

    Niijhawan, P.

    1994-12-31

    The wet limestone process for flue gas desulfurization (FGD) is outlined. The following topics are discussed: wet flue gas desulfurization, wet FGD characteristics, wet scrubbers, ABB wet FGD experience, wet FGD forced oxidation, advanced limestone FGD systems, key design elements, open spray tower design, spray tower vs. packed tower, important performance parameters, SO{sub 2} removal efficiency, influence by L/G, limestone utilization, wet FGD commercial database, particulate removal efficiencies, materials of construction, nozzle layout, spray nozzles, recycle pumps, mist elimination, horizontal flow demister, mist eliminator washing, reagent preparation system, spray tower FGDS power consumption, flue gas reheat options, byproduct conditioning system, and wet limestone system.

  16. Fabrication of Light Extraction Efficiency of Organic Light-Emitting Diodes with 3D Aspherical Microlens by Using Dry Etching Process

    Directory of Open Access Journals (Sweden)

    Y. C. Chen

    2013-01-01

    Full Text Available organic light-emitting diode (OLED can enable a greater artificial contrast ratio and viewing angle compared to liquid crystal display (LCD because OLED pixels directly emit light. There is a shortcoming that the internal quantum efficiency can reach values close to 100%, but about 80% light disperses because of the difference among the refractive indices of the substrate, anode, indium tin oxide (ITO film, and air. In this paper, three dimensions aspherical microlens arrays (3D A-MLAs with substrate modifications are developed to simulate the optical luminous field by using FRED software. This study modified parameters of 3D A-MLAs such as the diameter, fill-factor, aspect ratio, dry etching parameters, and electroforming rates of microlens to improve the extraction efficiency of the OLED. In dry etching, not only the aspect ratio with better extraction rate can be obtained by reactive ion etching (RIE dry etching, but also an undercutting phenomenon can be avoided. The dimensions of 3D A-MLAs can be accurately controlled in the electroforming process used to make a nickel-cobalt (Ni-Co metal mold to achieve the designed dimensions. According to the measured results, the average luminance efficacy of the OLEDs with 3D A-MLAs can be enhanced.

  17. Low-frequency magnetization processes in chemically etched Co-based amorphous ribbons

    International Nuclear Information System (INIS)

    Betancourt, I.; Martinez, L.A.; Valenzuela, R.

    2005-01-01

    In this report we present a study of the magnetization processes for Co-based amorphous ribbons at low frequencies (10 Hz-13 MHz) as a function of decreasing thicknesses attained by chemical etching. Reversible domain-wall bulging, characterized by initial permeability and relaxation frequency, was monitored by means of inductance measurements. The real part of inductance (proportional to initial permeability) exhibited a decreasing trend with diminishing ribbon thickness, together with an increasing tendency for the relaxation frequency. For high amplitude of the ac field (leading to domain-wall unpinning), reduced ribbon thickness showed a deleterious-enhancement effect on irreversible domain-wall displacement, which was observed for both real and imaginary inductance spectroscopic plots. Results are interpreted in terms of reduced domain-wall pinning distances resulting from thinner alloy samples

  18. High temperature reactive ion etching of iridium thin films with aluminum mask in CF4/O2/Ar plasma

    Directory of Open Access Journals (Sweden)

    Chia-Pin Yeh

    2016-08-01

    Full Text Available Reactive ion etching (RIE technology for iridium with CF4/O2/Ar gas mixtures and aluminum mask at high temperatures up to 350 °C was developed. The influence of various process parameters such as gas mixing ratio and substrate temperature on the etch rate was studied in order to find optimal process conditions. The surface of the samples after etching was found to be clean under SEM inspection. It was also shown that the etch rate of iridium could be enhanced at higher process temperature and, at the same time, very high etching selectivity between aluminum etching mask and iridium could be achieved.

  19. Multivariate statistical modelling of the pharmaceutical process of wet granulation and tableting

    NARCIS (Netherlands)

    Westerhuis, Johannes Arnold

    1997-01-01

    Wet granulation in high-shear mixers is a process of particle size enlargement much used in the pharmaceutical industry to improve the tableting properties of powder mixtures, such as flowability and compactibility, necessary for the large scale production of pharmaceutical talbets. ... Zie: Summary

  20. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  1. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  2. The role of Triton surfactant in anisotropic etching of {1 1 0} reflective planes on (1 0 0) silicon

    Science.gov (United States)

    Resnik, Drago; Vrtacnik, Danilo; Aljancic, Uros; Mozek, Matej; Amon, Slavko

    2005-06-01

    Etching characteristics and properties of {1 1 0} silicon crystal planes used as 45° optical mirrors for deflecting optical beams from/to optical fibers were investigated. Fiber aligning grooves and passive mirror-like planes were realized by wet micromachining of (1 0 0) silicon in KOH IPA and TMAH IPA systems. Implementation of Triton-x-100 surfactant as an additive to 25% TMAH in anisotropic etching of {1 1 0} silicon passive mirror planes is reported and discussed. It was found that Triton-x-100 contents in the range of 10 200 ppm to the 25% TMAH water etchant significantly increase the anisotropy mostly by decreasing the {1 1 0} etch rate and retaining the {1 0 0} etch rate. It is also shown that {1 1 0} surface roughness is substantially improved compared to two other etching systems. Furthermore, efficient convex corner underetching reduction is demonstrated. The results of optical characterization of passive mirrors with 632 nm incident light show reduced scattering of reflected optical beam due to improved microroughness for mirrors made by TMAH Triton. For the reflection of the optical beam with 1.33 µm and 1.54 µm wavelengths, sputtered layer of gold is used as reflective coating on silicon mirrors thus increasing the reflected optical beam intensity by an additional 8%.

  3. Low surface damage dry etched black silicon

    Science.gov (United States)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt; Lindhard, Jonas Michael; Hirsch, Jens; Lausch, Dominik; Schmidt, Michael Stenbæk; Stamate, Eugen; Hansen, Ole

    2017-10-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface damage that causes significant recombination. Here, we present a process optimization strategy for bSi, where surface damage is reduced and surface passivation is improved while excellent light trapping and low reflectance are maintained. We demonstrate that reduction of the capacitively coupled plasma power, during reactive ion etching at non-cryogenic temperature (-20 °C), preserves the reflectivity below 1% and improves the effective minority carrier lifetime due to reduced ion energy. We investigate the effect of the etching process on the surface morphology, light trapping, reflectance, transmittance, and effective lifetime of bSi. Additional surface passivation using atomic layer deposition of Al2O3 significantly improves the effective lifetime. For n-type wafers, the lifetime reaches 12 ms for polished and 7.5 ms for bSi surfaces. For p-type wafers, the lifetime reaches 800 μs for both polished and bSi surfaces.

  4. Enhanced Control of Mercury and other HAPs by Innovative Modifications to Wet FGD Processes

    International Nuclear Information System (INIS)

    Hargrove, O.W.; Carey, T.R.; Richardson, C.F.; Skarupa, R.C.; Meserole, F.B.; Rhudy, R.G.; Brown, Thomas D.

    1997-01-01

    The overall objective of this project was to learn more about controlling emissions of hazardous air pollutants (HAPs) from coal-fired power plants that are equipped with wet flue gas desulfurization (FGD) systems. The project was included by FETC as a Phase I project in its Mega-PRDA program. Phase I of this project focused on three research areas. These areas in order of priority were: (1) Catalytic oxidation of vapor-phase elemental mercury; (2) Enhanced particulate-phase HAPs removal by electrostatic charging of liquid droplets; and (3) Enhanced mercury removal by addition of additives to FGD process liquor. Mercury can exist in two forms in utility flue gas--as elemental mercury and as oxidized mercury (predominant form believed to be HgCl 2 ). Previous test results have shown that wet scrubbers effectively remove the oxidized mercury from the gas but are ineffective in removing elemental mercury. Recent improvements in mercury speciation techniques confirm this finding. Catalytic oxidation of vapor-phase elemental mercury is of interest in cases where a wet scrubber exists or is planned for SO 2 control. If a loW--cost process could be developed to oxidize all of the elemental mercury in the flue gas, then the maximum achievable mercury removal across the existing or planned wet scrubber would increase. Other approaches for improving control of HAPs included a method for improving particulate removal across the FGD process and the use of additives to increase mercury solubility. This paper discusses results related only to catalytic oxidation of elemental mercury

  5. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  6. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Energy Technology Data Exchange (ETDEWEB)

    Li, Kunquan, E-mail: likunquan1987@gmail.com; Zeng, Xingrong, E-mail: psxrzeng@gmail.com; Li, Hongqiang, E-mail: hqli1979@gmail.com; Lai, Xuejun, E-mail: msxjlai@scut.edu.cn

    2015-08-15

    Graphical abstract: - Highlights: • Superhydrophobic iron surfaces were prepared by etching and replacement method. • The fabrication process was simple, time-saving and inexpensive. • Galvanic replacement method was more favorable to create roughness on iron surface. • The superhydrophobic iron surface showed excellent anti-icing properties. - Abstract: Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO{sub 3}) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO{sub 3} concentration had little effect on the wetting behavior, but a high AgNO{sub 3} concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for

  7. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  8. Etching of GaAs substrates to create As-rich surface

    Indian Academy of Sciences (India)

    WINTEC

    during the manipulations of the substrate after the chemi- cal etching process. ... using the four techniques described in table 1 and for an. *Author for ... Etching of GaAs substrates to create As-rich surface. 563. Table 1. Treatment procedures used. Treatment. Techniques. 1st stage. 2nd stage. 3rd stage. 4th stage. 1. Treated ...

  9. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Lee, Gyeo-Re; Lee, Jin-Kwan; Moon, Sang Heup; Kim, Chang-Koo

    2004-01-01

    The dependences of etch rates on the angle of ions incident on the substrate surface in four plasma/substrate systems that constitute the advanced Bosch process were investigated using a Faraday cage designed for the accurate control of the ion-incident angle. The four systems, established by combining discharge gases and substrates, were a SF 6 /poly-Si, a SF 6 /fluorocarbon polymer, an O 2 /fluorocarbon polymer, and a C 4 F 8 /Si. In the case of SF 6 /poly-Si, the normalized etch rates (NERs), defined as the etch rates normalized by the rate on the horizontal surface, were higher at all angles than values predicted from the cosine of the ion-incident angle. This characteristic curve shape was independent of changes in process variables including the source power and bias voltage. Contrary to the earlier case, the NERs for the O 2 /polymer decreased and eventually reached much lower values than the cosine values at angles between 30 deg. and 70 deg. when the source power was increased and the bias voltage was decreased. On the other hand, the NERs for the SF 6 /polymer showed a weak dependence on the process variables. In the case of C 4 F 8 /Si, which is used in the Bosch process for depositing a fluorocarbon layer on the substrate surface, the deposition rate varied with the ion incident angle, showing an S-shaped curve. These characteristic deposition rate curves, which were highly dependent on the process conditions, could be divided into four distinct regions: a Si sputtering region, an ion-suppressed polymer deposition region, an ion-enhanced polymer deposition region, and an ion-free polymer deposition region. Based on the earlier characteristic angular dependences of the etch (or deposition) rates in the individual systems, ideal process conditions for obtaining an anisotropic etch profile in the advanced Bosch process are proposed

  10. Municipal solid waste processing and separation employing wet torrefaction for alternative fuel production and aluminum reclamation.

    Science.gov (United States)

    Mu'min, Gea Fardias; Prawisudha, Pandji; Zaini, Ilman Nuran; Aziz, Muhammad; Pasek, Ari Darmawan

    2017-09-01

    This study employs wet torrefaction process (also known as hydrothermal) at low temperature. This process simultaneously acts as waste processing and separation of mixed waste, for subsequent utilization as an alternative fuel. The process is also applied for the delamination and separation of non-recyclable laminated aluminum waste into separable aluminum and plastic. A 2.5-L reactor was used to examine the wet torrefaction process at temperatures below 200°C. It was observed that the processed mixed waste was converted into two different products: a mushy organic part and a bulky plastic part. Using mechanical separation, the two products can be separated into a granular organic product and a plastic bulk for further treatment. TGA analysis showed that no changes in the plastic composition and no intrusion from plastic fraction to the organic fraction. It can be proclaimed that both fractions have been completely separated by wet torrefaction. The separated plastic fraction product obtained from the wet torrefaction treatment also contained relatively high calorific value (approximately 44MJ/kg), therefore, justifying its use as an alternative fuel. The non-recyclable plastic fraction of laminated aluminum was observed to be delaminated and separated from its aluminum counterpart at a temperature of 170°C using an additional acetic acid concentration of 3%, leaving less than 25% of the plastic content in the aluminum part. Plastic products from both samples had high calorific values of more than 30MJ/kg, which is sufficient to be converted and used as a fuel. Copyright © 2017 Elsevier Ltd. All rights reserved.

  11. Multiplexed FBG and etched fiber sensors for process and health monitoring of 2-&3-D RTM components

    OpenAIRE

    Keulen, Casey J.; Yıldız, Mehmet; Yildiz, Mehmet; Suleman, Afzal

    2011-01-01

    This paper presents research being conducted on the use of a combination of fiber optic sensors for process and health monitoring of resin transfer molded (RTM) composite structures. A laboratory scale RTM apparatus has been designed and built with the capability of visually monitoring the resin filling process and embedding fiber optic sensors into the composite. Fiber Bragg gratings (FBG) and etched fiber sensors (EFS) have been multiplexed and embedded in quasi-2-D panels and 3-D hollow se...

  12. Development of chemical and biological processes for production of bioethanol. Optimization of the wet oxidation process and characterization of products

    Energy Technology Data Exchange (ETDEWEB)

    Bjerre, A B; Skammelsen Schmidt, A

    1997-02-01

    The combination of the wet oxidation pretreatment process and alkaline hydrolysis was investigated in order to efficiently solubilize the hemicellulose, degrade the lignin, and open the solid crystalline cellulose structure of wheat straw lignocellulose without generating fermentation inhibitors. The effects of temperature, oxygen pressure, reaction time, and concentration of straw were evaluated. The degree of lignin degradation and hemicellulose solubilization increased with the reaction temperature and time. The optimum conditions were 15 minutes at 185 deg. C, producing 9.8 g/L hemicellulose. For quantification of the solubilized hemicellulose the best overall acid hydrolysis was obtained by treatment with 4 %w/v sulfuric acid for 10 minutes. The Aminex HPX-87H column was less sensitive towards impurities than the Aminex HPX-87P column. HPX-87H gave improved recovery and reproducibility, and was chosen for routine quantification of hydrolyzed hemicellulose sugars. The purity of the solid cellulose fraction also improved with higher temperature. The optimum condition for obtaining enzymatic convertible cellulose (90%) was 10 minutes at 170 deg. C using a high carbonate concentration. The hemicellulose yield and recovery were significantly reduced under these conditions indicating that a simultaneous optimal utilization of the hemicellulose and cellulose was difficult. The oxygen pressure and sodium carbonate concentration had little effect on the solubilization of hemicellulose, however, by combining wet oxidation with alkaline hydrolysis the formation of 2-furfural, a known microbial inhibitor, was minimal. Much more hemicellulose and lignin were solubilized from the straw by wet oxidation than by steaming(an alternative process). More cellulose was solubilized (and degraded) by steaming than by wet oxidation. Overall carbohydrates `losses` of 20.1% for steaming and 16.2% for wet oxidation were found. More 2-furfural was formed by steaming than by wet oxidation.

  13. Development of chemical and biological processes for production of bioethanol. Optimization of the wet oxidation process and characterization of products

    International Nuclear Information System (INIS)

    Bjerre, A.B.; Skammelsen Schmidt, A.

    1997-02-01

    The combination of the wet oxidation pretreatment process and alkaline hydrolysis was investigated in order to efficiently solubilize the hemicellulose, degrade the lignin, and open the solid crystalline cellulose structure of wheat straw lignocellulose without generating fermentation inhibitors. The effects of temperature, oxygen pressure, reaction time, and concentration of straw were evaluated. The degree of lignin degradation and hemicellulose solubilization increased with the reaction temperature and time. The optimum conditions were 15 minutes at 185 deg. C, producing 9.8 g/L hemicellulose. For quantification of the solubilized hemicellulose the best overall acid hydrolysis was obtained by treatment with 4 %w/v sulfuric acid for 10 minutes. The Aminex HPX-87H column was less sensitive towards impurities than the Aminex HPX-87P column. HPX-87H gave improved recovery and reproducibility, and was chosen for routine quantification of hydrolyzed hemicellulose sugars. The purity of the solid cellulose fraction also improved with higher temperature. The optimum condition for obtaining enzymatic convertible cellulose (90%) was 10 minutes at 170 deg. C using a high carbonate concentration. The hemicellulose yield and recovery were significantly reduced under these conditions indicating that a simultaneous optimal utilization of the hemicellulose and cellulose was difficult. The oxygen pressure and sodium carbonate concentration had little effect on the solubilization of hemicellulose, however, by combining wet oxidation with alkaline hydrolysis the formation of 2-furfural, a known microbial inhibitor, was minimal. Much more hemicellulose and lignin were solubilized from the straw by wet oxidation than by steaming(an alternative process). More cellulose was solubilized (and degraded) by steaming than by wet oxidation. Overall carbohydrates 'losses' of 20.1% for steaming and 16.2% for wet oxidation were found. More 2-furfural was formed by steaming than by wet oxidation

  14. Uranium tetrafluoride production via dioxide by wet process

    International Nuclear Information System (INIS)

    Aquino, A.R. de.

    1988-01-01

    The study for the wet way obtention of uranium tetrafluoride by the reaction of hydrofluoric acid and powder uranium dioxide, is presented. From the results obtained at laboratory scale a pilot plant was planned and erected. It is presently in operation for experimental data aquisition. Time of reaction, temperature, excess of reagents and the hydrofluoric acid / uranium dioxide ratio were the main parameters studied to obtain a product with the following characteristics: - density greater than 1 g/cm 3 , conversion rate greater than 96%, and water content equal to 0,2% that allows its application to heaxafluoride convertion or to magnesiothermic process. (author) [pt

  15. The role of ion beam etching in magnetic bubble device manufacture

    International Nuclear Information System (INIS)

    Brambley, D.R.; Vanner, K.C.

    1979-01-01

    The most critical stage of fabrication of magnetic bubble memories is the etching of a pattern in a permalloy (80/20 Ni/Fe) film approximately 0.4 microns thick. The permalloy elements so made are used to produce perturbations in an externally applied magnetic bias field, and these perturbations cause the translation of magnetic bubbles within an underlying film. Devices now being produced have memory-cell sizes of less than 16 microns and require the etched features to have minimum dimensions of less than 2 microns. The only practicable way of achieving this with the requisite precision is by the use of sputter or ion beam etching. In addition, ion beam etching is used for defining gold conductor elements which perform the functions of bubble nucleation, replication and transfer. This paper briefly outlines the bubble device fabrication process, with special emphasis on the role of ion beam etching. The wafer temperature, element profile and uniformity obtained during ion beam etching are of considerable significance, and some of the factors affecting these will be discussed. Finally some of the limitations of ion beam etching will be described. (author)

  16. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  17. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  18. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  19. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    Science.gov (United States)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  20. Fabrication of a novel quartz micromachined gyroscope

    Science.gov (United States)

    Xie, Liqiang; Xing, Jianchun; Wang, Haoxu; Wu, Xuezhong

    2015-04-01

    A novel quartz micromachined gyroscope is proposed in this paper. The novel gyroscope is realized by quartz anisotropic wet etching and 3-dimensional electrodes deposition. In the quartz wet etching process, the quality of Cr/Au mask films affecting the process are studied by experiment. An excellent mask film with 100 Å Cr and 2000 Å Au is achieved by optimization of experimental parameters. Crystal facets after etching seriously affect the following sidewall electrodes deposition process and the structure's mechanical behaviours. Removal of crystal facets is successfully implemented by increasing etching time based on etching rate ratios between facets and crystal planes. In the electrodes deposition process, an aperture mask evaporation method is employed to prepare electrodes on 3-dimensional surfaces of the gyroscope structure. The alignments among the aperture masks are realized by the ABM™ Mask Aligner System. Based on the processes described above, a z-axis quartz gyroscope is fabricated successfully.

  1. Uranium recovery from wet-process phosphoric acid with octylphenyl acid phosphate. Progress report

    International Nuclear Information System (INIS)

    Arnold, W.D.; McKamey, D.R.; Baes, C.F.

    1980-01-01

    Studies were continued of a process for recovering uranium from wet-process phosphoric acid with octylphenyl acid phosphate (OPAP), a mixture of mono- and dioctylphenyl phosphoric acids. The mixture contained at least nine impurities, the principal one being octyl phenol, and also material that readily hydrolyzed to octyl phenol and orthophosphoric acid. The combination of mono- and dioctylphenyl phosphoric acids was the principal uranium extractant, but some of the impurities also extracted uranium. Hydrolysis of the extractant had little effect on uranium extraction, as did the presence of moderate concentrations of octyl phenol and trioctylphenyl phosphate. Diluent choice among refined kerosenes, naphthenic mixtures, and paraffinic hydrocarbons also had little effect on uranium extraction, but extraction was much lower when an aromatic diluent was used. Purified OPAP fractions were sparingly soluble in aliphatic hydrocarbon diluents. The solubility was increased by the presence of impurities such as octyl phenol, and by the addition of water or an acidic solution to the extractant-diluent mixture. In continuous stability tests, extractant loss by distribution to the aqueous phase was much less to wet-process phosphoric acid than to reagent grade acid. Uranium recovery from wet-process acid decreased steadily because of the combined effects of extractant poisoning and precipitation of the extractant as a complex with ferric iron. Unaccountable losses of organic phase volume occurred in the continuous tests. While attempts to recover the lost organic phase were unsuccessful, the test results indicate it was not lost by entrainment or dissolution in the phosphoric acid solutions. 21 figures, 8 tables

  2. PREFACE: Dynamics of wetting Dynamics of wetting

    Science.gov (United States)

    Grest, Gary S.; Oshanin, Gleb; Webb, Edmund B., III

    2009-11-01

    Capillary phenomena associated with fluids wetting other condensed matter phases have drawn great scientific interest for hundreds of years; consider the recent bicentennial celebration of Thomas Young's paper on equilibrium contact angles, describing the geometric shape assumed near a three phase contact line in terms of the relevant surface energies of the constituent phases [1]. Indeed, nearly a century has passed since the seminal papers of Lucas and Washburn, describing dynamics of capillary imbibition [2, 3]. While it is generally appreciated that dynamics of fluid wetting processes are determined by the degree to which a system is out of capillary equilibrium, myriad complications exist that challenge the fundamental understanding of dynamic capillary phenomena. The topic has gathered much interest from recent Nobel laureate Pierre-Gilles de Gennes, who provided a seminal review of relevant dissipation mechanisms for fluid droplets spreading on solid surfaces [4] Although much about the dynamics of wetting has been revealed, much remains to be learned and intrinsic technological and fundamental interest in the topic drives continuing high levels of research activity. This is enabled partly by improved experimental capabilities for resolving wetting processes at increasingly finer temporal, spatial, and chemical resolution. Additionally, dynamic wetting research advances via higher fidelity computational modeling capabilities, which drive more highly refined theory development. The significance of this topic both fundamentally and technologically has resulted in a number of reviews of research activity in wetting dynamics. One recent example addresses the evaluation of existing wetting dynamics theories from an experimentalist's perspective [5]. A Current Opinion issue was recently dedicated to high temperature capillarity, including dynamics of high temperature spreading [6]. New educational tools have recently emerged for providing instruction in wetting

  3. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  4. Wet separation processes as method to separate limestone and oil shale

    Science.gov (United States)

    Nurme, Martin; Karu, Veiko

    2015-04-01

    Biggest oil shale industry is located in Estonia. Oil shale usage is mainly for electricity generation, shale oil generation and cement production. All these processes need certain quality oil shale. Oil shale seam have interlayer limestone layers. To use oil shale in production, it is needed to separate oil shale and limestone. A key challenge is find separation process when we can get the best quality for all product types. In oil shale separation typically has been used heavy media separation process. There are tested also different types of separation processes before: wet separation, pneumatic separation. Now oil shale industry moves more to oil production and this needs innovation methods for separation to ensure fuel quality and the changes in quality. The pilot unit test with Allmineral ALLJIG have pointed out that the suitable new innovation way for oil shale separation can be wet separation with gravity, where material by pulsating water forming layers of grains according to their density and subsequently separates the heavy material (limestone) from the stratified material (oil shale)bed. Main aim of this research is to find the suitable separation process for oil shale, that the products have highest quality. The expected results can be used also for developing separation processes for phosphorite rock or all others, where traditional separation processes doesn't work property. This research is part of the study Sustainable and environmentally acceptable Oil shale mining No. 3.2.0501.11-0025 http://mi.ttu.ee/etp and the project B36 Extraction and processing of rock with selective methods - http://mi.ttu.ee/separation; http://mi.ttu.ee/miningwaste/

  5. Magnetically enhanced triode etching of large area silicon membranes in a molecular bromine plasma

    International Nuclear Information System (INIS)

    Wolfe, J.C.; Sen, S.; Pendharkar, S.V.; Mauger, P.; Shimkunas, A.R.

    1992-01-01

    The optimization of a process for etching 125 mm silicon membranes formed on 150 mm wafers and bonded to Pyrex rings is discussed. A magnetically enhanced triode etching system was designed to provide an intense, remote plasma surrounding the membrane while, at the same time, suppressing the discharge over the membrane itself. For the optimized molecular bromine process, the silicon etch rate is 40 nm/min and the selectivity relative to SiO 2 is 160:1. 14 refs., 6 figs

  6. Room temperature inductively coupled plasma etching of InAs/InSb in BCl 3/Cl 2/Ar

    KAUST Repository

    Sun, Jian

    2012-10-01

    Inductively coupled plasma (ICP) etching of InAs and InSb at room temperature has been investigated using BCl 3/Cl 2/Ar plasma. Specifically, the etch rate and post-etching surface morphology were investigated as functions of the gas composition, ICP power, process pressure, and RF chuck power. An optimized process has been developed, yielding anisotropic etching and very smooth surfaces with roughnesses of 0.25 nm for InAs, and 0.57 nm for InSb, which is comparable with the surface of epi-ready polished wafers. The process provides moderate etching rates of 820 /min for InAs and 2800 /min for InSb, and the micro-masking effect is largely avoided. © 2012 Elsevier B.V. All rights reserved.

  7. Studies of the confinement at laser-induced backside dry etching using infrared nanosecond laser pulses

    Science.gov (United States)

    Ehrhardt, M.; Lorenz, P.; Bayer, L.; Han, B.; Zimmer, K.

    2018-01-01

    In the present study, laser-induced backside etching of SiO2 at an interface to an organic material using laser pulses with a wavelength of λ = 1064 nm and a pulse length of τ = 7 ns have been performed in order to investigate selected processes involved in etching of the SiO2 at confined ablation conditions with wavelengths well below the band gap of SiO2. Therefore, in between the utilized metallic absorber layer and the SiO2 surface, a polymer interlayer with a thickness between 20 nm to 150 nm was placed with the aim, to separate the laser absorption process in the metallic absorber layer from the etching process of the SiO2 surface due to the provided organic interlayer. The influence of the confinement of the backside etching process was analyzed by the deposition of different thick polymer layers on top of the metallic absorber layer. In particular, it was found that the SiO2 etching depth decreases with higher polymer interlayer thickness. However, the etching depth increases with increasing the confinement layer thickness. SEM images of the laser processed areas show that the absorber and confinement layers are ruptured from the sample surface without showing melting, and suggesting a lift off process of these films. The driving force for the layers lift off and the etching of the SiO2 is probably the generated laser-induce plasma from the confined ablation that provides the pressure for lift off, the high temperatures and reactive organic species that can chemically attack the SiO2 surface at these conditions.

  8. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  9. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  10. Silicon surface damage caused by reactive ion etching in fluorocarbon gas mixtures containing hydrogen

    International Nuclear Information System (INIS)

    Norstroem, H.; Blom, H.; Ostling, M.; Nylandsted Larsen, A.; Keinonen, J.; Berg, S.

    1991-01-01

    For selective etching of SiO 2 on silicon, gases or gas mixtures containing hydrogen are often used. Hydrogen from the glow discharge promotes the formation of a thin film polymer layer responsible for the selectivity of the etching process. The reactive ion etch (RIE) process is known to create damage in the silicon substrate. The influence of hydrogen on the damage and deactivation of dopants is investigated in the present work. The distribution of hydrogen in silicon, after different etching and annealing conditions have been studied. The influence of the RIE process on the charge carrier concentration in silicon has been investigated. Various analytical techniques like contact resistivity measurements, four point probe measurements, and Hall measurements have been used to determine the influence of the RIE process on the electrical properties of processed silicon wafers. The hydrogen profile in as-etched and post annealed wafers was determined by the 1 H( 15 N,αγ) 12 C nuclear reaction. The depth of the deactivated surface layer is discussed in terms of the impinging hydrogen ion energy, i.e., the possibility of H + ions to pick up an energy equal to the peak-to-peak voltage of the rf signal

  11. Functionalization of nanochannels by radio-induced grafting polymerization on PET track-etched membranes

    International Nuclear Information System (INIS)

    Soto Espinoza, S.L.; Arbeitman, C.R.; Clochard, M.C.; Grasselli, M.

    2014-01-01

    The application of swift-heavy ion bombardment to polymers is a well-established technique to manufacture micro- and nanopores onto polymeric films to obtain porous membranes. A few years ago, it was realized that, during ion bombardment, the high energy deposition along the ion path through the polymer reached cylindrical damage regions corresponding to the core trace and the penumbra. After the etching procedure, there are still enough active sites left in the penumbra that can be used to initiate a polymerization process selectively inside the membrane pores. In this study, we report the grafting polymerization of glycidyl methacrylate onto etched PET foils to obtain functionalized nanochannels. Grafted polymers were labeled with a fluorescent tag and analyzed by different fluorescence techniques such as direct fluorescence, fluorescence microscopy and confocal microscopy. These techniques allowed identifying and quantifying the grafted regions on the polymeric foils. - Highlights: • Irradiated PET foils with swift-heavy ions were etched and grafted in a step-by-step process. • Grafting polymerization was performed on the remaining active sites after etching. • Track-etched PET membranes were fluorescently labeled by chemical functionalization. • Functionalized track-etched PET membranes were analyzed by fluorescence and confocal microscopy

  12. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  13. Electrochemical etching of a niobium foil in methanolic HF for electrolytic capacitor

    International Nuclear Information System (INIS)

    Kim, Kyungmin; Park, Jiyoung; Cha, Gihoon; Yoo, Jeong Eun; Choi, Jinsub

    2013-01-01

    Electrochemical etching of niobium foil in order to enlarge the surface area for the application in electrolytic capacitor was carried out in a methanolic electrolyte. We found that the pit density and depth are not linearly proportional to concentration of HF and applied potential: there is the optimal concentration of HF at each applied potential. The optimal etching condition was obtained at 50 V in 0.99 vol.% HF, which exhibited the capacitance of 350 μF cm −2 . Pit density and depth of pits on electrochemical etched Nb foil under different conditions were counted from SEM images and electrochemical impedance spectroscopy (EIS) of the etched Nb foils was carried out for the capacitance measurement. Equivalent circuit model showing less than 5% error was suggested for applying to the etched niobium foil. - Highlights: • Surface enlargement of Nb foil can be achieved by electrochemical etching in methanolic HF. • Electrolytic capacitor of etched niobium foil exhibits a capacitance of 350 μF cm −2 . • The method provides a way of developing commercially viable process

  14. Treatment of toxic and hazardous organic wastes by wet oxidation process with oxygenated water at low temperature

    International Nuclear Information System (INIS)

    Piccinno, T.; Salluzzo, A.; Nardi, L.; Gili, M.; Luce, A.; Troiani, F.; Cornacchia, G.

    1989-11-01

    The wet oxidation process using air or molecular oxygen is a well-known process from long time. It is suitable to oxidize several types of waste refractory to the usual biological, thermal and chemical treatments. The drastic operating conditions (high pressures and temperatures) prevented its industrial development. In the last years a new interest was assigned to the process for the treatment of nuclear wastes (organic resins and exhaust organic wastes); the treatment is carried out at widely reduced operating conditions (atmospheric pressure and boiling temperature) by means of metallic catalysts and hydrogen peroxide. With some limits, the wet oxidation with hydrogen peroxide at low temperature can be applied to conventional waste waters containing toxic organic compounds. In the present report are summarized the activities developed at ENEA Fuel Cycle Department by the task force 'Deox' constituted by laboratory and plant specialists in order to verify the application of the wet oxidation process to the treatment of the toxic wastes. (author)

  15. Etching of LiNbO/sub 3/ by laser-driven fusion of salts

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Brannon, P.J.

    1987-01-01

    Lithium niobate exhibits low reactivity with most chemical etchants. Consequently, etching a LiNbO/sub 3/ surface to produce optical structures such as ridge waveguides or grooves for fiber coupling normally requires relatively slow processes such as ion milling. The authors have developed a laser-driven chemical etching process for etching highly unreactive ionic solids based on the fusion of salts in the molten phase and show that the etch rate can be more than 100 times faster than ion milling rates. This process involves spatially localized melting of LiNbO/sub 3/ by high-power density laser pulses with photon energies in excess of the band gap of LiNbO/sub 3/. While molten, LiNbO/sub 3/ undergoes reaction with a surface coating of KF to form niobium oxyfluoride anions by fusion of the salts. The resulting solid is highly water soluble. The insolubility of LiNbO/sub 3/ permits subsequent removal of only the irradiated area by rinsing in water. Surface morphology is determined by laser power density. The process exhibits a wavelength dependence

  16. Bias-assisted KOH etching of macroporous silicon membranes

    International Nuclear Information System (INIS)

    Mathwig, K; Geilhufe, M; Müller, F; Gösele, U

    2011-01-01

    This paper presents an improved technique to fabricate porous membranes from macroporous silicon as a starting material. A crucial step in the fabrication process is the dissolution of silicon from the backside of the porous wafer by aqueous potassium hydroxide to open up the pores. We improved this step by biasing the silicon wafer electrically against the KOH. By monitoring the current–time characteristics a good control of the process is achieved and the yield is improved. Also, the etching can be stopped instantaneously and automatically by short-circuiting Si and KOH. Moreover, the bias-assisted etching allows for the controlled fabrication of silicon dioxide tube arrays when the silicon pore walls are oxidized and inverted pores are released.

  17. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  18. Examining Wetting and Dewetting Processes in Thin-films on Crystalline Substrates at the Nanoscale

    Science.gov (United States)

    Hihath, Sahar

    Controlling the wetting and dewetting of ultra-thin films on solid substrates is important for a variety of technological and fundamental research applications. These applications include film deposition for semiconductor manufacturing, the growth of nanowires through nanoparticle-based catalysis sites, to making ordered arrays of nanoscale particles for electronic and optical devices. However, despite the importance of these processes, the underlying mechanisms by which a film wets a surface or dewets from it is still often unclear and widely debated. In this dissertation we examine wetting and dewetting processes in three materials systems that are relevant for device applications with the ultimate goal of understanding what mechanisms drive the wetting (or dewetting) process in each case. First, we examine the formation of wetting layers between nanoparticle films and highly conductive GaAs substrates for spintronic applications. In this case, the formation of a wetting layer is important for nanoparticle adhesion on the substrate surface. Wetting layers can be made by annealing these systems, which causes elemental diffusion from nanoparticles into the substrate, thereby adhesion between the nanoparticles and the substrate. Here we investigate the feasibility of forming a wetting layer underneath nanoparticles post-annealing in a system of Fe3O4 nanoparticles on a (100) GaAs substrate by studying the interface structure and composition via Transmission Electron Microscopy (TEM), Scanning Transmission Electron Microscopy (STEM), Electron Energy Loss Spectroscopy (EELS) and Energy Dispersive X-ray Spectroscopy (EDXS). Electron Energy-Loss fine structures of the Fe-L 3,2 and O-K absorption edges were quantitatively analyzed to gain insight about the compositional gradient of the interface between the nanoparticles and the GaAs substrate. Additionally, real-space density functional theory calculations of the dynamical form factor was performed to confirm the

  19. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  20. Recovering of uranium from phosphoric acid produced by the wet process

    International Nuclear Information System (INIS)

    Barreiro, A.J.; Lyon, W.L.; Holleman, R.A.; Randell, C.C.

    1977-01-01

    Process for recovering uranium as from an aqueous solution of phosphoric acid arising from a wet process, with a scrubbing agent essentially composed of a hydrocarbon whose boiling point is situated between 150 0 C and 300 0 C, which reacts with the contaminents formed in the sludge in the phosphoric acid, in an efficient enough quantity to wash the contamination products forming the phosphoric acid sludge, give a sludge phase and a purified phosphoric acid phase, after which the sludge phase is extracted [fr

  1. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  2. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  3. Study for process and equipment design of wet gelation stages in vibropacking process

    International Nuclear Information System (INIS)

    Tanimoto, Ryoji; Kikuchi, Toshiaki; Tanaka, Hirokazu; Amino, Masaki; Yanai, Minoru

    2004-02-01

    Process and layout design of external wet gelation stages in vibropacking process was examined for the feasibility study of commercialized FBR cycle system. In this study, following process stages for the oxide core fuel production line were covered, that is, solidification, washing, drying, calcination, reduction, sintering stages including interim storage of sintering particles and reagent recovery stage. The main results obtained by this study are as follows: (1) Based on the process examination results conducted previously, process-flow, mass-balance and number of production line/equipment were clarified. The process is covered from the receive tank of feed solution to the interim storage equipment. Reagent recovery process-flow, mass-balance were also clarified. And preliminary design of the main equipment was reexamined. (2) Normal operation procedure and the procedure after process failure were summarized along with a remote automated operation procedure. Operation sequence of each production line was mapped out by using a time-chart. (3) Design outline of reagent recovery equipments, installed to recover waste liquid from the wet gelation stages in the view of environmental impact were examined. Effective techniques such as collection of solvent, residue waste treatment method were examined its applicability and selected. Schematic block diagram was presented. (4) Analytical items and analyzing apparatus were extracted taking into account of quality control and process management. Analytical sample taking position and frequency of sampling were also examined. (5) A schematic layout drawing of main manufacturing process and reagent recovery process was presented taking into account of material handling. (6) A feature of the operating rate at each process stage was examined by analyzing failure rate reliability of each component. applying the reliability-centred method. (RCM), the operating rate was evaluated and annual maintenance period was estimated using

  4. INVESTIGATION ON UTILITY OF PLASTIC WASTE AS AN ADDITIVE FOR BITUMINOUS CONCRETE USING WET PROCESS OF MIXING

    Directory of Open Access Journals (Sweden)

    Anurag Virendra Tiwari

    2017-12-01

    Full Text Available Purpose. Plastic waste has become a major environmental issue of concern due to its exponential growth due to rapid urbanization. The paper investigates utility of plastic waste as an additive for bituminous concrete using wet process of mixing. Methodology. The methodology for the present paper has been designed with complex research consisting of Marshall mix design of the bituminous mix added with plastic waste for modifying bitumen using wet process of mixing, performing the tests on the samples and analyzing the results in the form of table and figures. In the present paper LDPE and HDPE type of plastic waste are used to modify the bitumen. Finding. The results show that addition of 6 percent of bitumen improves the Marshall properties of the mix. Use of plastic to modify the bitumen not only makes the road surface more durable but also it is an eco-friendly way of proper disposal of plastic waste. Originality. The processes used for mixing the plastic waste to the bitumen are dry process and wet process. Dry process of mixing the plastic waste to the bituminous mix is most common and lot of study is carried out on its application. In the present paper wet process of mixing has not yet been studied much. Practical Value. The practical application of utilizing the plastic waste to modify bitumen in the bituminous mix improves the stability values resulting in the more durable road surface. Also the method ensures the proper disposal of plastic waste in eco-friendly way.

  5. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  6. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    International Nuclear Information System (INIS)

    Roozeboom, F; Kniknie, B; Lankhorst, A M; Winands, G; Knaapen, R; Smets, M; Poodt, P; Dingemans, G; Keuning, W; Kessels, W M M

    2012-01-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF 6 to form gaseous SiF x etch products, and 2) passivation with C 4 F 8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ∼20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C 4 F 8 passivation steps by ALD-based oxide (e.g. SiO 2 ) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  7. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  8. Annealing and etching effects on strain and stress sensitivity of polymer optical fibre Bragg grating sensors

    DEFF Research Database (Denmark)

    Pospori, A.; Marques, C. A.F.; Sáez-Rodríguez, D.

    2017-01-01

    Thermal annealing and chemical etching effects on the strain and stress sensitivity of polymer optical fibre based sensors are investigated. Bragg grating sensors have been photo-inscribed in PMMA optical fibre and their strain and stress sensitivity has been characterised before and after any...... annealing or etching process. The annealing and etching processes have been tried in different sequence in order to investigate their impact on the sensor's performance. Results show with high confidence that fibre annealing can improve both strain and stress sensitivities. The fibre etching can also...... provide stress sensitivity enhancement, however the strain sensitivity changes seems to be random....

  9. Adiabatic tapered optical fiber fabrication in two step etching

    Science.gov (United States)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  10. Designer-Wet Micromodels for Studying Potential Changes in Wettability during Microbial Enhanced Oil Recovery

    Science.gov (United States)

    Armstrong, R. T.; Wildenschild, D.

    2010-12-01

    Microbial Enhanced Oil Recovery (MEOR) is a process where microorganisms are used for tertiary recovery of oil. Some bacteria can facilitate the mobilization of oil through the production of amphiphilic compounds called biosurfactants that reduce the interfacial tension (IFT) between immiscible phases. Additionally, most bacteria have an inclination to colonize surfaces and form biofilm, which can change a reservoir's wetting properties or clog preferential flow paths. Herein, we aim to understand changes in wettability during MEOR under mixed wettability conditions within silicon etched micromodels and to identify the type of oil field (i.e. based on wettability) in which MEOR is likely to be most profitable. To quantify porous media wettability, macro-scale indexes (obtained with techniques such as the Carter or Amott methods) are used regularly. However, these measurements lack the capability for characterization of changes in wettability during MEOR treatment, and only provide macro-scale information. In an effort to understand micro-scale temporal and spatial changes in wettability we measure interfacial curvature from stereo microscope images using level set methods. Curvature, from the perspective of the oil phase, is positive for a concave interface (i.e. water-wet surface) and negative for a convex interface (i.e. oil-wet surface). Thus, shifts in the radius of curvature distribution (i.e. from positive to negative or conversely) are indicative of wettability changes. Both curvature distributions using level-set methods and the Carter method are used to characterize wettability before and after microbial treatment. In preliminary studies aimed at understanding wettability changes due to microbial surface interactions by Bacillus mojavensis JF-2, oil droplets were placed on glass slides suspended in growth media and the resulting contact angle was measured over time. Results showed that a water-wet surface will become more water wet as JF-2 accumulated in

  11. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  12. Fabrication of sub-15 nm aluminum wires by controlled etching

    International Nuclear Information System (INIS)

    Morgan-Wall, T.; Hughes, H. J.; Hartman, N.; Marković, N.; McQueen, T. M.

    2014-01-01

    We describe a method for the fabrication of uniform aluminum nanowires with diameters below 15 nm. Electron beam lithography is used to define narrow wires, which are then etched using a sodium bicarbonate solution, while their resistance is simultaneously measured in-situ. The etching process can be stopped when the desired resistance is reached, and can be restarted at a later time. The resulting nanowires show a superconducting transition as a function of temperature and magnetic field that is consistent with their smaller diameter. The width of the transition is similar to that of the lithographically defined wires, indicating that the etching process is uniform and that the wires are undamaged. This technique allows for precise control over the normal state resistance and can be used to create a variety of aluminum nanodevices

  13. Surface Modification of Ti-35Nb-10Ta-1.5Fe by the Double Acid-Etching Process

    OpenAIRE

    Joan Lario; Angélica Amigó; Francisco Segovia; Vicente Amigó

    2018-01-01

    Surface topography and composition influence the osteoblastic proliferation and osseointegration rates, which favor the biomechanical stability of bone anchoring and implants. In recent years, beta titanium alloys have been developed, and are composed of biocompatible elements, have low elastic modulus, high corrosion resistance, and mechanical properties to improve the long performance behavior of biomaterials. In the present research, the influence of the acid-etching process was studied in...

  14. AlN/GaN-Based MOS-HEMT Technology: Processing and Device Results

    Directory of Open Access Journals (Sweden)

    S. Taking

    2011-01-01

    Full Text Available Process development of AlN/GaN MOS-HEMTs is presented, along with issues and problems concerning the fabrication processes. The developed technology uses thermally grown Al2O3 as a gate dielectric and surface passivation for devices. Significant improvement in device performance was observed using the following techniques: (1 Ohmic contact optimisation using Al wet etch prior to Ohmic metal deposition and (2 mesa sidewall passivation. DC and RF performance of the fabricated devices will be presented and discussed in this paper.

  15. Detailed microstructure analysis of as-deposited and etched porous ZnO films

    International Nuclear Information System (INIS)

    Shang, Congcong; Thimont, Yohann; Barnabé, Antoine; Presmanes, Lionel; Pasquet, Isabelle; Tailhades, Philippe

    2015-01-01

    Graphical abstract: - Highlights: • Porous ZnO thin films were deposited by rf magnetron sputtering. • Surface enhancement factors were deduced from geometrical considerations. • Enlargement of the inter-grain spaces have been achieved by HCl chemical etching. • Microstructural parameters were deduced from SEM, AFM and optical measurements. - Abstract: ZnO nanostructured materials in thin film forms are of particular interest for photovoltaic or photocatalysis processes but they suffer from a lack of simple methods for optimizing their microstructure. We have demonstrated that microporous ZnO thin films with optimized inter grain accessibility can be produce by radio frequency magnetron sputtering process and chemical etching with 2.75 mM HCl solution for different duration. The as-deposited ZnO thin films were first characterized in terms of structure, grain size, inter grain space, open cavity depth and total thickness of the film by XRD, AFM, SEM, profilometry and optical measurements. A specific attention was dedicated to the determination of the surface enhancement factor (SEF) by using basic geometrical considerations and images treatments. In addition, the porous fraction and its distribution in the thickness have been estimated thanks to the optical simulation of the experimental UV–Visible–IR spectrums using the Bruggeman dielectric model and cross section SEM images analysis respectively. This study showed that the microstructure of the as-deposited films consists of a dense layer covered by a porous upper layer developing a SEF of 12–13 m 2 m −2 . This two layers architecture is not modified by the etching process. The etching process only affects the upper porous layer in which the overall porosity and the inter-grain space increase with the etching duration. Column diameter and total film thickness decrease at the same time when the films are soaked in the HCl bath. The microporous structure obtained after the etching process could

  16. Development of an improved two-cycle process for recovering uranium from wet-process phosphoric acid

    International Nuclear Information System (INIS)

    Chen, H.M.; Chen, H.J.; Tsai, Y.M.; Lee, T.W.; Ting, G.

    1987-01-01

    An improved two-cycle separation process for the recovery of uranium from wet-process phosphoric acid by extraction with bis(2-ethylhexyl)phosphoric acid (D2EHPA) plus dibutyl butylphosphonate (DBBP) in kerosene has been developed and demonstrated successfully in bench-scale, continuous mixer-settler tests. The sulfuric acid and water scrubbing steps for the recycled extraction in the second cycle solve the problems of the contamination and dilution of the phosphoric acid by the ammonium ion and water and also avoid the formation of undesirable phosphatic precipitates during the subsequent extraction of uranium by recycled organic extractant

  17. Wet Gas Airfoil Analyses

    OpenAIRE

    Larsen, Tarjei Thorrud

    2011-01-01

    Subsea wet gas compression renders new possibilities for cost savings and enhanced gas recovery on existing gas wells. Technology like this opens to make traditional offshore processing plants redundant. With new technology, follows new challenges. Multiphase flows is regarded as a complex field of study, and increased knowledge on the fundamental mechanisms regarding wet gas flow is of paramount importance to the efficiency and stability of the wet gas compressor. The scope of this work was ...

  18. Reactive ion etching of tellurite and chalcogenide waveguides using hydrogen, methane, and argon

    International Nuclear Information System (INIS)

    Vu, K. T.; Madden, S. J.

    2011-01-01

    The authors report in detail on the reactive plasma etching properties of tellurium and demonstrate a high quality etching process using hydrogen, methane, and argon. Very low loss planar ridge waveguides are demonstrated. Optical losses in tellurium dioxide waveguides below 0.1 dB/cm in most of the near infrared region of the electromagnetic spectrum and at 1550 nm have been achieved--the lowest ever reported by more than an order of magnitude and clearly suitable for planar integrated devices. The etch process is also shown to be suitable for chalcogenide glasses which may be of importance in applications such as phase change memory devices and nonlinear integrated optics.

  19. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  20. Electroless porous silicon formation applied to fabrication of boron–silica–glass cantilevers

    International Nuclear Information System (INIS)

    Teva, J; Davis, Z J; Hansen, O

    2010-01-01

    This work describes the characterization and optimization of anisotropic formation of porous silicon in large volumes (0.5–1 mm 3 ) of silicon by an electroless wet etching technique. The main goal is to use porous silicon as a sacrificial volume for bulk micromachining processes, especially in cases where etching of the full wafer thickness is needed. The porous silicon volume is formed by a metal-assisted etching in a wet chemical solution composed of hydrogen peroxide (30%), hydrofluoric acid (40%) and ethanol. This paper focuses on optimizing the etching conditions in terms of maximizing the etching rate and reproducibility of the etching. In addition to that, a study of the morphology of the pore that is obtained by this technique is presented. The results from the characterization of the process are applied to the fabrication of boron–silica–glass cantilevers that serve as a platform for bio-chemical sensors. The porous silicon volume is formed in an early step of the fabrication process, allowing easy handling of the wafer during all of the micromachining processes in the process flow. In the final process step, the porous silicon is quickly etched by immersing the wafer in a KOH solution

  1. Effects of polymer corrosion inhibitor on widening etch tunnels of aluminum foil for capacitor

    International Nuclear Information System (INIS)

    Ban, Chaolei; He, Yedong; Shao, Xin; Wang, Zhishen

    2014-01-01

    Highlights: •With PSSA, the exterior surface dissolution of etched Al foil is suppressed. •With PSSA, the interior surface dissolution of etched Al foil is facilitated. •With PSSA, the tunnels are widened along the entire length. •With PSSA, the area and capacitance of etched Al foil are significantly improved. -- Abstract: We investigated the effects of polymeric corrosion inhibitor polystyrene sulfonic acid (PSSA) additive to 3% HNO 3 solution on widening tunnels of pre-etched aluminum foil by electrochemical DC etching for aluminum electrolytic capacitors, using scanning electron microscopy and polarization curves. With trace PSSA, the dissolution of exterior surface of etch tunnels of Al foil is suppressed and the dissolution of interior surface of etch tunnels of Al foil is facilitated, respectively. The tunnels transform from circular cone to circular column in shape and pits-merging on the surface is weakened, leading to significant increase in the surface area and specific capacitance of the Al foil. The amounts of reduced thickness and weight of Al foil during the widening process of etch tunnels can be decreased if PSSA is employed

  2. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Songkil; Henry, Mathias [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Fedorov, Andrei G., E-mail: agf@gatech.edu [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Parker H. Petit Institute for Bioengineering and Bioscience, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States)

    2015-12-07

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon “halo” deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations.

  3. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    International Nuclear Information System (INIS)

    Kim, Songkil; Henry, Mathias; Fedorov, Andrei G.

    2015-01-01

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon “halo” deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations

  4. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    Science.gov (United States)

    Kim, Songkil; Henry, Mathias; Fedorov, Andrei G.

    2015-12-01

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon "halo" deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations.

  5. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  6. Step voltage with periodic hold-up etching: A novel porous silicon formation

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.; Soukeih, M.

    2007-01-01

    A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 μA. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching

  7. Step voltage with periodic hold-up etching: A novel porous silicon formation

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)]. E-mail: scientific@aec.org.sy; Awad, F. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic); Soukeih, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)

    2007-05-16

    A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 {mu}A. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching.

  8. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  9. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  10. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  11. Calculating Soil Wetness, Evapotranspiration and Carbon Cycle Processes Over Large Grid Areas Using a New Scaling Technique

    Science.gov (United States)

    Sellers, Piers

    2012-01-01

    Soil wetness typically shows great spatial variability over the length scales of general circulation model (GCM) grid areas (approx 100 km ), and the functions relating evapotranspiration and photosynthetic rate to local-scale (approx 1 m) soil wetness are highly non-linear. Soil respiration is also highly dependent on very small-scale variations in soil wetness. We therefore expect significant inaccuracies whenever we insert a single grid area-average soil wetness value into a function to calculate any of these rates for the grid area. For the particular case of evapotranspiration., this method - use of a grid-averaged soil wetness value - can also provoke severe oscillations in the evapotranspiration rate and soil wetness under some conditions. A method is presented whereby the probability distribution timction(pdf) for soil wetness within a grid area is represented by binning. and numerical integration of the binned pdf is performed to provide a spatially-integrated wetness stress term for the whole grid area, which then permits calculation of grid area fluxes in a single operation. The method is very accurate when 10 or more bins are used, can deal realistically with spatially variable precipitation, conserves moisture exactly and allows for precise modification of the soil wetness pdf after every time step. The method could also be applied to other ecological problems where small-scale processes must be area-integrated, or upscaled, to estimate fluxes over large areas, for example in treatments of the terrestrial carbon budget or trace gas generation.

  12. Design Of A Small-Scale Hulling Machine For Improved Wet-Processed Coffee.

    Directory of Open Access Journals (Sweden)

    Adeleke

    2017-08-01

    Full Text Available The method of primary processing of coffee is a vital determinant of quality and price. Wet processing method produces higher quality beans but is very labourious. This work outlines the design of a small scale cost-effective ergonomic and easily maintained and operated coffee hulling machine that can improve quality and productivity of green coffee beans. The machine can be constructed from locally available materials at a relatively low cost of about NGN 140000.00 with cheap running cost. The beaters are made from rubber strip which can deflect when in contact with any obstruction causing little or no stresses on drum members and reducing the risk of damage to both the beans and machine. The machine is portable and detachable which make it fit to be owned by a group of farmers who can move it from one farm to the other making affordability and running cost easier. The easily affordable and relatively low running cost may be further reduced by the fact that the machine is powered by 3.0 Hp petrol engine which is suitable for other purposes among the rural dwellers. The eventual construction of the machine will encourage more farmers to go into wet processing of coffee and reduce the foreign exchange hitherto lost to this purpose.

  13. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  14. Note: Electrochemical etching of cylindrical nanoprobes using a vibrating electrolyte

    International Nuclear Information System (INIS)

    Wang, Yufeng; Zeng, Yongbin; Qu, Ningsong; Zhu, Di

    2015-01-01

    An electrochemical etching process using a vibrating electrolyte of potassium hydroxide to prepare tungsten cylindrical nanotips is developed. The vibrating electrolyte eases the effects of a diffusion layer and extends the etching area, which aid in the production of cylindrical nanotips. Larger amplitudes and a vibration frequency of 35 Hz are recommended for producing cylindrical nanotips. Nanotips with a tip radius of approximately 43 nm and a conical angle of arctan 0.0216 are obtained

  15. Microfabricated Silicon Microneedle Array for Transdermal Drug Delivery

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E; Miao Jianmin; Iliescu, C

    2006-01-01

    This paper presents developed processes for silicon microneedle arrays microfabrication. Three types of microneedles structures were achieved by isotropic etching in inductively coupled plasma (ICP) using SF 6 /O 2 gases, combination of isotropic etching with deep etching, and wet etching, respectively. A microneedle array with biodegradable porous tips was further developed based on the fabricated microneedles

  16. Microfabricated Silicon Microneedle Array for Transdermal Drug Delivery

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Iliescu, C [Institute of Bioengineering and Nanotechnology, 31 Biopolis Way, Nanos, 04-01, 138669 (Singapore)

    2006-04-01

    This paper presents developed processes for silicon microneedle arrays microfabrication. Three types of microneedles structures were achieved by isotropic etching in inductively coupled plasma (ICP) using SF{sub 6}/O{sub 2} gases, combination of isotropic etching with deep etching, and wet etching, respectively. A microneedle array with biodegradable porous tips was further developed based on the fabricated microneedles.

  17. Bulk etching characteristics of CR-39 track detectors in hydroxide solutions

    International Nuclear Information System (INIS)

    Fonseca, E.S. da; Knoefel, T.M.J.; Tavares, O.A.P.

    1983-01-01

    A systematic study of the bulk etch rate of CR-39 track detectors in KOH and NaOH aqueous solutions is presented. A number of unirradiated and non-thermally treated CR-39 samples were chemically attacked in KOH and NaOH solutions of concentration and temperature in the range 2-10 N and 50-90 0 C, respectively. From measurements of the thickness of layers removed as a function of the etching time, the bulk etch rate υ β and the induction time T ο for surface removal were obtained for each etching condition. For both NaOH and KOH solution the activation energy of the process was derived as E = 0.76 ± 0.05 eV. It was observed that the induction time decreases both with increasing normality and temperature of the solution. (author) [pt

  18. Effective treatment of oily scum via catalytic wet persulfate oxidation process activated by Fe2.

    Science.gov (United States)

    Yuan, Xingzhong; Guan, Renpeng; Wu, Zhibin; Jiang, Longbo; Li, Yifu; Chen, Xiaohong; Zeng, Guangming

    2018-04-05

    Oily scum, a hazardous by-product of petroleum industry, need to be deposed urgently to reduce environmental risks. This paper introduces catalytic wet persulfate oxidation (CWPO) process in the treatment of oily scum to realize risk relief. Under the activation of heat and Fe 2+ , persulfate (PS) was decomposed into sulfate radicals and hydroxyl radicals, which played a major role on the degradation of petroleum hydrocarbons. The effects of wet air oxidation (WAO) and CWPO process on the degradation of oily scum were compared. In CWPO process, the total petroleum hydrocarbons (TPHs) content of oily scum was decreased from 92.63% to 16.75%, which was still up to 70.19% in WAO process. The degradation rate of TPHs in CWPO process was about 3.38 times higher than that in WAO process. The great performance of CWPO process was also confirmed by elemental analysis, which indicated that the C and H contents of oily scum were reduced significantly by CWPO process. These results indicated that CWPO process has high potential on the degradation of oily scum for environmental protection. Copyright © 2018 Elsevier Ltd. All rights reserved.

  19. The influence of diffusion of fluorine compounds for silicon lateral etching

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick; Goodyear, Alec; Braithwaite, Nicholas St.John

    2004-07-01

    In an earlier study, it was proposed that long-range surface transport of fluorine atoms could precede the eventual binding to a silicon atom. The rate of binding increases if the silicon is bombarded with high energy ions. In this study, the lateral etching of a silicon layer, sandwiched between two silicon dioxide layers, was studied in order to investigate and extend these hypotheses. The under etching of the silicon layer was higher for wafers which suffered ion bombardment, showing that this mechanism is important even for horizontal etching. At the same time, the thickness of the silicon layer was varied. In all cases, the thinner silicon layer etched much faster then the thicker layer, indicating that fluorine surface transport is much more important than re-emission for these processes. The etch rate increase with ion bombardment can be explained by the fact that part of the energy of the incoming ions is transferred to the fluorine compounds which are on the horizontal surfaces and that ion bombardment enhances the fluorine surface transport.

  20. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.